CN1314072C - 抑制电弧放电的对应等离子体喷头rf顶电极调谐的merie等离子体反应器 - Google Patents

抑制电弧放电的对应等离子体喷头rf顶电极调谐的merie等离子体反应器 Download PDF

Info

Publication number
CN1314072C
CN1314072C CNB028241126A CN02824112A CN1314072C CN 1314072 C CN1314072 C CN 1314072C CN B028241126 A CNB028241126 A CN B028241126A CN 02824112 A CN02824112 A CN 02824112A CN 1314072 C CN1314072 C CN 1314072C
Authority
CN
China
Prior art keywords
plasma
electrode
top electrode
frequency
reactor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB028241126A
Other languages
English (en)
Other versions
CN1599946A (zh
Inventor
D·J·霍夫曼
Y·叶
D·凯兹
D·A·小布赫贝格尔
X·赵
K-L·姜
R·B·哈根
M·L·米勒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1599946A publication Critical patent/CN1599946A/zh
Application granted granted Critical
Publication of CN1314072C publication Critical patent/CN1314072C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means

Abstract

用于处理半导体工件的等离子体反应器,包括反应室,其具有室壁并且包含用于夹持半导体工件的工件支撑;电极,其包括室壁的一部分;RF功率发生器,其用于为顶电极提供在发生器频率的功率,并且能在期望的等离子体离子密度级,在室中维持等离子体;在电极一等离子体共振频率,在期望的等离子体离子密度,顶电极与在室中形成的等离子体一起共振,发生器的频率至少接近电极一等离子体的共振频率。反应器还包括围绕上覆在晶片表面的等离子体处理区的一套MERIE磁体,该套MERIE磁体产生搅动等离子体的缓慢环流磁场,以改进搅动等离子体的环流磁场从而改进等离子体密度分布均匀性。

Description

抑制电弧放电的对应等离子体喷头RF顶电极调谐的 MERIE等离子体反应器
相关申请的交叉引用
本申请是2000年3月17日由Daniel Hoffman等申请的题目为“Plasma Reactor with Overhead RF Electrode Tuned to The Plasma的美国申请No.09/527342的延续部分,并且此申请已转让给本申请人。
背景技术
RF等离子体反应器用于处理半导体晶片以产生微型电子电路。反应器在包括待处理晶片的室中形成等离子体。通过使用电感或电容耦合到室中的RF等离子体源功率来形成和维持等离子体。对于电容耦合到室中的RF等离子体源功率,通过RF源功率发生器驱动顶电极(overhead electrode)(面对晶片)。
在这样的反应器中存在的一个问题是RF发生器的输出阻抗,典型地为50欧姆,其必须与由电极和等离子体联合提供的负荷阻抗匹配。否则输出到等离子体室的RF功率将随着等离子体负荷阻抗的波动而波动,以致不能将某些处理参数如等离子体密度维持在需要的范围内。
等离子体负荷阻抗在处理中产生波动,因为其依赖于反应室内的情况,从而随着处理进展动态改变。在用于电介质或导体蚀刻工艺的最佳等离子体密度下,与RF发生器的输出阻抗相比负荷阻抗非常小,并能在晶片处理过程中明显地变化。因此,必须采用阻抗匹配电路以有效地在发生器和负载之间维持阻抗匹配。这样的有效阻抗匹配使用可变的电抗和/或可变的频率。这样的阻抗匹配电路存在的一个问题是它们必须充分灵敏以随着等离子体负荷阻抗的快速变化而变化,因此它们较昂贵,并且由于他们的复杂性而能降低系统的可靠性。
另一个问题是匹配电路可提供阻抗匹配(“匹配空间”)的负荷阻抗的范围是受限的。匹配空间与系统Q相关,这里Q=Δf/f,f是系统的共振频率,而Δf是f一边上的带宽,在该带宽中共振振幅在f的峰值共振振幅的6dB以内。典型的RF发生器在将正向传输功率维持在近似恒定水平上的能力是有限的,即使当等离子体阻抗波动时,有更多的RF功率被反馈给发生器。典型地,这通过发生器伺服其正向传输功率电平来实现,以便这样的阻抗失配增加(因此,反射功率增加),发生器增加其正向传输功率电平。当然,这种能力被发生器能够产生的最大正向传输功率所限制。典型地,发生器能够处理正向驻波电压与反射波电压(即电压驻波比值或VSWR)的不超过3∶1的最大比值。阻抗中的差异增加(例如,由于在处理期间中等离子体阻抗波动)以致VSWR超过3∶1,然后RF发生器不再能够控制输送功率,控制的等离子体丢失。结果,处理可能失败。因此,在RF发生器和通过结合电极和室向RF发生器提供的负载之间必须维持至少一个近似的阻抗匹配。这一近似的阻抗匹配必须足以将在发生器输出的VSWR保持在等离子体阻抗波动的整个预期限定的3∶1 VSWR内。典型地,该阻抗匹配空间为该匹配电路可将发生器输出的VSWR维持在3∶1或以下的负荷阻抗范围内。
相关的问题是负荷阻抗本身对处理参数如室压、等离子体源功率电平、源功率频率和等离子体密度高度灵敏。这限定了这些处理参数(工艺窗口(process window))的范围,必须在这些范围中操作等离子体反应器以避免不期望的阻抗失配或避免引起负荷阻抗在匹配空间外的波动。同样地,提供一个能在相对窄的工艺窗口和工艺用途(processuse)之外的反应器,或能处理许多操作应用的反应器是困难的。
另一个相关的问题是负荷阻抗也受反应器自身结构如某些机械部件的尺寸和反应器内的某些材料的导电系数或介电常数的影响。(这些结构部件影响反应器的电特性,如杂散电容,其反过来影响负荷阻抗。)由于制造的偏差和材料的变化,这使得要在相同设计的不同反应器中保持统一是很困难的。结果,具有高系统Q和相应的小阻抗匹配空间。要产生显示相同的工艺窗口或提供相同性能的任意两个相同设计的反应器是困难的。
另一个问题是RF功率源使用的无效。由于传输到等离子体的功率量明显小于RF发生器产生的功率,因此众所周知,等离子体反应器会无效。结果,必然引起在发生器性能和可靠性权衡中的额外成本,该额外成本用于产生超过传输到等离子体中实际需要的功率的额外功率。
发明内容
用于处理半导体工件的等离子体反应器包括反应室、顶电极、包括部分室壁的电极、RF功率发生器,该反应室具有室壁并包括用于夹持半导体工件的工件支撑,该顶电极位于所述工件上方,RF功率发生器用于将发生器频率下的功率提供给顶电极并将室内的等离子体维持在需要的等离子体离子密度级。顶电极具有电容以使顶电极和在室中形成的需要的等离子体密度下的等离子体在电极-等离子体共振频率下一起共振,发生器的频率至少接近电极-等离子体的共振频率。该反应器还包括围绕位于晶片表面的等离子体处理区的一套MERIE磁体,该套MERIE磁体产生搅动等离子体的缓慢循环磁场以改进等离子体离子密度分布的均匀性。该反应器可包括在朝向工件的顶电极的表面上形成的介电层,在RF功率发生器和顶电极之间的电容介电层,以及覆盖并接触远离并面向工件支撑的顶电极的表面的金属或陶瓷泡沫层。该介电层提供充足的电容以遏制在气体注入口(gas injection port)中的电弧放电,电容介电层具有充足的电容以防止来自室中的等离子体的直流电流流过顶电极,以及足够厚度的金属泡沫层以抑制在气体注入口(gas injection orifice)中的轴向电场。
附图说明
图1是根据本发明一个实施例的等离子体反应器的断面剖视图。
图2A和2B分别是图1同轴短管(stub)的图解描述和用作沿同轴短管位置的电压和电流驻波振幅的图解描述。
图3是在同轴短管上在输入功率接点处的电流的减小(subtraction)以响应高等离子体负荷阻抗而在较大的匹配空间中维持更恒定的输出VHF功率电平。
图4是在同轴短管上在输入功率接点处的电流的增加以响应低等离子体负荷阻抗而在较大的匹配空间中维持更恒定的输出VHF功率电平。
图5是描述用作图1的实施例的频率的低-Q反射系数的曲线图。
图6是描述在同轴短管上的输入功率接点处的电流分布与沿短管长度的驻波电流和电压的相互作用曲线图。
图7是图1的同轴短管的可选实施例。
图8表示本发明的另一个实施例。
图9是对应图8的放大图。
图10是图9的放大图。
图11A是图8的另一个放大图。
图11B是表示对应图11A的可选实施例。
图12表示本发明的另一个实施例。
图13是对应图12的俯视图。
图14是对应图13的反应器的可选实施例的俯视图。
具体实施方式
参考图1,等离子体反应器包括具有晶片支撑105的反应室100,该晶片支撑在室的底部以支撑半导体晶片110。在示例实现中,工艺配套元件可包括,由在接地室主体127上的介电环120支撑的导电或半导电环115。通过圆形导电顶电极在顶部限定室100,在距离晶片110预定间隙长度上方支撑顶电极,晶片110通过介质密封件设置在接地室主体127上。顶电极125可是在其内表面上覆盖半金属材料(例如,Si或SiC)的金属(例如,铝),或者自身就是半金属材料。RF发生器150向电极125施加RF功率。来自发生器150的RF功率通过与发生器150匹配的同轴电缆162耦合到与电极125连接的同轴短管135。短管135具有特性阻抗、共振频率,并提供在电极125和同轴电缆162或RF功率发生器150的输出之间的阻抗匹配,下面将作全面详细描述。室主体连接到RF发生器150的RF返回(RF接地)。从顶电极125到RF接地的RF路径受介质密封件120的电容和介质密封件130的电容的影响。晶片支撑105、晶片110和工艺配套元件导电或半导电环115提供施加到电极125的RF功率的主RF返回路径。
顶电极组件126,包括电极125、工艺配套元件115、120和介质密封件130,在示例性的实施例中,相对RF返回或接地端测量的电容为180皮可法拉。该电极组件的电容受电极区域、间隙长度(晶片支撑和顶电极之间的距离)的影响,并受影响杂散电容的影响,尤其是密封件130和介质环120的介电值(dielectric value)的影响,电容反过来又受介电常数和使用材料的厚度影响。更一般地,电极组件126的电容(无正负的数值或标量)等于或近似等于在特定源功率频率的等离子体(复数)的负电容值、等离子体密度值和工作电压值,如下面将详细描述的。
由于反应器操作所需的等离子体工艺要求、晶片尺寸、在晶片上均匀实施工艺的要求的现实条件,影响前述关系的许多因素很大程度上已被确定。因此,等离子体电容是等离子体密度和源功率频率的函数,同时电极电容是晶片支撑到电极间隙(高度)、电极直径、组件介质介电值的函数。等离子体密度、工作电压、间隙、以及电极直径必须满足反应器执行等离子体工艺的要求。具体地,离子密度必须在确定的范围内。例如,硅和介质等离子体蚀刻工艺一般需要等离子体离子密度在104-1012离子/cc范围内。晶片电极间隙提供用于8英寸晶片的最佳等离子体离子分布均匀性,例如,如果间隙约是2英寸。即使不大于晶片直径,电极直径优选至少与晶片直径一样大。工作电压同样具有用于具体蚀刻和其它等离子体工艺的实际范围。
但是已经发现存在可选的获得上述优选关系的其它因素,特别是用于顶电极组件126的源频率的选择和电容的选择。在前述施加在电极上的尺寸约束和施加在等离子体上的约束(例如,密度范围)中,如果源功率频率选择为VHF频率,并且如果电极组件126的绝缘元件的介电值选择合适,则电极电容应可以与等离子体负电容的值匹配。这种选择在源功率频率和等离子体-电极共振频率之间获得匹配和近似匹配。
因此在一个示例性的实施例中,用于8英寸晶片的顶电极直径约为11英寸,间隙约为2英寸,等离子体密度和工作电压是典型用于上述蚀刻工艺的电压,VHF源功率频率为210MHz(尽管其它的VHF频率可能具有同等效力),并且源功率频率,等离子体电极共振频率和短管共振频率都是匹配或近似匹配的。
具体地,这三个频率互相轻微偏移,在源功率频率约为210MHz时,电极-等离子体共振频率约为200MHz,且短管频率约为220MHz,以获得失谐效应,这种效应可以有利地降低系统Q。系统Q的此类降低使反应器的执行对室内情况变化较不敏感,从而使整个工艺更稳定并能在更宽的工艺窗口实施。
共轴短管135的特定结构设计进一步有利于整个系统稳定、其宽的工艺窗口能力、以及一些其它好处。其包括内部柱状导体140和外部同心柱状导体145。例如,用具有相对介电常数为1的介质147(图1中用端面线表示)填充内部和外部导体140、145之间的空间。内部和外部导体140、145可用例如镀镍铝形成。在一个示例性的实施例中,外部导体145直径约为4英寸,而内部导体直径具约为1.5英寸。短管特性阻抗由内部和外部导体140、145的半径和介质147的介电常数确定。上述实施例的短管135特性阻抗为65欧姆。更广泛地,短管特性阻抗超过源功率输出阻抗约20%-40%,优选约为30%。短管135轴长约为29英寸——在220MHz的四分之一波长——以在接近220MHz具有共振而匹配同时稍微偏移来自VHF源功率频率210MHz。
在沿短管135的轴长的特定点处设置接头160以用于将来自RF发生器150的RF功率施加给短管135,下面将详细描述。发生器150的RF功率终端150b和RF返回终端150a在短管135上的接头160处分别连接到共轴短管导体140、145的内部和外部。以公知的方式,通过发生器到短管共轴电缆162实现这些连接,共轴电缆162具有与发生器150(典型为50欧姆)的输出阻抗相匹配的特性阻抗。终端导体165在短管135的远端135a处一起短路导体140、145的内部和外部,以使短管135在其远端135a被短路。在短管135的近端135b(未短路端),外部导体145通过环形导电壳或支撑175与室的主体连接,同时内部导体通过导电圆柱或支撑176与电极125的中心连接。介电环180被夹持在导电圆柱176和电极125之间并两者隔离开。
内部导体140提供用于利用如工艺气体和冷却剂的管道(conduit)。不同于典型的等离子体反应器,该特征的主要优点是,气体管路(gasline)170和冷却剂管路(coolant line)173不横跨大的电势差。因此它们可用金属——成本较低且用于这一目的更可靠的材料制造。金属气体管路170在顶电极125中或邻近顶电极处,向气体入口172输入气体,同时金属冷却剂管路173在顶电极125内,向冷却剂通道或套管(jacket)174输送冷却剂。
因此,通过在RF发生器150和顶电极组件126之间这种特殊结构的短管匹配提供有源和共振阻抗变换,并处理等离子体负载、最小化反射功率以及提供非常宽的阻抗匹配空间以适应负荷阻抗中的宽变化。这样,提供了宽工艺窗口和工艺的灵活性,同时在功率使用中获得前所未有的效率,同时最小化或避免使用典型的阻抗匹配设备。如上所述,短管共振频率也偏移理想匹配,以进一步提高整体系统Q、系统稳定性和工艺窗口以及多进程的能力。
      匹配电极-等离子体共振频率和VHF源功率频率
如上概述,一个主要特征是配置顶电极组件126,其用于在电极-等离子体共振频率下与等离子体共振,以及用于匹配(或近似匹配)源功率频率和电极-等离子体频率。电极组件126具有主要容抗,而等离子体电抗是频率、等离子体密度和其它参数的复变函数。(如下面将更详细描述的,根据电抗来分析一个等离子体,该电抗是一个复变函数,该复变函数包括虚数项并一般对应负电容)。电极-等离子体共振频率由电极组件126和等离子体的电抗确定(类似于电容器/电感器共振电路的共振频率由电容器和电感器的电抗确定)。因此,电极-等离子体共振频率不必为源功率频率,而应根据等离子体密度确定。因此,问题是找到等离子体电抗的源功率频率以使电极-等离子体共振频率等于或近似等于源功率频率,对于给定的等离子体密度和电极尺寸的特定范围的实际限制的约束。更困难的是,由于等离子体密度(其影响等离子体电抗)以及电极尺寸(其影响电极电容)必须满足某种工艺约束(constraint)。具体地,用于电介质和导体的等离子体蚀刻工艺,等离子体密度应在109-1012离子/cc范围内,其是对等离子体电抗的约束。而且,例如通过约为2英寸的晶片到电极的间隙或高度,以及与晶片直径大小在同一数量级或更大的电极直径,来获得处理直径为8英寸晶片的更均匀等离子体离子密度分布,所述间隙或高度是对电极电容的一个约束。另一方面,对于直径为12英寸的晶片可采用不同的间隙。
因此,通过匹配(或近似匹配)电极电容与等离子体负电容的大小,电极-等离子体共振频率和源功率频率至少近似匹配。对于上述列举的主要导体和介质蚀刻工艺情况(即,等离子体密度在109-1012离子/cc,2英寸间隙和大约11英寸的电极直径),如果源功率频率是VHF频率则有匹配可能。其它情况(例如,不同晶片直径、不同等离子体密度等)可使用不同的频率范围以在实施反应器的此特征中实现匹配。如下面将详细描述的,在包括介质和金属等离子体蚀刻和化学气相淀积的几种主要应用中,在用于处理8英寸晶片的适宜等离子工作条件下,在一种具有上面描述的等离子体密度的典型工作示例中,等离子体电容在-50和-400皮可法拉之间。在示例性的实施例中,通过使用11英寸的电极直径、约2英寸的间隙高度(电极到基座距离)、通过选择介电常数为9、厚度约为1英寸的密封件130的介电材料、以及介电常数为4、厚度约为10mm的用于环120的介电材料,来实现顶电极组件126的电容与此负等离子体电容的匹配。
电极组件126和等离子体一起在电极-等离子体共振频率共振,电极-等离子体共振频率至少近似匹配施加到电极125的源功率频率,假定它们的电容匹配如刚才所述的那样。我们发现对于有利的蚀刻等离子体工艺配置,环境和等离子体、该电极-等离子体共振频率和源功率频率可在VHF频率匹配或近似匹配;并且实现这样的频率匹配或近似匹配是非常有利的。在示例性的实施例中,对应前面的等离子体负电容值的电极-等离子体共振频率约为200MHz,如下面将详细描述的。源功率频率为210MHz,源功率频率在其中近似匹配以轻微偏移上述电极-等离子体共振频率而实现下面将论述的其它优点。
等离子体电容是其它参数、等离子体电子密度的函数。这与等离子体离子密度有关,所述等离子体密度为提供优良的等离子体处理条件,以将等离子体密度保持在通常的109-1012离子/cc所需的范围。这一密度与源功率频率和其它参数一起,确定等离子体负电容、因此此选择受需要的最优等离子体处理条件的约束,如下面将进一步详述的。但是顶电极组件电容受许多物理因素所影响,这些因素包括例如,间隙长度(电极125和晶片之间的间隔距离);电极125面积;用于介质密封件130的介质损耗角正切范围;在电极125和接地的室主体127之间的介质密封件130的介电常数的选择;用于工艺配套元件介质密封件130的介电常数的选择;以及介质密封件130和120的厚度以及环180的厚度和介电常数。这使得可通过在影响顶电极电容的这些和其它的物理因素中进行选择来调节电极组件电容。我们发现此调节范围足以获得顶电极组件电容与负等离子体电容值的匹配度。具体地,选择用于密封件130和环120的介电材料和尺寸以提供需要的介电常数和相应的介电值。尽管影响电极电容的一些相同的物理因素特别如间隙长度,受处理较大直径晶片的要求的实用性的规定和限制,但仍可获得电极电容和等离子体电容的匹配;具有在晶片的整个直径上的优良均匀的等离子体离子分布;具有离子密度对离子能量的良好控制。
给定等离子体电容以及匹配的顶电极电容的前述范围,用于210MHz的源功率频率的电极-等离子体共振频率约为200MHz。
以这种方式选择顶电极组件126的电容,然后将形成的电极-等离子体共振频率与源功率频率匹配的极大好处是,电极和近似源功率频率的等离子体的共振提供了更宽的阻抗匹配以及更宽的工艺窗口,从而对工作条件中的变化更不敏感,因此操作更加稳定。整个处理系统对操作条件中的偏差,例如,等离子体阻抗中的漂移更不敏感,因此在更加可靠的同时具有更大的工艺适应范围。如后面将在说明书中具体讨论的,通过在电极-等离子体共振频率和源功率频率之间的轻微偏移可进一步增强该优势。
             为什么等离子体具有负电容:
等离子体电容由等离子体的电容率(permittivity)ε控制,ε是复数,并且根据下面公式,其是自由空间的电容率ε0、等离子体电子频率ωpe、源功率频率ω以及中性电子(electron-neutral)碰撞频率υen的函数:
ε=ε0[1-ωpe 2/(ω(ω+iυen))]其中i=(-1)1/2
(等离子体电子频率ωpe是等离子体电子密度的简单函数,并且在等离子体工艺的出版物中是公知常识。)
在一个工作的示例中,中性物质是氩,等离子体电子频率约为230MHz,室压在10mT到200mT范围的施加有充足的RF功率以使等离子体密度在109和1012cc-1之间的RF源频率约为210MHz。在这些典型有利于等离子体蚀刻工艺的条件下,由于通过前面公式定义的等离子体的有效电容率为负,等离子体一般具有负电容。根据这些条件,等离子体具有-50到-400皮可法拉的负电容。然后正如我们在上述更一般的术语中所见,等离子体电容,作为等离子体电子密度(以及源功率频率和中性电子碰撞频率)的函数,一般趋向于受关键应用的特定等离子体工艺现实条件的限制,例如,介质蚀刻,金属蚀刻和CVD,趋向于到某种希望的范围,并趋向于在VHF源功率频率下具有负值。通过利用等离子体的这些特性,反应器的电极电容匹配以及频率匹配特性达到了以前不可能有的工艺窗口能力(process window capability)、灵活性以及操作稳定性。
   通过短管135提供阻抗变化(impedance transformation)
短管135在RF发生器150的50欧姆输出阻抗和由电极组件126与室中的等离子体结合所提供的负荷阻抗之间提供阻抗变化。对于这一阻抗匹配,必须使发生器-短管连接和短管-电极连接处很少或没有RF功率反射(至少没有超过RF发生器150的VSWR限制反射)。现在将描述如何完成这些。
在发生器150需要的VHF频率下,以及在适于等离子体蚀刻工艺的等离子体密度和室压下(即,分别为109-1012离子/cm3和10mT-200mT),等离子体自身的阻抗约为(0.3+(i)7)欧姆,这里0.3是等离子体阻抗的实数部分,i=(-1)1/2,并且7是等离子体阻抗的虚数部分。由电极-等离子体联合提供的负荷阻抗是等离子体阻抗和电极组件126电容的函数。如上所述,选择电极组件126的电容以在电极组件126和具有约200MHz的电极-等离子体共振频率的等离子体之间实现共振。由于短管135的共振频率被设定为电极-等离子体共振频率或接近电极-等离子体共振频率以使两者一起至少近似共振,因此在短管-电极界面处的RF功率反射为最小或被避免。
同时,由于沿短管135的轴向长度方向设置接头160以使在接头160处,在短管135中驻波电压与驻波电流的比率接近发生器150的输出阻抗或电缆162的特性阻抗(都是50W),因此在发生器-短管界面处的RF功率反射为最小或被避免。是如何设置接头160并达到这一点的下面将进行论述。
                短管的接头160的轴向定位
优选同轴短管135的轴向长度是“短管”频率(例如,220MHz)的1/4波长的若干倍,该“短管”频率如上所述为近似电极-等离子体共振频率。在示例性实施例中,此倍数为2,以使同轴短管长度约为“短管”频率的1/2波长,或约为29英寸。
接头160沿短管135的长度特定轴向位置定位。在此位置,处于发生器150的输出频率的RF信号的驻波电压振幅和驻波电流之间的比率与匹配RF发生器150的输出阻抗的输入阻抗对应(例如,50欧姆)。这在图2A和2B中进行了描述,在此两图中,在短路外侧短管端135a,短管135中的电压和电流驻波分别具有零位和峰值。对于接头160期望的位置是向内距离短路端一个A距离,这里驻波电压和电流的比值相当于50欧姆。此位置已经为所属技术领域的技术人员使用,并且凭经验确定那里的驻波比值为50欧姆。提供与RF发生器输出阻抗(50欧姆)匹配的接头160的距离或位置A是短管135的特性阻抗的函数,稍后将在实施例中描述。当接头160被精确设置在距离A处,如果RF发生器是可维持超过3∶1电压驻波比率(VSWR)功率传送系数的典型类型,则在负荷阻抗的实数部分中阻抗匹配空间容纳9∶1的变化。
在示例性实施例中,阻抗匹配空间极大扩展以达到了在负荷阻抗的实数部分中可容纳接近60∶1的变化。该显著的效果是通过将接头160从位置A的精确的50W点处向同轴短管135的短路外端135a轻微移动来实现的。这一移动,如在示例性实施例中为波长的5%(即,约为1.5英寸)。发现反应器中,在此轻微移动接头位置中,在接头160处的RF电流分布减小或增大了短管中的电流,使其更加合适补偿在等离子体负荷阻抗中的变动,如将参考图3和4进行的描述。这样的补偿足以将匹配空间从在负荷阻抗的实数部分中容纳9∶1的振幅增加到容纳60∶1的振幅。
当接点从A处的“匹配”位置移开时,认为此行为由于短管135中的驻波电流的相的变化而变得对与电极-等离子体负荷阻抗的阻抗失配更加敏感。如上所述,在名义工作条件下,电极组件126与等离子体负电容匹配。此电容在优选的VHF源功率频率(210MHz)下是-50到-400皮可法拉。在此电容下,等离子体显示的等离子体阻抗为(0.3+i7)欧姆。因此,0.3欧姆是系统调谐等离子体阻抗的实数部分。当等离子体条件发生变化时,等离子体电容和阻抗变离它们的名义值。当等离子体电容变离电极125匹配的电容时,电极-等离子体共振的相发生变化,其影响短管135中的电流相。当短管的驻波电流相因此移动时,根据相移动的方向,提供给接头160的RF发生器电流将增大或减小短管的驻波电流。接头160从在A的50欧姆位置处的位移被限定在波长的小比数范围内(例如,5%)。
图3描述当等离子体阻抗的实数部分由于等离子体变动而增加时在短管135中的驻波电流。在图3中,电流驻波的振幅被描述为沿短管135的轴向位置的函数。在驻波电流振幅中在水平轴上的位置0.1处的不连续对应于接头160的位置。在图3的曲线图中,由于等离子体阻抗的实数部分高于系统用于调谐(即,电极电容匹配负等离子体电容)的名义上的等离子体阻抗,因此发生阻抗失配。在这种情况下,在接头160处的电流减去短管135中的驻波电流。这种减小引使图3的曲线图中的不连续或零位,并减小了输送功率而抵消了增加的负载。这避免了由于较高负载(R)引起的输出功率(I2R)的相应增加。
图4描述了当等离子体阻抗的实数部分减小时,短管135中的驻波电流。在图4中,电流驻波振幅是作为沿短管135的轴向位置的函数绘出。在位置0.1处,在驻波电流振幅中的不连续标记了接头160的位置。在图4的曲线图中,等离子体阻抗的实数部分低于用于系统调谐的名义等离子体阻抗。在这种情况下,在接头160处的电流加到短管135中的驻波电流。这种增加提高输出功率并补偿了减小的负载,以避免由于减小负载(R),在输出功率I2R的相应减小,有了这种补偿,即可在负荷阻抗中容纳更大的变化以使匹配空间显著地增加。
这种在负荷阻抗的实数部分中可容纳60∶1的匹配空间的振幅的扩展增强了工艺窗口和反应器的可靠性。这是由于在特定工艺或应用中当工作条件变化时,或当反应器在用于不同应用的不同操作处方(operating recipe)下工作时,等离子体阻抗将变化,特别是阻抗的实数部分。在现有技术中,这种变化会很容易超过系统中使用的常规匹配电路的范围,以致无法再有效地控制输出功率以支持可行的工艺,并且可能导致工艺失败。在本发明的反应器中,可将输出功率维持在需要电平的负荷阻抗的实数部分的范围增大很多,以致原来会导致工艺失败的等离子体阻抗变化对本发明的包含这一方式的反应器没有影响或影响很小。因此,在特定工艺和应用过程中,本发明使反应器能够承受工作条件中的更大变化。可选地,本发明可使反应器用于包括更宽范围的工作条件的许多不同应用中并具有显著优点。
作为本发明的另一个优点,提供此扩展阻抗匹配的同轴短管135是不具有“活动件”的简单被动器件,所谓的“活动件”如常规阻抗匹配设备的可变电容/伺服系统或可变频率/伺服系统。因此其不贵并且比其替换的阻抗匹配设备要可靠得多。
            解谐操作和共振频率以展宽工艺窗口
根据另一方式,通过相互轻微偏移短管的共振频率、电极-等离子体共振频率和等离子体源功率频率降低系统Q以展宽工艺窗口。如上所述,短管共振频率为短管135的轴长为波长一半的频率,电极-等离子体共振频率是电极组件12和等离子体一起共振的频率。在示例性的实施例中,短管135被截取为其共振频率为220MHz的长度,RF源功率发生器150选择为在210MHz下工作并且形成的电极-等离子体共振频率约为200MHz。
通过选择用于等离子体共振、短管共振以及源功率频率的这样三个不同频率,而不是三个一样的频率,系统有点“失谐”。因此具有较低的“Q”。使用较高VHF源功率频率同样按比例降低Q(除了根据适宜蚀刻的工作条件利用电极和等离子体电容的匹配)。
降低的系统Q展宽系统的阻抗匹配空间,以使其操作不易受等离子体条件变化或制造公差的偏差的影响。例如,电极-等离子体共振由于等离子体条件的变化而变化。具有较小的Q,在短管135和对于阻抗匹配(在该实施例中前面描述的)所必须的电极-等离子体组合之间的共振随等离子体-电极共振中的给定变化的变化较小。结果,等离子体条件中的变化对阻抗匹配影响较小。特别是,等离子体操作条件中的给定偏差在RF发生器150的输出的VSWR中产生较小的增加。因此,可在等离子体工作条件更宽的窗口(压力、源功率电平、源功率频率、等离子体密度等)中操作反应器。而且,制造公差可放松可节约成本并在实现相同模型设计的反应器中实现统一的操作,这是显著的优点。相关的优点在于相同的反应器具有足够宽的工艺窗口以用于执行不同的工艺配置以及不同的应用,如导体蚀刻、介质蚀刻和/或化学气相淀积。
最小化短管特性阻抗以展宽工艺窗口:
展宽调谐空间或降低系统Q的另一个选择是降低短管135的特性阻抗。然而,短管特性阻抗优选超过发生器输出阻抗,以保留足够的匹配空间。因此,系统Q优选减小,但减小的数量只是短管135的特性阻抗超过信号发生器150的输出阻抗的程度。
同轴短管135的特性阻抗是内部导体140、外部导体145的半径和导体之间的绝缘体147的介电常数的函数。选择短管特性阻抗以在等离子体功率源150的输出阻抗和电极135的输入阻抗之间提供必需的阻抗变化。此特性阻抗处于最小特性阻抗和最大特性阻抗之间。改变短管135的特性阻抗来改变图2的波形,并因此改变接头160的期望的位置(即,它从短管135的最远端的位移A)。短管135允许的最小化特性阻抗是图2的距离A为0时的值,以便接头160必须定位在与电极125相对的同轴短管135的最远端135a,以便在驻波电流和电压之间看见(see)50欧姆比值。该短管135允许的最大特性阻抗是图2的距离A等于短管135的长度时的值,以便接头160必须选择在邻近电极125的同轴短管135的近端135b,以便在驻波电流和电压之间看见50欧姆比值。
在初始实施例中,同轴短管特性阻抗被选择大于(约30%)RF发生器150的输出阻抗,以提供足够的匹配空间。由于阻抗匹配条件通过选择接头点160位置获得,以满足下面方程,因此短管阻抗必须超过RF发生器输出阻抗:
Zqen=a2[Zstub 2plasma]
这里通过定位接头点确定a,并且a在0和1之间。(对应在远端135b和接头160之间的小部分短管135的电感与整个短管135的电感的比值。)由于a不能超过1,因此短管的特性阻抗必须超过发生器输出阻抗以找到前述方程的解。然而,由于系统Q直接与短管特性阻抗成比例,因此短管特性阻抗超过发生器特性阻抗的数值优选在某种程度上最小化,以保持实用的低Q。在示例性实施例中,短管的特性阻抗只超过发生器输出阻抗约15欧姆。
然而,在另一个实施例中,同轴短管特性阻抗可选择为小于等离子体功率源(发生器)输出阻抗以获得更大的功率效率,其中阻抗匹配有些降低。
通过短管的阻抗变化获得提高的功率效率
如在本说明书中开始讨论的,适合等离子体蚀刻工艺的等离子体工作条件(例如,等离子体密度)导致具有极小实数(有功)部分(例如,小于3欧姆)和小虚数(无功)部分(例如,7欧姆)的等离子体阻抗。由于电极电容是使功率流入反应器那部分的主要阻抗,因此电容损耗在系统结合的电极-等离子体区域占主要部分。因此,在电极-等离子体结合中的功率损耗与在电极-等离子体结合上的电压成比例。相反,电感和电阻损耗在短管135占主要部分,这是因为短管135的电感和电阻是流入短管135的功率的阻抗的主要因素。因此短管135中的功率损耗与短管中的电流成比例。短管特性阻抗比由电极-等离子体结合提供的阻抗的实数部分大得多。因此,在较高的阻抗短管135中的电压将高于在较低的阻抗等离子体中的电压,而在较高的阻抗短管135中的电流将低于在较低的阻抗等离子体中的电流,其中电流高的电压低。因此,在短管135和等离子体-电极结合之间的阻抗变化在短管135中产生较高电压和较低电流(这里电阻和电感损耗是主要的并且这里这些损耗都被最小化)并且在等离子体/电极处产生对应的较低电压和较高电流(这里电容损耗是主要的并且这里这些损耗现在为最小)。以这种方式,系统中的整体功率损耗被最小化从而极大地提高了功率效率,一个显著的优点。在示例性的实数例中,功率效率为95%或更大。
因此,结构如上描述的短管135不仅用于提供在很宽范围或窗口的工作条件下的阻抗匹配或在发生器和电极-等离子体阻抗之间的变化,而且还提供功率效率上的显著改进。
                    交叉接地
在晶片表面处的离子能量可独立于等离子体密度/顶电极功率而控制。这样的离子能量的独立控制通过对晶片施加HF频率偏压功率源来实现。此频率(典型为13.56MHz)明显低于对控制等离子体密度的顶电极施加的VHF功率。通过常规阻抗匹配电路210耦合到晶片支撑105的偏压功率HF信号发生器210,对晶片施加偏压功率。偏压发生器200的功率电平控制接近晶片表面处的离子能量,并一般是等离子体源功率发生器150的功率电平的一部分。
如上所述,同轴短管135包括在外侧短管端,并在内同轴短管导体140和外同轴短管导体145之间提供短路的短路导体165。如图2中所示该短路导体165确定VHF驻波电流峰值和VHF驻波电压零位的位置。然而,由于短管共振和等离子体/电极共振的耦合,二者都处于或近似处于VHF源功率频率,因此短路导体165不会短路VHF施加功率。导体165确实表现为用于其它频率的接地短路,如施加到晶片的HF偏压功率源(从HF偏压发生器200)。其还短路掉较高的频率,例如在等离子体鞘中产生的VHF源功率频率的谐频(harmonics)。
晶片110和晶片支撑105的结合,以及HF阻抗匹配电路210和与匹配电路210连接的HF偏压功率源200提供非常低的阻抗或施加到顶电极125的VHF功率的近似接地短路。结果,系统交叉接地,通过顶电极125和短路同轴短管135,HF偏压信号返回地面,并且,通过穿过晶片的低阻抗路径(用于VHF),HF偏压阻抗匹配210与HF偏压功率发生器200,在顶电极135上的VHF功率信号被返回地面。
由于顶电极125的面积大以及相对窄的电极到晶片间隙,在晶片平面和顶电极125平面之间的室侧壁的暴露部分,对施加到顶电极125的VHF功率的直接返回路径起到的作用很小或不起作用。实际上,室侧壁可通过使用磁隔离(magnetic isolation)或介质涂覆(dielectriccoating)或环形介质插入(dielectric insert)或可移动衬套(liner)与等离子体隔离。
为限定在垂直的电极到基座路径中以及远离室100其它部件如侧壁的,来自顶电极125的VHF等离子体源功率的电流流动,有效的接地或在晶片110平面中的返回电极面积被扩大到超过晶片或晶片支撑105的物理面积,以致其超过顶电极125的面积。这通过提供环形工艺配套元件115来实现,一般与晶片110共面并环绕晶片110的导电或半导电环部提供接地的室体的杂散电容。这扩展了在晶片110平面中的用于来自顶电极的VHF功率信号“返回”电极的有效半径。在示例性实施例中,工艺配套元件115的导电或半导电环部分通过工艺配套元件115的介质环部120与接地室体绝缘。选择介质环120的厚度和介电常数以获得通过晶片110的与通过工艺配套元件115的导电和半导电环部的VHF接地电流的理想比值。
为限定来自偏压发生器200的HF等离子体偏压功率,在晶片表面和电极125之间的垂直路径中的电流动,并避免电流流到室的其它部位(例如,侧壁),顶电极135提供显著大于晶片区域或晶片支撑105的有效的HF返回路径面积。在晶片支撑105的平面中的工艺配套元件115的环部对将HF偏压功率耦合到室中不起明显作用,以便用于耦合HF偏压功率的有效电极面积基本限定在晶片和晶片支撑的面积。
                等离子体稳定性的增强:
通过消除将等离子体耦合到短路导体165的直流来增强等离子体的稳定性,短路导体165在短管135的背面穿过并连接内部和外部导体140、145。这是通过在同轴短管内部导体140和电极125之间提供薄电容环180来实现的。在图1的实施例中,环180夹在在下部的电极125和导电环形内壳支撑176之间。在此处描述的示例性实施例中,电容环180的电容约为180皮可法拉,根据选择的偏压频率,频率约为13MHz。具有该值的电容,电容环180不会阻抗上述交叉接地特性。在交叉接地特征中,在晶片基座上的HF偏压信号通过短管135返回到HF偏压发生器150的RF返回端,同时VHF来自电极125的源功率信号通过晶片基座被返回到VHF源功率发生器150的RF返回端。
图5是描述在VHF功率源和顶电极125之间作为频率函数的反射系数的曲线图。该图描述了反射系数在6dB下的很宽频率能带的存在,其是上面讨论的高性能低系统Q的表示。
图6描述作为沿同轴短管135的位置的函数的驻波电流,其是在接头160被设置在图2B中距离短管短路端距离A处。
图7描述反应器的可选实施例,其中同轴短管135的内部导体140是锥形的,其在邻近顶电极125的近短管端135b处的半径较大,而在远短管端135a的半径较小。此特征在由同轴短管135在接头160处的提供的低阻抗(例如,50W)和由同轴短管135在顶电极125处提供的较高阻抗(例如,64W)。同样,如图7所示,短管135不必是弯曲的但可以是直的。
从前面的描述可以理解,本发明的室涉及电容耦合的反应器,该反应器具有由VHF等离子体源功率RF电源,而不是由HF功率电源驱动的顶电极。我们已发现VHF源功率频率,不同于HF频率,VHF源功率频率对调整顶电极以与等离子体共振是实用的,并由此获得前所未有的稳定性和有效性以及许多其它优点。
前面实施例的VHF电容耦合等离子体反应器具有高蚀刻选择性和有效性的优点。高效率使反应器能产生相对高密度的等离子体,可与那些电感耦合反应器相抗衡。然而,VHF电容耦合反应器显示的蚀刻选择性远优于电感耦合反应器。这是因为VHF电容耦合反应器工艺气体物质的滞留时间很短,因此不易被挥发性物质如氟离解(相对于电感耦合反应器)。
半导体器件的几何形状被微电产业不断减小以获得更高的器件速度。这种在器件尺寸和几何形状上的减小增大了器件中的接触孔的纵横比(aspect ratio)。结果,蚀刻工艺必须具有相对更大的蚀刻速率以及蚀刻选择性。然而,即使具有高效VHF电容耦合等离子体反应器,获得具有二氧化硅比光刻胶10∶1的高蚀刻选择性的二氧化硅高蚀刻速率如9,000埃/秒看来仍然是不切实际的。这是因为这样的性能要求反应器在晶片或工件表面产生的等离子体分布均匀性明显改进。否则,当器件几何形状缩小时,由于过蚀刻(在较高等离子体离子密度区域)和过招早蚀刻终止(在较低等离子体密度区域),工艺变得更容易失败。为避免过蚀刻问题,必须减小整体等离子体密度,这降低了蚀刻速率。因此,更需要找到改进等离子体离子分布均匀性的方法。
如果等离子体离子密度分布均匀性在VHF电容耦合反应器中可得到改进,则可在同一反应器中实现极好的蚀刻选择性和高蚀刻速率。
克服不均匀等离子体密度分布问题的一种反应器是磁增强反应离子蚀刻(MERIE,magnetically enhanced reactive ion etch)等离子体反应器。典型地,MERIE反应器是将HF频率RF源功率施加到晶片支撑基座并通过室顶或侧壁返回的电容耦合反应器。其关键部件是具有产生等离子体缓慢环流磁场的电磁阵列,该等离子体缓慢环流磁场使等离子体穿过工件平面循环或搅动(stir)。这种搅动在工件表面提供了等离子体密度的高度均匀分布。然而,由于其效率,MERIE反应器不能获得VHF电容耦合反应器的高等离子体密度和高蚀刻速率。而且,MERIE反应器遇到很多问题:
(1)MERIE反应器在晶片支撑基座和晶片支撑基座内的金属进给线之间电弧放电。这样的电弧放电抑制了等离子体源功率的等离子体离子的产生,因此削弱了对等离子体离子密度和蚀刻速率的控制。在由于器件几何形状极其小因而蚀刻速率很关键的工艺中,这样的控制削弱将导致工艺的失败。
(2)MERIE反应器必须采用容易促使工件上的器件损坏的强磁阵列(10-100高斯)。为产生接近工件的充足等离子体密度并循环等离子体就需要这样的强磁场。这样的强磁场才能维持相邻工件和晶片、靠着等离子体鞘的等离子体有效部分。
但是,MERIE反应器的主要缺点是其不能获得VHF电容耦合反应器提供的高等离子体密度。因此,具有高等离子体离子密度和高蚀刻选择性能力的反应器(例如,VHF电容耦合反应器)不能提供高度均匀的等离子体离子密度分布。而且,具有优良的等离子体离子密度分布均匀性的反应器(例如,MERIE反应器)不能产生高等离子体离子密度。
使工艺气体进入电容耦合等离子体反应器的好方法是通过顶棚(overhead ceiling)注入工艺气体。在本发明的电容耦合等离子体反应器中,顶棚是通过同轴短管或等同的阻抗匹配元件耦合到VHF RF功率发生器的源功率电极。为从顶部注入工艺气体,顶电极也为“喷头”状,其为具有小的气体注入孔以穿过其而注入工艺气体的导电层。在一些实例中,等离子体放电或“电弧放电”是发生在顶气体注入孔中。这易造成因等离子体放电而从气体注入孔的内表面去除顶电极或喷头的材料。因此,进入等离子体的物质(例如,金属物质)可能污染晶片或工件的表面,并损坏在其上制造的微电子器件。
因此,需要减少或消除在气体注入孔中(或除了总等离子体(bulkplasma)外的任何地方)激发等离子体,特别是在具有结合连接到VHF等离子体源RF电源的顶电极/气体分布喷头的等离子体反应器中。
顶电极在与等离子体接触中会磨损,特别是因为其既是用于偏压功率的阳极,又是用于源功率的阴极,因此遭受RF和DC电流。如果找到避免这些电流直接穿过顶电极的方法或找到避免等离子体与电极直接接触的方法,侧可降低反应器的工作成本。
在等离子体反应器中一般出现的问题是在等离子体鞘内的二次和三次谐波的产生。在本发明的反应器中,当通过顶电极施加等离子体VHF源功率时,通过在晶片支撑基座上的HF信号施加等离子体偏压功率。在HF频率,大部分RF功率被消耗在鞘中时,剩余的维持总等离子体。等离子体鞘是非线性负载,因此其产生施加到晶片支撑基座的HF偏压信号的二次和/或三次谐波。以削弱工艺性能的方式该谐频的存在改变了等离子体特性。特别是,避免蚀刻终止或过蚀刻的工艺控制变得更加困难,并且降低了蚀刻速率。需要减少这种影响等离子体的谐频的产生。
在本发明的反应器中。其长度与VHF源功率信号的波长相关的同轴调谐短管,具有的覆盖区大于反应器其余的面积。因此可在不牺牲同轴调谐短管的任何优点的情况下,减小此覆盖区,这一点具有优势。
将MERIE反应器的某些部件与图1-7的VHF电容耦合反应器结合解决了上面产生的问题,并且此种结合具有两种反应器的所有优点而没有它们中的一个缺点,是本发明的一个发现。通过将MERIE磁阵列添加到图1-7的VHF电容耦合反应器中以形成这种结合的反应器。通过MERIE磁体的等离子体循环解决了在VHF反应器中的等离子体离子分布不均匀性的问题。然而,MERIE反应器需要从喷头气体分布片或喷头提供工艺气体。如在本说明书下面将详细论述的,在图1-7的VHF电容耦合反应器中,由于这种反应器的高等离子体密度,提供这种气体分布片也存在问题。特别是,在气体分布片的气体注入孔内,这种反应器容易电弧放电。为获得具有MERIE反应器的VHF电容耦合反应器的期望结合,在气体注入孔内的电弧放电问题必须解决,此问题的解决方案在说明书后面部分进行描述。
该结合的一个令人意想不到的效果是图1-7的VHF反应器的较高效率产生了通过二个或更多个因素可减小MERIE磁体磁场的等离子体密度。特别是MERIE磁场必须典型地处于约10-100高斯的范围。然而,由于更大的等离子体离子密度,在VHF电容耦合反应器中,只具有一半磁场约30-60高斯的MERIE磁场可获得相同的等离子体分布均匀性。这是由于MERIE磁场只需要做较少的功就可将接近等离子体鞘的相同数量的等离子体拉到晶片上,等离子体是由MERIE磁场环流(circulation)搅动(stir)的。因此,更少的磁场可提供等离子体离子密度分布的相同最优均匀性。磁场的减小降低了由等离子体离子造成的器件损坏数量。在MERIE磁场中的50%的减小不仅减少了并且基本上消除了器件损坏。这解决了典型的MERIE中的器件损坏问题。
另一令人意想不到的效果是VHF源功率的存在防止了困扰典型的MERIE反应器的在晶片支撑基座处的电弧放电,如前面所提及的那样。这反过来极大地改进了MERIE反应器中的工艺控制。这种电弧放电易于发生在晶片支撑基座和处于晶片支撑基座中或下的金属气体管道或冷却剂馈送管道之间。
在示例性实施例中,VHF源功率频率远高于与MERIE磁体相关的回旋共振频率(cyclotron resonance frequency)。这防止了具有MERIE磁场的等离子体电子的电子回旋共振(electron cyclotron resonance)的形成,其抑止VHF源功率的离子产生,并因此阻碍对等离子体离子密度的控制。参考图1-7选择VHF源功率频率以使等离子体频率处于或接近顶电极的共振频率。该频率远高于与MERIE磁场相关的回旋共振频率。该电子回旋频率定义为:
qB/(me2Π)
其中q是电子电荷,B是MERIE磁体阵列的磁场,而me是电子质量。该公式显示回旋频率与磁场成比例,这指出通过VHF源功率的便用实现该优点:如上面所述,图1-7的VHF电容耦合反应器能产生比常规MERIE反应器高得多的等离子体密度,常规MERIE反应器是当用于VHF反应器中时,MERIE磁场可显著减小的反应器。如上所述,这不仅降低了对器件损坏量而且将电子回旋共振频率很好地降低到VHF源功率频率以下。在一个实施例中,与MERIE磁体相关的回旋共振频率计算值约为150MHz,并且导致等离子体共振频率与顶电极共振频率近匹配的VHF源功率频率约为176MHz。在另一个实施例中,VHF源功率频率超过200MHz,其甚至超过回旋共振频率。
图1-7VHF电容耦合等离子体反应器与MERIE磁体的结合产生具有三个独立控制参数的反应器:
(1)由VHF源功率电平控制的等离子体密度。
(2)由施加到晶片支撑基座的HF偏压功率电平控制的在晶片表面的离子能量。
(3)由MERIE磁体的磁场控制的等离子体离子均匀分布程度。
此类反应器具有优异的性能。特别是,反应器可将4000瓦特的VHF源功率耦合到约95%效率的等离子体,其VHF频率达250MHz,并用于室压约在1mT到3000mT范围内的情况。
在该MERIE VHF反应器中,工艺气体最好通过顶VHF源功率电极引入。为达到该目的,顶电极具有分布气体功能的喷头,通过提供穿过顶电极的小的气体注入喷嘴或口的阵列来实现。工艺气体通过同轴调谐短管的中心导线被引入到这些口中。由于中心导线耦合到顶电极,所以工艺气体的馈送完全布受等离子体和电场的影响。
避免了电弧放电和其它潜在问题,同时通过特征的结合保留了上述所有优点,其中之一是通过将顶电极与VHF调谐短管隔离,使顶电极处于浮动D.C电势。这通过在同轴调谐短管和顶电极之间设置介质薄膜实现。此特征防止DC等离子体电流经由顶电极通过调谐短管返回。并由此减少在顶电极中的气体注入孔(gas injection hole)内的电弧放电。
减少电弧放电的另一个特征是在等离子体和顶电极之间提供电容。为达到该目的,在面对等离子体的顶电极的电极表面上形成介电层。优选通过阳极化该电极表面实现,特别是电极中的气体注入口的内表面。该特征有助于消除顶电极中的气体注入口中的等离子体电弧放电。用于此目的一个原因是阳极化电极表面的电容提供电荷存储能力,此电荷存储能力使来自等离子体的RF电流的一些电荷被存储而不是传递到电极表面。因此,这些电荷从顶电极中的气体注入口的表面转移,这样避免了等离子体的点燃。
除避免顶电极的气体注入口中的等离子体电弧放电外,电容性隔离顶电极的部件还有利于延长电极寿命,这是由于其导致在等离子体合电极之间无净直流产生,一个显著的优点。
为进一步降低在气体注入口中的等离子体电弧放电的风险,引入的另一个部件是,即在同轴短管和电容层之间的金属或陶瓷“泡沫”层,该电容层位于电极和同轴调谐短管之间。在一个实施例中,金属泡沫层的直径一般与顶电极的一同延长。金属泡沫层是本领域公知的商业上可购买的类型并典型地由具有随机单元结构的铝矩阵构成。金属泡沫层的优点是其抑制电极附近(即顶电极上方的通风间)的电场,以减少在顶电极中的气体注入口内侧的等离子体电弧放电。
金属泡沫层也用于阻挡引入的工艺气体以获得穿过顶电极中的气体注入口阵列的均匀气体分布。优选顶棚中的气体注孔或口被分成径向内组和径向外组。一层金属泡沫层在第一气体源和口的外组之间阻挡气体,而另一层金属泡沫层在第二气体源和口的内组之间阻挡气体。工艺气体的径向分布流可通过独立调整两个气体源的气体流量来调整。
如上面母申请中描述,同轴调谐短管和顶电极提供用于施加到晶片支撑基座的HF偏压功率的接地低阻抗RF返回路径。然而,已经发现在同轴调谐短管和顶电极之间插入的新电容介电层可用于调整通过顶电极到特定HF频率的返回HF路径。选择VHF源功率频率(在顶电极上)的一个优点是如果调为HF频率,由于电容层(在顶电极和调谐短管之间的)是用于VHF频率的宽带的电短路,因此不会影响施加到顶电极的VHF信号。
起初,RF返回路径通过增加的电容层被调谐的窄HF频率通路被集中在施加到晶片支撑基座的HF偏压源功率的频率。然而,通过选择该电容可解决外层产生谐频问题以调谐通过从顶电极到HF偏压功率信号的二次谐波HF返回路径。该选择的结果是在HF二次谐波显著影响总等离子体之前,在接近顶电极的等离子体鞘中产生的HF二次谐波通过顶电极被分流到地。在一个实施例中通过此部件将蚀刻速率提高了10%到15%。在该情况下,相信HF偏压信号的基波通过其它有效RF路径如室侧壁,返回到地。
如下面将详细描述的,选择用于在选择HF频率下共振的该附加电容层(在顶电极和调谐短管之间)的电容不仅必须考虑在顶电极处的薄等离子体鞘而且还要考虑在晶片支撑基座处的厚等离子体鞘。
本发明的高效VHF等离子体源能保持足够高密度的等离子体以便其可用于周期性地彻底干洗室内部。如在说明书中采用的,术语“干洗”指不应用液态化学试剂而是应用在等离子体的清洁工艺需要,以便真空外壳不必打开。由于用这种方法可彻底清除室的聚合物残余物,所以在晶片处理中,应将室表面保持在足够高的温度下以持续地蒸发其上淀积的任何聚合物沉积,以在整个处理过程中,保持室至少接近没有聚合物沉积。(相反,对于不能彻底清洁的反应器,必须控制等离子体条件以使沉积在室壁表面的聚合物连续粘附而不是将其清除,以避免污染工艺。)为了该目的,顶电极组件包括用于引入加热或冷却顶电极的液体的液体通路,及其外表面的温度控制。在处理过程中,等离子体条件(离子能量、壁温等)优选使室表面上无聚合物聚集。在清洁过程中,任何微小的聚集都被彻底地清除。
此类特征的一个优点是在在顶电极上或相邻顶电极上提供光学窗口,因为在处理期间,顶电极保持干净或没有聚合物淀积。因此,反应器性能可光监视。因此,可选地顶电极包括接近其中心的光学窗口,并具有用于连接室外传感器的向上延伸的光纤线缆。等离子体工艺的光监视可以用于执行终点(end-point)探测,例如,利用常规光测量技术,光监视器(optical monitor)可测量在等离子体蚀刻工艺中减小的层厚或在等离子体辅助化学气相沉积工艺中增加的层厚。
为解决从顶电极外露表面的材料进入等离子体并最终到达晶片或工件的污染问题,附加的外层被设置在顶电极下(等离子体面对的)表面上。该附加外层由与特定工艺相适应的材料构成。例如,在二氧化硅蚀刻工艺中,顶电极上的外层可为硅或碳化硅。可选地,在该外层设置前,顶电极等离子体面对的表面被阳极化,如上面提到的。
本发明另一发现是等离子体可显示比早先期望更大的电阻负荷阻抗变化和更小的电抗性负荷阻抗变化。特别是电阻负荷阻抗可变化到100∶1(而不是60∶1),而电抗负荷阻抗可仅仅变化20%(而不是35%)。该差异使同轴调谐短管的特性阻抗从65欧姆(高于RF发生器的50欧姆输出阻抗)减小到30欧姆(低于RF发生器的输出阻抗)。此减小在很小的效率变化下,获得了成倍增加的调谐空间。特别是,由于同轴短管特性阻抗的减小,在可通过调谐短管匹配的等离子体电阻负荷阻抗中的变化范围从60∶1(如在母申请中的那样)增加到100∶1。同轴短管的特性阻抗通过其内导体和外导体半径确定,如上面引用的母申请中所述。
为减小同轴调谐短管的覆盖区,用等效条线电路替代。同轴调谐短管的外导体成为作为覆盖反应器的金属盖的接地平面,同时同轴调谐短管的中心导线成为条线导体。通过调整在条线导体和接地平面(该盖)之间的距离来调整条线导体的特性阻抗。调谐器件(tuning device)的覆盖区被减小由于,在同轴调谐短管沿直线延伸时,条线导体可在盖内侧环形卷绕,以此减小面积或覆盖区。同轴调谐短管的所以这些部件都保留在条线电路中。因此,以与上述的同轴调谐短管的长度的相同方式确定条线导体的长度。同样,沿条线导体长度用于提供连接VHF发生器的点或接头的位置与上面母申请中描述的同轴调谐短管的接头一样。同样,条线导体是中空的并且各种设施(utilities)以与上述的穿过同轴调谐短管中心导线的相同方式穿过条线导体提供。
     具有MERIE磁体的VHF电容耦合反应器的结构
参考图8和9,VHF电容耦合等离子体反应器包括图1中有的下面元件:在支撑半导体晶片110的室底部具有晶片支撑105的反应室100。实施例中描述的工艺配套元件由通过在接地室体127上的介质环120支撑的半导电或导电环115组成。室100在顶部由盘形铝顶电极125形成,该电极通过介质密封件130,支撑在接地室体127上的晶片110上方的预定距离处。顶电极125也可是在其内表面覆盖半金属材料(例如,硅或碳化硅)的金属(例如,铝),或者其自身就是半导体材料。RF发生器150向电极125施加RF功率。来自发生器150的RF功率通过匹配发生器150的同轴电缆162耦合到连接电极125的同轴短管135。短管135具有特性阻抗、共振频率、并提供在电极125和同轴电缆162/RF功率发生器150之间的阻抗匹配,如下面将详细描述的。室体连接到RF发生器150的RF返回(RF地)。从顶电极125到RF地的RF路径受工艺配套元件介质环120的电容和介质密封件130影响。晶片支撑105、晶片110和工艺配套元件半导电(或导电)环115提供用于施加到电极125的RF功率的主要RF返回路径。
等离子体密度分布均匀性的改进通过一套在晶片支撑基座周围等距离间隔分布的MERIE电磁体901、903、905、907实现。采用这些MERIE磁体以产生磁场,该磁场一般穿过晶片支撑基座表面沿柱形室对称轴缓慢旋转。在一个实施例中该特征通过MERIE磁体901、903、905、907实现。在此实施例中,MERIE电流控制器910控制到每个MERIE磁体的每个电流。在工件支撑的平面中,由控制器910产生一个环型磁场,该控制器向频率相同但是相位角90度(或360度除以MERIE磁体的数目)偏移的每个单独的磁绕组提供单独的电流。在可选实施例中,旋转磁场的特征通过支撑所有MERIE磁体的支撑框架1020(短划线)实现,该MERIE磁体通过转子1025(短划线)绕对称轴旋转。在该可选实施例中,MERIE磁体是永磁体。
第二阵列的MERIED磁体912、914、916、918相对于工件或晶片支撑基座等距离间隔开,但处在比第一套MERIE磁体901、903、905、907高的平面内。在各自平面的两套磁体都邻近工件支撑平面。
控制器910对每个电磁体901-907施加低频(0.5-10Hz)AC电流,施加到相邻磁体的电流的相90度,如上面所述。该结果为绕工件支撑的对称轴在AC电流的低频下旋转的磁场。该磁场使等离子体被拉向临近工件表面磁场,并与磁场一起循环。这搅动了等离子体以使其密度分布变得更加均匀。结果,由于穿过晶片整个表面获得更均匀蚀刻结果,反应器的性能获得明显改进。
               通过顶电极引入工艺气体
参考在前描述,通过从顶棚提供工艺气体来最优实现MERIE反应器。在本发明中,这需要通过顶电极125提供工艺气体。出于该目的,在图8和9的实施例中的顶电极为气体分布喷头,因此在其面对工件支撑105的下表面125a中,有大量气体注入口或小孔300。在示例性实施例中,口300的直径为0.01和0.03英寸之间并且他们的中心均匀间隔开约3/8英寸。在图8描述的实施例中,锥形金属外壳(conical metalhousig)290的环形顶290a支撑同轴短管内导体140的近端140a,并且其环形底290b设置在铝顶电极125上。外壳290的锥形在顶电极125上方形成一大开放通风间,在开口中,各种用料可从中空同轴内导体140提供到顶电极125。如下面将更详细描述的,锥形外壳底290b邻近顶电极125的外周围,这使顶电极125的几乎所有上表面都可接近。
在该实施例中,口300由直径0.020的径向外组口302和直径0.010的径向内组孔304组成。外组孔302可延伸到晶片圆周外,以保证在晶片边缘处的均匀气体流动。该特征的一个优点是工艺气体流的径向分布可以这样的方式调整,以补偿图1-7的VHF电容耦合反应器产生大于晶片中心上和小于晶片边缘上的等离子体密度的趋势。
在顶电极中的径向外铝泡沫层310覆盖孔302。覆盖外泡沫层310的径向外气体分布集管(manifold)或送气管(plenum)315经过轴向气体通道320,通过同轴调谐短管135的内导体140,耦合到气体供给线325。在顶电极125中的径向内铝泡沫层330覆盖口304。覆盖内泡沫层330的径向内气体分布集管或送气管335经过轴向气体通道340,通过同轴调谐短管135的内导体140,耦合到气体供给线345。该铝泡沫层310和330阻碍进入的工艺气体。工艺气体流量的径向分布通过单独选择在每一气体供给线325和345中的工艺气体流量来调整。
           在气体注入口中的电弧放电的抑制
作为减少电弧放电一种方式,为在等离子体和顶电极之间提供一定的电容,顶电极下表面125a涂覆介电层。例如,顶电极125为铝并通过阳极化电极底面125a形成绝缘涂层。这样的阳极化不只在平坦的底表面125a形成非常薄的绝缘涂层而且还在气体注入口300的内表面上形成非常薄的绝缘涂层。该特征趋于通过提供电荷存储能力抑制在气体注入口中的电弧放电,该电荷存储能力可补偿流到顶电极125的RF等离子体电流。图10是对应图8的显示临近一个气体入口300所形成的精密结构的局部放大视图。特别地,通过阳极化形成的铝氧化层350覆盖电极底表面125a并覆盖气体注入口300的内表面。
为抑制接近顶电极125的电场,顶电极125的顶表面125b覆盖有较厚(0.25英寸)的铝泡沫层355。该厚铝泡沫层355易于在轴向(垂直)将电势保持在顶电极常数附近,由此抑制导致在气体注入口300附近等离子体电弧放电的电场。
为防止D.C等离子体电流通过顶电极流到同轴短管中心导线140,在顶电极125和导电外壳290的底290b之间设置薄绝缘层360,该薄绝层如为电容绝缘层,导电壳290将顶电极125连接到同轴中心导线140。该特征使顶电极的D.C电势浮动。由此在顶电极125和导电外壳底290b之间形成电容器。通过底290b的面积和厚度以及薄绝缘层360的介电常数确定该电容器的电容。优选地,选择此电容器的电容以在特定HF频率下提供窄共振或低阻抗路径,同时提供穿过整个VHF带的RF短路。在该方式中,顶电极125提供用于施加到晶片支撑基座105的HF偏压功率的返回路径,但不影响顶电极125在VHF源功率频率下的行为。由此,阻止将流到顶电极的D.C等离子体电流,由于此D.C电流有利于电弧放电,因此在气体注入口300中的等离子体电弧放电而被抑制。
总之,在气体注入口300内的等离子体电弧放电被下面一个或多个特征所抑制:(a)在顶电极125的底部及在气体注入口300的内表面上形成绝缘涂层,(b)在顶电极125的顶部提供金属铝泡沫层335,以及(c)在顶电极125和导电外壳290之间设置薄绝缘层360。
                抑制等离子体鞘形成谐波
薄绝缘层360起到抑制施加到晶片支撑基座105的HF偏压信号的等离子体外层产生的谐波的作用。此谐波工艺性能降低,特别是降低蚀刻速率。通过选择绝缘层360的电容确定特性(即,介电常数和厚度),从穿过顶电极125和同轴内导体140的等离子体的返回路径被调整为在特定HF频率下的共振(因此具有很高容差)。同时用于该共振频率的一个选择是施加到晶片支撑基座105的HF偏压信号的基频,本发明发现,通过选择此共振为偏压信号的二次谐波可将蚀刻速率提高10%到15%。获得这样有利的结果是由于通过等离子体鞘提供的非线性负载产生的谐波通过由顶电极和同轴中心导线140提供的低阻抗通过电容层360快速返回到地。
选择电容器层360的厚度以调整通过顶电极125到特定HF频率的返回路径,该选择受多个因素影响,包括在顶电极125处的薄等离子体鞘的电容、在晶片支撑基座105处的厚等离子体外鞘的电容以及等离子体自身的电容。如果给出特定等离子体工作条件,包括试验和误差,技术人员可采用多种常规技术以找到电容器层360的正确厚度以获得在选择HF频率的共振。
                 电极表面的温度控制
在氧化物蚀刻反应器中,聚合物淀积是严重问题,因为工艺气体必须能在包括工件上的含非氧化物的表面上,形成聚合物层,以获得在二氧化硅材料和不会被蚀刻的其它材料之间的合适的蚀刻选择性。在使用碳氟化合物气体的等离子体处理中,较简单的氟离子和氟基执行蚀刻,而在工件以及所有反应器室壁上,富碳物质淀积所有不含氧材料以外的聚合物。为避免工件被从室内表面落到等离子体中的聚合物颗粒的污染,这些表面必须保持足够低的温度,并且等离子体电子能量必须保持足够低以避免破坏室内表面的这种沉积。可选地,必须破坏室真空并执行化学清洗步骤以除去这种沉积,此步骤极大地降低了反应器生产率。
参照图1描述的电容耦合VHF源为高效的,因此可在非化学清洗步骤中,产生足够高的等离子体密度以彻底清除在晶片处理过程中室内表面沉积的任何聚合物残余物。在此清洗步骤中,通常的等离子体工艺气体可被更易挥发的气体(例如,易于产生具有非常高的游离的氟成分的等离子体)取代。由于没有液态化学试剂需要引入到室内,该室可保持封闭以便快速经常性地执行清洗步骤以保持室中没有聚合物沉积。因此,图8的反应器的工作模式是室表面温度和等离子体离子能量足够大以避免在室内表面上的聚合物聚集的工作模式。
出于该目的,图8的反应器包括在顶电极125上的通道670(用于载热流体)。在图8的实施中,流体通道670在上铝泡沫层355和顶电极125的上表面之间形成。可选地,该通道可完全在顶电极125中内部形成。温度控制流体或气体从穿过中空的内同轴导体140的流体供给线675提供给流体通道670。因此,顶电极125的温度可准确控制。通过这种电极温度的准确控制和控制其它等离子体处理参数,例如,等离子体离子能量,反应器可以沉积模式(deposition mode)(其中表面足够冷以聚集聚合物)或以耗尽模式(depletion mode)(其中表面足够热以使等离子体离子从表面撕裂聚合物由此避免聚合物聚集)工作。由于耗尽模式较好地避免了颗粒污染,因此耗尽模式是有效的。
                等离子体处理的光学监控
由于可操作图8的反应器以使室内表面上没有聚合物沉积,可在顶电极125的底表面提供光学窗口680。光通道如光纤或导光管(lightpipe)685连接到光学窗口680的一端并穿过中空的内同轴导体140。导光管685连接到在外端的常规光探测器。
有了此部件,终点检测和其它测试可使用这样的光探测器执行。特别是探测器687使用公知的光学技术,测试工件或半导体晶片110上选择的层。在蚀刻工艺中,例如,在被蚀刻的材料厚度减小到,通过探测器687测定的预定厚度后,将停止工艺。
                      污染的防止
由于室内表面可保持没有聚合物沉积,它们始终暴露在等离子体下。特别是,铝顶电极125的下表面连续地受到等离子体的攻击,因此容易将铝掺杂到等离子体中,导致工件污染并因此使工艺失败。为防止这种问题,顶电极125被阳极化的底表面,涂覆有与工艺相容的材料如硅或碳化硅。因此,如图10和11A所示,薄的碳化硅薄膜覆盖铝顶电极125的阳极化的底表面。薄的硅和碳化硅薄膜690防止等离子体攻击电极125的铝材料。等离子体清除来自含硅薄膜690的材料,因此由于该物质(硅或碳)已存在等离子体和/或工件中能与工艺相容,所以引入到等离子体中的该物质不会污染工艺。在等离子体中提供硅,其中碳化硅已被蚀刻。碳在等离子体中,其中碳氟化合物气体被用作工艺蚀刻气体。
在可选实施例中,顶电极不被阳极化,并且碳化硅薄膜690形成在顶电极125的纯铝表面上。在另一个图11B显示的可选实施例中,气体注入孔300是L形(包括两个直角转角)并且它们进入室的开口为环形的,每个孔300的环形开口是通过阻挡在每个开口中心的固体盘(solid disc)300a形成。
                        结果
因此,本发明提供一种等离子体反应器,该反应器对工作条件的变化和/或制造公差的变化较不敏感。相信这些大的优势包括对工作条件的不敏感,即用于阻抗匹配的宽调谐或宽频率空间,这种不敏感归结于至少一个或几个或多个反应器部件特征。这些特征包括在最期望的等离子体工艺密度下,具有等离子体负电容数值的匹配或近似匹配的顶电极,VHF源功率频率匹配或近似匹配等离子体-电极共振频率的使用;VHF源功率频率、等离子体-电极共振频率和短管共振频率之间的接近关系(close relationship);等离子体-电极共振频率、短管共振频率、和源功率频率互相偏移;以及共振短管匹配以将源功率耦合到顶电极的使用,优选源功率输入接头160轻微偏离理想匹配位置。
补偿等离子体、短管和源功率频率通过实际上解谐系统来拓宽系统的调谐空间。使用短管匹配通过与更宽的频率范围匹配来拓宽调谐空间。来自理想匹配点的调谐短管接头点160补偿进一步优化系统以拓宽调谐空间,由于这个特征具有当输出功率下降时增加(add)电流,当输出功率增加时减小(subtract)电流的效果。用更高(VHF)源功率频率使系统Q减小或使调谐空间与源功率频率成比例地增加。更重要的是,该选择使电极-等离子体共振与源功率频率在适合蚀刻工艺的等离子体密度下匹配。
由于本发明使反应器在更宽工艺窗口的工作条件基本不变,其提供反应器的三种优点,此三大优点是:(a)在工作条件偏差的更宽范围的可操作性,(b)在更宽范围应用(不同工艺配置)的适应性,以及(c)在更宽范围内,其性能不受制造公差的影响,以便反应器-到反应器的特性是一致的。
因此,已经获得更好的结果。特别是,系统Q在一些情况下,最小化至约5,以在相同模式的不同反应器中保持特征和性能的更高度的一致性,以改进工艺窗口。与只有2kW的源功率一致,获得1012离子/cc数量级的高等离子体密度。系统支持源功率电平最低为10W的无变换的压力范围在10mT到200mT的等离子体。该匹配同轴短管的短路阻抗短路寄生VHF等离子体鞘谐频,同时获得高于95%的功率效率,同轴短管与VHF等离子体和源功率频率近似而共振。该系统容纳等离子体60∶1的电阻负载变化和1.3到0.75的电抗负载变化,同时将源功率SWR保持在小于3∶1。
相信这提高了容纳负载变化的能力,因此拓宽了工艺窗口,这主要因为(a)通过在电极125和其导电支撑的介电值(dielectric value)的合适选择,以及VHF源功率频率的合适选择,实现了在上述设计的工作条件下的电极和等离子体电容的匹配;并且(b)具有最优接点定位的特别结构的同轴短管,通过在低负载情况下将接点电流增加进短管电流,而在高负载情况下从短管电流中分出。相信极高功率效率主要由于通过同轴短管提供的阻抗变化获得,这最小化了在发生器连接处和电极连接处的反射损耗,这是由于在短管共振频率和电极-等离子体共振频率之间获得了匹配,以及为在电阻损耗占主导地位的同轴短管中实现低电流和高电压,在电容损耗占主导地位的电极/等离子体处实现高电流低电压的最优接点定位。在避免或最小化常规阻抗匹配设备的需要的同时,可获得所有这些好处。
在已经对适于介质和导体蚀刻的本发明示例性实施例进行了详细描述的同时,反应器也有利于等离子体工作条件的选择,而不仅仅是上面描述的那些,这些工作条件包括:不同离子密度、不同等离子体源功率电平、不同室压。这些变化将产生等离子体电容,需要不同的电极电容和不同的电极-等离子体共振频率,因此需要不同于上面描述的不同等离子体源功率频率和短管共振频率。同样,不同晶片直径和不同等离子体工艺如化学气相淀积,有充分的理由可以具有相对于源功率和室压的不同操作条件。然而相信在这些不同的应用中,反应器一般将增强工艺窗口和稳定性,正如上面的实施例描述的那样。
                固定调谐元件的紧凑VHF
参考关于图1-7的描述,图1和8的同轴调谐短管是在较大的调谐空间上提供阻抗匹配的调谐元件。然而,由于其延长的线性设计,其覆盖区实际上大于等离子体反应器室。在其中这方面不适合的那些位置,图1和8的同轴调谐短管被等同的条线电路取代,如图12、13、14中描述。VHF发生器50欧姆的同轴输出连接器(connector)的外部导体连接到覆盖反应器顶的外壳715的金属顶710。该导电顶710用作条线导体700面对的接地面(ground plane)。条线导体700的截面一般为椭圆,其较宽的侧面面对接地平顶(ground plane ceiling)710。条线导体的特性阻抗由其离接地平顶710的距离确定。优选条线导体700沿其整个长度距离接地平顶710的距离是均匀的。
在示例性实施例中,条线导体0.125英寸高、2.5英寸宽并设置在离接地平顶710下0.5英寸处。通过使条线导体700的较宽(2.5英寸)侧面面向接地平顶710,电流在条线导体700的整个2.5英寸宽度的分布更分散,由此减少在大部分电流出现的外表面中的电阻损耗。条线导体700的长度以与上面参照图1描述的确定同轴调谐短管135的长度的相同方式确定。而且,沿条线导体700的长度的RF接点160的设置也与上面参照图1描述的沿同轴调谐短管135的长度的设置RF接点的相同方式设置。最后,离顶电极125最远的图12的条线导体的端部短路接地,与图1的同轴短管内导体140的对应端一样。在条线导体700的情况,通过将远端700a连接到接地平顶710,实现短路接地,如图13中所示。
与图1-8的同轴调谐短管135相同、条线导体700的长度等于固定的调谐元件的共振频率的1/4波长,在这种情况下,条线电路包括条线导体700和接地平顶。因此,条线导体700的长度选择如上面参考图1-7描述的同轴调谐短管135长度的选择完全一样。在一个实施例中,该长度约为29英寸。图12的RF接点160在沿条线导体700长度上的特定点处将VHF发生器连接到条线电路,正如图1中的RF接点160获得沿同轴调谐短管135的对应连接一样。在图12的情况下,VHF发生器输出同轴连接器的中心导线在连接点160处被连接到条线导体,同时VHF发生器输出同轴导体的外部导体在上覆接头点的点,连接到接地平顶,接点连接到条线导体。
确定沿条线导体700长度的图12的接头点160的位置与确定同轴短管的图1的接头的位置的方式相同,如上述参考图1详细描述的。有了此特征,包括条线导体70和接地平顶的条线电路以与图1的同轴调谐短管的相同方式工作,包括图1中描述的特征,其中通过将接头点160稍微偏离理论最优位置,阻抗匹配空间可容纳100∶1的负荷阻抗变化。如上面图1的描述,接头160的理论最优位置是在沿调谐短管135长度(或,等同地,沿图12的条线导体700的长度)的一点处,在此处驻波电压和电路的比率等于VHF发生器的输出阻抗或其间连接的同轴电缆的特性阻抗。此发现参考图1的描述是通过将接头160偏离理论最优位置处约5%,阻抗匹配空间获得很大的扩展。因此,图12的条线导体电路提供图1的同轴调谐短管的所有优点和功能,并且进一步增加了紧凑的优势。
与图8的同轴短管的内导体140相同,图12的条线导体700是中空的以容纳连接到电极125的公用管线(utility line),并连接到锥形外壳290的顶表面290a。条线导体700(优于图1和8的同轴调谐短管)的优点是条线导体700可在外壳715中以环形方式延伸以在不延伸超过反应器室的“覆盖区”下实现其必须的长度。
确定条线导体的长度的方式与上面参考图1描述的,确定同轴调谐短管的长度的方式一样。通过调整条线导体700离接地平顶710的位移确定条线导体700的阻抗。如上所述,此阻抗最好选择约为30欧姆,或小于VHF发生器的输出阻抗。沿条线导体700的长度接头160距离VHF发生器150的定位与上面参考图1描述的同轴调谐短管上的RF接头160的定位一样。条线导体700结合接地平顶710执行图1和8中的同轴调谐短管的相同的功能,并提供如上面参考图1描述的相同的性能优势。
虽然图13的俯视图显示的实施例的条线导体700是沿近似正方形的路径(具有圆形中心)卷绕的,图14描述的另一个实施例中,条线导体700是环形卷绕的。
            通过调谐元件提供的设施(utilities)
如上面参照图8和12的描述,图8的同轴调谐短管内导体140和图12的条线导体700都是中空的以容纳对顶电极实施各种效用的线路,如图8和12中都显示的,外部气体供给线325连接到外部气流控制器800,内部气体供给线345连接到内部气流控制器810,光纤或导光管685连接到光探测器687,并且加热/冷却线675连接到加热/冷却源控制器830。
固定的调谐元件135或者是同轴调谐短管(如图1和8的实施例中)或者是条线电路(如图12和14的实施例中)。天线设计者将认可两个实施例中的固定调谐元件提供的阻抗匹配具有相同功能,该阻抗匹配是在RF发生器的特性输出阻抗和电极/等离子体结合的阻抗之间匹配。两个实施例的固定调谐元件(或,等同地,固定阻抗匹配元件)共享共有的结构特征,包括使用中心导线(图12中的条线导体或图8中的内同轴导体)和接地导体(图21中的接地平顶或图8的接地外部同轴导体)。在两种情况下,阻抗匹配元件的特性阻抗通过两个导体之间的距离确定,而阻抗匹配元件的输出阻抗通过沿中心导线到RF发生器的连接的位置来确定。同样,中心导线是中空的,因此用作用于气体供给线和热导流体(heat-conductive fluid)供给线的RF屏蔽导管。最重要的公有特征是两个实施例的阻抗匹配元件在结构上都是物理固定的,因此需要不移动部件或智能控制器,这是显著的优点。其它相关优点已经描述。因此,两个实施例的固定阻抗匹配元件可通称为具有空心的中心导线的固定双导体阻抗匹配元件。
虽然参照多个实施例对本发明进行了说明,应该理解在不偏离本发明真实精神和范围的情况下,可对本发明做各种改变和改进。

Claims (19)

1.等离子反应器,其用于处理半导体工件,包括:
反应室,其具有室壁并包含用于夹持所述半导体工件的工件支撑;
顶电极,其覆盖所述工件支撑,所述顶电极包括所述室壁的一部分;
RF功率发生器,其用于在VHF频率下,向所述顶电极提供功率,所述顶电极具有与等离子体在VHF电极-等离子体共振频率下形成共振的电抗,所述电极-等离子体共振频率为所述RF功率发生器的所述VHF频率或近似为所述RF功率发生器的所述频率;
固定阻抗匹配元件,其在所述RF功率发生器和所述顶电极之间连接,所述固定阻抗匹配元件具有VHF匹配元件共振频率,所述VHF匹配元件共振频率至少近似或等于所述电极-等离子体共振频率;
MERIE磁场发生器,其用于产生在整个所述工件顶面的随时间旋转的磁场,其中所述磁场的量级足够小以使与所述磁场相关的电子回旋频率小于所述RF功率发生器的VHF频率。
2.根据权利要求1所述的反应器,其中所述电子回旋频率至少比所述VHF频率小5%。
3.根据权利要求1所述的反应器,还包括:顶绝缘层,其在面对所述工件支撑的所述顶电极表面上形成。
4.根据权利要求3所述的反应器,还包括:电容绝缘层,其在所述RF功率发生器和所述顶电极之间。
5.根据权利要求4所述的反应器,还包括:一金属泡沫层,其覆盖在面对且远离所述工件支撑的所述顶电极的一表面上并与该顶电极表面接触。
6.根据权利要求3所述的反应器,还包括:覆盖所述顶绝缘层的含硅涂层。
7.根据权利要求6所述的反应器,其中所述含硅涂层包括硅或碳化硅中的一种。
8.根据权利要求3所述的反应器,其中所述顶电极具有多个气体注入孔,并且所述顶绝缘层提供足够大的电容以抑制在所述注入孔中的电弧放电。
9.根据权利要求4所述的反应器,其中所述电容绝缘层具有足够大的电容以阻止来自所述室中的等离子体D.C电流流过所述顶电极。
10.根据权利要求5所述的反应器,其中:
所述顶电极具有面对所述工件支撑的多个气体注入孔;并且
所述金属泡沫层足够厚以抑制所述气体注入孔中的轴向电场。
11.根据权利要求3所述的反应器,其中所述顶电极包括铝,并且所述顶绝缘层通过阳极化形成。
12.根据权利要求9所述的反应器,其中所述电容绝缘层形成一电容,这提供用于等离子体鞘生成谐波的通过所述顶电极到地的低阻抗路径。
13.根据权利要求10所述的反应器,还包括:
在所述顶电极中的供气入口;
在所述供气入口和至少一些所述气体注入孔之间的所述顶电极内的气体阻挡层。
14.根据权利要求13所述的反应器,其中所述气体阻挡层包括另一金属泡沫层。
15.根据权利要求14所述的反应器,还包括:在所述顶电极中的热控制流体通道。
16.根据权利要求15所述的反应器,还包括:面对所述晶片支撑的所述顶电极中的光学窗口,以及耦合到所述窗口并延伸通过所述顶电极的光载介质。
17.根据权利要求1所述的反应器,其中所述RF功率发生器频率和所述匹配元件共振频率相互偏移,并且偏离所述电极-等离子体的共振频率。
18.根据权利要求1所述的反应器,其中所述固定阻抗匹配元件包括同轴调谐短管。
19.根据权利要求1所述的反应器,其中所述固定阻抗匹配元件包括条线电路。
CNB028241126A 2001-10-22 2002-09-24 抑制电弧放电的对应等离子体喷头rf顶电极调谐的merie等离子体反应器 Expired - Lifetime CN1314072C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/007,367 2001-10-22
US10/007,367 US6894245B2 (en) 2000-03-17 2001-10-22 Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression

Publications (2)

Publication Number Publication Date
CN1599946A CN1599946A (zh) 2005-03-23
CN1314072C true CN1314072C (zh) 2007-05-02

Family

ID=21725751

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028241126A Expired - Lifetime CN1314072C (zh) 2001-10-22 2002-09-24 抑制电弧放电的对应等离子体喷头rf顶电极调谐的merie等离子体反应器

Country Status (7)

Country Link
US (3) US6894245B2 (zh)
EP (1) EP1440456A1 (zh)
JP (1) JP2006502556A (zh)
KR (1) KR100557273B1 (zh)
CN (1) CN1314072C (zh)
TW (1) TW589680B (zh)
WO (1) WO2003036680A1 (zh)

Families Citing this family (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US7196283B2 (en) * 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US20050103441A1 (en) * 2001-11-14 2005-05-19 Masanobu Honda Etching method and plasma etching apparatus
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
JP4370789B2 (ja) * 2002-07-12 2009-11-25 東京エレクトロン株式会社 プラズマ処理装置及び可変インピーダンス手段の校正方法
JP4482308B2 (ja) * 2002-11-26 2010-06-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP4584565B2 (ja) * 2002-11-26 2010-11-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US7323417B2 (en) * 2004-09-21 2008-01-29 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
KR100526928B1 (ko) * 2003-07-16 2005-11-09 삼성전자주식회사 식각장치
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
WO2005060602A2 (en) * 2003-12-12 2005-07-07 Semequip, Inc. Controlling the flow of vapors sublimated from solids
US20080223409A1 (en) * 2003-12-12 2008-09-18 Horsky Thomas N Method and apparatus for extending equipment uptime in ion implantation
JP2005177935A (ja) * 2003-12-19 2005-07-07 Tdk Corp ナノホール穿孔装置及びナノホール穿孔方法
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US8253057B1 (en) 2004-09-03 2012-08-28 Jack Hunt System and method for plasma generation
US7205244B2 (en) * 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
JP4519695B2 (ja) * 2005-03-29 2010-08-04 三菱重工業株式会社 薄膜製造装置及び薄膜製造方法
US8298336B2 (en) 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
JP2006303309A (ja) * 2005-04-22 2006-11-02 Hitachi High-Technologies Corp プラズマ処理装置
US7305311B2 (en) * 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
JP4628900B2 (ja) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20070077763A1 (en) * 2005-09-30 2007-04-05 Molecular Imprints, Inc. Deposition technique to planarize a multi-layer structure
US7432210B2 (en) * 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
JP4621621B2 (ja) * 2006-03-31 2011-01-26 株式会社東芝 荷電ビーム描画装置
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
KR100799175B1 (ko) * 2006-04-21 2008-02-01 주식회사 뉴파워 프라즈마 플라즈마 프로세싱 시스템 및 그 제어 방법
US7541292B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US7540971B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
JP4828316B2 (ja) * 2006-06-13 2011-11-30 三菱電機株式会社 レーザ加工機用のギャップ検出装置及びレーザ加工システム並びにレーザ加工機用のギャップ検出方法
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US8217299B2 (en) * 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
JP2008235464A (ja) * 2007-03-19 2008-10-02 Toshiba Corp 電子ビーム描画装置
US8123902B2 (en) * 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
KR101173268B1 (ko) 2007-03-29 2012-08-10 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US7750644B2 (en) * 2007-08-15 2010-07-06 Applied Materials, Inc. System with multi-location arc threshold comparators and communication channels for carrying arc detection flags and threshold updating
US7737702B2 (en) * 2007-08-15 2010-06-15 Applied Materials, Inc. Apparatus for wafer level arc detection at an electrostatic chuck electrode
US7733095B2 (en) * 2007-08-15 2010-06-08 Applied Materials, Inc. Apparatus for wafer level arc detection at an RF bias impedance match to the pedestal electrode
WO2009023135A1 (en) * 2007-08-15 2009-02-19 Applied Materials, Inc. Apparatus for wafer level arc detection at an rf bias impedance match to the pedestal electrode
US7768269B2 (en) * 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
US7750645B2 (en) * 2007-08-15 2010-07-06 Applied Materials, Inc. Method of wafer level transient sensing, threshold comparison and arc flag generation/deactivation
US7777599B2 (en) * 2007-11-02 2010-08-17 Applied Materials, Inc. Methods and apparatus for controlling characteristics of a plasma
US8876024B2 (en) * 2008-01-10 2014-11-04 Applied Materials, Inc. Heated showerhead assembly
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US8395078B2 (en) 2008-12-05 2013-03-12 Advanced Energy Industries, Inc Arc recovery with over-voltage protection for plasma-chamber power supplies
EP2648209B1 (en) 2009-02-17 2018-01-03 Solvix GmbH A power supply device for plasma processing
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
US8956516B2 (en) * 2009-08-31 2015-02-17 Semicat, Inc. System and apparatus to facilitate physical vapor deposition to modify non-metal films on semiconductor substrates
US8936703B2 (en) * 2009-08-31 2015-01-20 Semicat, Inc. Methods to fabricate non-metal films on semiconductor substrates using physical vapor deposition
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
US8840725B2 (en) * 2009-11-11 2014-09-23 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
US9850576B2 (en) * 2010-02-15 2017-12-26 Applied Materials, Inc. Anti-arc zero field plate
US8552665B2 (en) 2010-08-20 2013-10-08 Advanced Energy Industries, Inc. Proactive arc management of a plasma load
TW202230814A (zh) 2011-05-05 2022-08-01 日商半導體能源研究所股份有限公司 半導體裝置及其製造方法
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
CN104024477B (zh) * 2011-11-23 2016-05-18 朗姆研究公司 多区域气体注入上电极系统
JP6276697B2 (ja) * 2011-11-23 2018-02-07 ラム リサーチ コーポレーションLam Research Corporation 対称的なrf供給のための周囲rfフィードおよび対称rfリターン
SG11201402447TA (en) 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
US20130292057A1 (en) * 2012-04-26 2013-11-07 Applied Materials, Inc. Capacitively coupled plasma source with rf coupled grounded electrode
JP6068849B2 (ja) * 2012-07-17 2017-01-25 東京エレクトロン株式会社 上部電極、及びプラズマ処理装置
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
JP5723397B2 (ja) * 2013-02-18 2015-05-27 東京エレクトロン株式会社 プラズマ処理装置
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
CN108770167B (zh) * 2013-08-16 2021-01-12 应用材料公司 用于高温低压力环境的细长的容性耦合的等离子体源
KR102298032B1 (ko) * 2013-09-30 2021-09-02 어플라이드 머티어리얼스, 인코포레이티드 고 주파수 무선 주파수에 대한 전극 임피던스를 튜닝하고 저 주파수 무선 주파수를 접지로 종단하기 위한 장치 및 방법
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
JP6423706B2 (ja) * 2014-12-16 2018-11-14 東京エレクトロン株式会社 プラズマ処理装置
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10121708B2 (en) * 2015-11-17 2018-11-06 Lam Research Corporation Systems and methods for detection of plasma instability by optical diagnosis
US9716005B1 (en) 2016-03-18 2017-07-25 Applied Materials, Inc. Plasma poisoning to enable selective deposition
JP6950196B2 (ja) * 2017-02-16 2021-10-13 三菱マテリアル株式会社 プラズマ処理装置用電極板およびプラズマ処理装置用電極板の再生方法
WO2018230883A1 (ko) * 2017-06-16 2018-12-20 주성엔지니어링(주) 기판 처리 장치 및 진공용 회전 전기 커넥터
CN107920411B (zh) * 2017-11-13 2023-09-19 四川大学 一种用于硅基材料加工的混合式等离子体发生器
PL3711080T3 (pl) 2017-11-17 2023-12-11 Aes Global Holdings, Pte. Ltd. Zsynchronizowane pulsowanie źródła przetwarzania plazmy oraz polaryzacji podłoża
TWI767088B (zh) 2017-11-17 2022-06-11 新加坡商Aes全球公司 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統
KR101886755B1 (ko) * 2017-11-17 2018-08-09 한국원자력연구원 다중 펄스 플라즈마를 이용한 음이온 공급의 연속화 시스템 및 방법
KR20200100642A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱을 위한 이온 바이어스 전압의 공간 및 시간 제어
WO2020112108A1 (en) 2017-11-29 2020-06-04 COMET Technologies USA, Inc. Retuning for impedance matching network control
CN109994355B (zh) 2017-12-29 2021-11-02 中微半导体设备(上海)股份有限公司 一种具有低频射频功率分布调节功能的等离子反应器
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
KR102151810B1 (ko) * 2018-10-01 2020-09-04 세메스 주식회사 기판 처리 장치
JP7134863B2 (ja) * 2018-12-27 2022-09-12 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20220238312A1 (en) * 2019-05-29 2022-07-28 Lam Research Corporation Showerhead insert for uniformity tuning
US11114279B2 (en) * 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
US11107661B2 (en) 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
CN114222958B (zh) 2019-07-12 2024-03-19 先进工程解决方案全球控股私人有限公司 具有单个受控开关的偏置电源
CN114556796A (zh) * 2019-10-18 2022-05-27 诺基亚技术有限公司 大规模mimo天线阵列
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
US11373844B2 (en) 2020-09-28 2022-06-28 COMET Technologies USA, Inc. Systems and methods for repetitive tuning of matching networks
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5312778A (en) * 1989-10-03 1994-05-17 Applied Materials, Inc. Method for plasma processing using magnetically enhanced plasma chemical vapor deposition
CN1199242A (zh) * 1997-03-27 1998-11-18 松下电器产业株式会社 等离子体处理的方法及装置
US5849372A (en) * 1993-09-17 1998-12-15 Isis Innovation Limited RF plasma reactor and methods of generating RF plasma
JP2000114189A (ja) * 1998-10-06 2000-04-21 Toshiba Corp 真空処理装置
US6245190B1 (en) * 1997-03-26 2001-06-12 Hitachi, Ltd. Plasma processing system and plasma processing method
WO2001068939A2 (en) * 2000-03-10 2001-09-20 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
WO2001071765A2 (en) * 2000-03-17 2001-09-27 Applied Materials, Inc. Plasma reactor with overhead rf electrode tuned to the plasma

Family Cites Families (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2967926A (en) 1958-03-10 1961-01-10 Union Carbide Corp Testing process and apparatus
US2951960A (en) 1959-03-24 1960-09-06 Tung Sol Electric Inc Gaseous discharge device
FR1402020A (fr) 1964-04-27 1965-06-11 Csf Perfectionnements aux sources d'ions
US3555615A (en) * 1968-08-08 1971-01-19 Usm Corp Rotational moulding machines
US3610986A (en) 1970-05-01 1971-10-05 Union Carbide Corp Electron beam source including a pilot nonthermionic, electron source
US4458180A (en) 1982-02-18 1984-07-03 Elscint Ltd. Plasma electron source for cold-cathode discharge device or the like
US4570106A (en) 1982-02-18 1986-02-11 Elscint, Inc. Plasma electron source for cold-cathode discharge device or the like
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US5120466A (en) * 1984-07-13 1992-06-09 Canon Kabushiki Kaisha Fluid crystal device
US4859908A (en) 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
US5006760A (en) 1987-01-09 1991-04-09 Motorola, Inc. Capacitive feed for plasma reactor
DE3708716C2 (de) 1987-03-18 1993-11-04 Hans Prof Dr Rer Nat Oechsner Hochfrequenz-ionenquelle
US4973883A (en) 1987-05-01 1990-11-27 Semiconductor Energy Laborator Co., Ltd. Plasma processing apparatus with a lisitano coil
US4888518A (en) 1987-11-16 1989-12-19 Itt Corporation Gas circulation apparatus for ceramic electron tubes
DE68926923T2 (de) 1988-03-16 1996-12-19 Hitachi Ltd Mikrowellenionenquelle
US5115167A (en) 1988-04-05 1992-05-19 Mitsubishi Denki Kabushiki Kaisha Plasma processor
EP0343500B1 (en) 1988-05-23 1994-01-19 Nippon Telegraph And Telephone Corporation Plasma etching apparatus
US5055853A (en) 1988-10-03 1991-10-08 Garnier Robert C Magnetic frill generator
US5107170A (en) 1988-10-18 1992-04-21 Nissin Electric Co., Ltd. Ion source having auxillary ion chamber
US5089083A (en) 1989-04-25 1992-02-18 Tokyo Electron Limited Plasma etching method
US5225024A (en) 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
JPH02298024A (ja) 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
DE3923661A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung fuer die anpassung der impedanz einer plasmastrecke an einen hochfrequenzgenerator
US5210466A (en) 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
US5300460A (en) 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US5223457A (en) 1989-10-03 1993-06-29 Applied Materials, Inc. High-frequency semiconductor wafer processing method using a negative self-bias
US5032202A (en) 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing
JPH04901A (ja) 1990-04-18 1992-01-06 Mitsubishi Electric Corp プラズマ装置の高周波給電方法及び装置
EP0463408A3 (en) 1990-06-22 1992-07-08 Hauzer Techno Coating Europe Bv Plasma accelerator with closed electron drift
US5707486A (en) 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5274306A (en) 1990-08-31 1993-12-28 Kaufman & Robinson, Inc. Capacitively coupled radiofrequency plasma source
US5376211A (en) 1990-09-29 1994-12-27 Tokyo Electron Limited Magnetron plasma processing apparatus and processing method
FR2667980A1 (fr) 1990-10-12 1992-04-17 Sodern Source d'electrons presentant un dispositif de retention de matieres.
US5246532A (en) 1990-10-26 1993-09-21 Mitsubishi Denki Kabushiki Kaisha Plasma processing apparatus
JP2501948B2 (ja) 1990-10-26 1996-05-29 三菱電機株式会社 プラズマ処理方法及びプラズマ処理装置
US5195045A (en) 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
DE69204670T2 (de) 1991-05-21 1996-04-18 Materials Research Corp Sanftaetz-einheit fuer modulare bearbeitungsanlagen und ecr-plasmaerzeuger fuer eine solche einheit.
US5432315A (en) 1991-05-31 1995-07-11 Hitachi, Ltd. Plasma process apparatus including ground electrode with protection film
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5198725A (en) 1991-07-12 1993-03-30 Lam Research Corporation Method of producing flat ecr layer in microwave plasma device and apparatus therefor
US5314603A (en) 1991-07-24 1994-05-24 Tokyo Electron Yamanashi Limited Plasma processing apparatus capable of detecting and regulating actual RF power at electrode within chamber
US5849136A (en) 1991-10-11 1998-12-15 Applied Materials, Inc. High frequency semiconductor wafer processing apparatus and method
US5279669A (en) 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5175472A (en) 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
US5273610A (en) 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5325019A (en) 1992-08-21 1994-06-28 Sematech, Inc. Control of plasma process by use of harmonic frequency components of voltage and current
JP2972477B2 (ja) 1993-01-27 1999-11-08 日本電気株式会社 Rf・ecrプラズマエッチング装置
TW249313B (zh) 1993-03-06 1995-06-11 Tokyo Electron Co
US5537004A (en) 1993-03-06 1996-07-16 Tokyo Electron Limited Low frequency electron cyclotron resonance plasma processor
JP3236111B2 (ja) 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
EP0634778A1 (en) 1993-07-12 1995-01-18 The Boc Group, Inc. Hollow cathode array
WO1995008182A1 (en) 1993-09-17 1995-03-23 Isis Innovation Limited Rf plasma reactor
US5467013A (en) 1993-12-07 1995-11-14 Sematech, Inc. Radio frequency monitor for semiconductor process control
US5463525A (en) 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
JP3279038B2 (ja) 1994-01-31 2002-04-30 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
JP3365067B2 (ja) 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5512130A (en) 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
US5685914A (en) 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
WO1995034916A1 (fr) 1994-06-15 1995-12-21 Seiko Epson Corporation Fabrication d'un equipement a semi-conducteurs a couches minces, equipement a semi-conducteurs a couches minces, afficheur a cristaux liquides et equipement electronique
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
AU2003195A (en) 1994-06-21 1996-01-04 Boc Group, Inc., The Improved power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
IT1269413B (it) 1994-10-21 1997-04-01 Proel Tecnologie Spa Sorgente di plasma a radiofrequenza
US5576629A (en) 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
JP2956494B2 (ja) 1994-10-26 1999-10-04 住友金属工業株式会社 プラズマ処理装置
JPH08225769A (ja) 1994-11-24 1996-09-03 Mazda Motor Corp ポリオレフィン系樹脂成形品用の塗料用樹脂組成物、それを用いた塗料組成物及びその塗装方法
US5605637A (en) 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5576600A (en) 1994-12-23 1996-11-19 Dynatenn, Inc. Broad high current ion source
US5792376A (en) 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5674321A (en) 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
JP3169337B2 (ja) 1995-05-30 2001-05-21 キヤノン株式会社 光起電力素子及びその製造方法
JP3208044B2 (ja) 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US5997962A (en) 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5907221A (en) 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
US6089182A (en) 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
KR100226366B1 (ko) 1995-08-23 1999-10-15 아끼구사 나오유끼 플라즈마장치 및 플라즈마 처리방법
JPH09106898A (ja) 1995-10-09 1997-04-22 Anelva Corp プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法
US5965034A (en) 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US6095084A (en) 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5942074A (en) 1996-03-29 1999-08-24 Lam Research Corporation Single-piece gas director for plasma reactors
JP3238082B2 (ja) 1996-05-16 2001-12-10 シャープ株式会社 電子デバイス製造装置
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5885358A (en) 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5770922A (en) 1996-07-22 1998-06-23 Eni Technologies, Inc. Baseband V-I probe
US5866986A (en) 1996-08-05 1999-02-02 Integrated Electronic Innovations, Inc. Microwave gas phase plasma source
JPH10134996A (ja) 1996-10-31 1998-05-22 Nec Corp プラズマ処理装置
US6113731A (en) 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6152071A (en) 1996-12-11 2000-11-28 Canon Kabushiki Kaisha High-frequency introducing means, plasma treatment apparatus, and plasma treatment method
JP3650248B2 (ja) 1997-03-19 2005-05-18 東京エレクトロン株式会社 プラズマ処理装置
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6155200A (en) 1997-07-08 2000-12-05 Tokyo Electron Limited ECR plasma generator and an ECR system using the generator
US6110395A (en) 1997-08-26 2000-08-29 Trikon Technologies, Inc. Method and structure for controlling plasma uniformity
JP2929284B2 (ja) 1997-09-10 1999-08-03 株式会社アドテック 高周波プラズマ処理装置のためのインピーダンス整合及び電力制御システム
US6291999B1 (en) 1997-09-30 2001-09-18 Daihen Corp. Plasma monitoring apparatus
US5971591A (en) 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6251216B1 (en) 1997-12-17 2001-06-26 Matsushita Electronics Corporation Apparatus and method for plasma processing
US5929717A (en) 1998-01-09 1999-07-27 Lam Research Corporation Method of and apparatus for minimizing plasma instability in an RF processor
US6449568B1 (en) 1998-02-27 2002-09-10 Eni Technology, Inc. Voltage-current sensor with high matching directivity
US6106663A (en) 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
JP3818561B2 (ja) 1998-10-29 2006-09-06 エルジー フィリップス エルシーディー カンパニー リミテッド シリコン酸化膜の成膜方法および薄膜トランジスタの製造方法
US6213050B1 (en) 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
US6188564B1 (en) 1999-03-31 2001-02-13 Lam Research Corporation Method and apparatus for compensating non-uniform wafer processing in plasma processing chamber
JP3374796B2 (ja) 1999-08-06 2003-02-10 松下電器産業株式会社 プラズマ処理方法及び装置
US6262538B1 (en) 1999-08-26 2001-07-17 International Business Machines Corporation High density plasma tool with adjustable uniformity and stochastic electron heating for reduced gas cracking
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
JP3565774B2 (ja) * 2000-09-12 2004-09-15 株式会社日立製作所 プラズマ処理装置及び処理方法
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5312778A (en) * 1989-10-03 1994-05-17 Applied Materials, Inc. Method for plasma processing using magnetically enhanced plasma chemical vapor deposition
US5849372A (en) * 1993-09-17 1998-12-15 Isis Innovation Limited RF plasma reactor and methods of generating RF plasma
US6245190B1 (en) * 1997-03-26 2001-06-12 Hitachi, Ltd. Plasma processing system and plasma processing method
CN1199242A (zh) * 1997-03-27 1998-11-18 松下电器产业株式会社 等离子体处理的方法及装置
JP2000114189A (ja) * 1998-10-06 2000-04-21 Toshiba Corp 真空処理装置
WO2001068939A2 (en) * 2000-03-10 2001-09-20 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
WO2001071765A2 (en) * 2000-03-17 2001-09-27 Applied Materials, Inc. Plasma reactor with overhead rf electrode tuned to the plasma

Also Published As

Publication number Publication date
US7132618B2 (en) 2006-11-07
US20050236377A1 (en) 2005-10-27
WO2003036680A1 (en) 2003-05-01
US20030136766A1 (en) 2003-07-24
US7186943B2 (en) 2007-03-06
EP1440456A1 (en) 2004-07-28
JP2006502556A (ja) 2006-01-19
US20040211759A1 (en) 2004-10-28
CN1599946A (zh) 2005-03-23
KR20040045913A (ko) 2004-06-02
TW589680B (en) 2004-06-01
US6894245B2 (en) 2005-05-17
KR100557273B1 (ko) 2006-03-07

Similar Documents

Publication Publication Date Title
CN1314072C (zh) 抑制电弧放电的对应等离子体喷头rf顶电极调谐的merie等离子体反应器
CN100341107C (zh) 抑制电弧放电的对应等离子体射频顶电极调谐的等离子体反应器
US11743973B2 (en) Placing table and plasma processing apparatus
CN1812684A (zh) 等离子反应器顶置源功率电极
US7837828B2 (en) Substrate supporting structure for semiconductor processing, and plasma processing device
KR20200127135A (ko) 플라즈마 프로세싱 챔버 내의 엘라스토머 시일의 수명을 연장시키는 크기로 형성된 에지 링
KR102196995B1 (ko) 분리 가능한 가스 분배 플레이트를 갖는 샤워헤드
KR200467708Y1 (ko) 플라즈마 에칭 챔버용 에지 링 어셈블리
US8988848B2 (en) Extended and independent RF powered cathode substrate for extreme edge tunability
US20080303744A1 (en) Plasma processing system, antenna, and use of plasma processing system
US7880392B2 (en) Plasma producing method and apparatus as well as plasma processing apparatus
US20040027781A1 (en) Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
CN1669108A (zh) 磁等离子体控制电容耦合等离子体反应器
KR19980032909A (ko) 원뿔형 돔과 유도성으로 연결된 평행판 플라즈마 반응기
US11430636B2 (en) Plasma processing apparatus and cleaning method
US8647438B2 (en) Annular baffle
CN1812683A (zh) 改善等离子体均匀性和减少器件损伤的等离子体反应室
JPH11317299A (ja) 高周波放電方法及びその装置並びに高周波処理装置
CN1717790A (zh) 等离子体处理方法和装置
CN1717788A (zh) 等离子体处理装置和方法
JP2007149638A (ja) プラズマ生成方法及び装置並びにプラズマ処理装置
CN1783430A (zh) 电容耦合型等离子体处理装置
CN1240107C (zh) 晶片处理装置和晶片平台以及晶片处理方法
CN1745463A (zh) 等离子体处理装置和等离子体处理装置用的电极板和电极板制造方法
KR101097386B1 (ko) 원격 플라즈마 발생기를 지지하는 거치대

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20070502

CX01 Expiry of patent term