KR19980032909A - 원뿔형 돔과 유도성으로 연결된 평행판 플라즈마 반응기 - Google Patents

원뿔형 돔과 유도성으로 연결된 평행판 플라즈마 반응기 Download PDF

Info

Publication number
KR19980032909A
KR19980032909A KR1019970053188A KR19970053188A KR19980032909A KR 19980032909 A KR19980032909 A KR 19980032909A KR 1019970053188 A KR1019970053188 A KR 1019970053188A KR 19970053188 A KR19970053188 A KR 19970053188A KR 19980032909 A KR19980032909 A KR 19980032909A
Authority
KR
South Korea
Prior art keywords
chamber
conical
plasma
processing chamber
coil
Prior art date
Application number
KR1019970053188A
Other languages
English (en)
Inventor
저하드 쉬나이더
빅터 쉘
앤드류 느구옌
로버트 더블유. 우
제럴드 지. 인
Original Assignee
조셉제이.스위니
어플라이드머티어리얼스,인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉제이.스위니, 어플라이드머티어리얼스,인코포레이티드 filed Critical 조셉제이.스위니
Publication of KR19980032909A publication Critical patent/KR19980032909A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/203Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using physical deposition, e.g. vacuum deposition, sputtering

Abstract

본 발명은 반도체 집적회로의 제조 특히 에칭 및 이와 유사한 공정에 적합한 플라즈마 반응기에 관한 것이다. 이러한 공정에서는, 챔버가 절두된 원뿔형 돔을 포함하는 정상부를 가지고 있고, 바람직하게는 절두된 원뿔형 돔의 정상부에 반대전극이 배치된다. RF 코일은 원뿔형 돔 주위에 감겨져서 RF 에너지를 챔버 돔 내의 플라즈마로 유도적으로 연결한다. 돔의 온도는 몇가지 방법으로 제어될 수 있다. 히트 싱크는 돔의 외부 림에 부착될 수 있다. 강체 원뿔형 열제어 덮개는 돔의 외부에 고정될 수 있고, 둘 사이의 미세한 열팽창은 원뿔형의 형상에 의해 용이하게 되고, 우사한 열접촉이 이루어지게 된다. 강체 열제어 덮개는 저항열, 유체냉각 또는 양쪽 모두를 포함하고 있다. 대신에, 가요성 저항히트는 RF 코일 내부의 돔 주위에서 감겨진다. 저항 히트는 RF 코일의 수직방향 상부에 놓이는 직선부 및 RF 코일로부터 떨어져 위치하는 굴곡부를 가지고 꾸불꾸불한 경로로 감겨 있는 히터 와이어를 포함하고 있다. 이 경로에 의해, 히터 와이어는 RF 코일에 의해 유도되는 방위 전기장을 단락시키지 않게 되고, 또한 경로는 코일에서 챔버 플라즈마로의 전기적 연결을 방지하는 패러데이 보호물로서의 역할을 한다.

Description

원뿔형 돔과 유도성으로 연결된 평행판 플라즈마 반응기
본 발명은 반도체 집적회로의 제조 및 이와 유사한 공정을 위한 장비에 관한 것이다. 특히, 본 발명은 챔버 형상, 반도체의 공정을 위한 반응 챔버의 가열과 냉각 및 챔버 내의 전기 유도와 용량 효과를 제공함과 동시에 챔버 내의 공정에 노출되는 챔버 표면의 온도 제어에 관한 것이다.
실리콘 집적회로의 제조는 물질층의 증착 및 특징부를 수평으로 형성하는 연속 에칭을 위한 열활성 공정에 기본을 두고 있다. 열공정에서는, 증착의 균일성은 공정에 노출되는 표면의 온도에 의존하고, 온도의 변화는 공정비의 변화를 일으킨다. 그런 온도에 대한 의존에 의해서 공정의 반복성이 떨어지게 된다. 복잡성이 증가하고 특징부의 사이즈가 감소하기 때문에, 반도체 공정은 더욱더 플라즈마 반응 챔버 내에서 수행된다. 플라즈마는 평형열보다 적당한 온도에서 실리콘 웨이퍼를 유지하면서 다양한 형태의 화학적공정 및 물리적공정(스퍼터 증착 및 스퍼터 클리닝)을 위한 필요한 활성에너지를 제공함으로써, 유해한 열구동 부속 효과가 방지된다. 즉, 증가된 많은 제조 단계는 온도, 최대 열 및 집적 열윤곽에 의해 유해한 효과를 만들지 않고 수행될 수 있다.
특히 단일 웨이퍼의 에칭 및 화학적 기상증착(CVD)을 위한 초기 플라즈마 반응기는 도 1에 도시된 반응기를 닮고 있다. 웨이퍼(50)는 접지된 금속벽(55)을 갖는 진공 챔버(54) 내에서 둘러싸여 있는 페데스탈(52) 상에서 지지된다. RF 전기 전원 공급기(56)는 페데스탈(55)에 연결되어, 챔버(54)의 내부로 공급되는 가스의 플라즈마를 자극하게 된다. 접지된 챔버 벽(55)은 페데스탈(52)로의 반대전극으로서의 역할을 한다. 플라즈마 자극 가스는 웨이퍼(50) 상에서 작용하여, 웨이퍼를 에칭하거나 웨이퍼 상의 플라즈마 활성반응의 산출물을 증착하게 된다. 페데스탈(50) 및 챔버벽(55)는 두 개의 전극으로서 작용하여 RF 에너지를 플라즈마로 전기적으로 연결하게 된다.
RF 구동 페데스탈(50)은 음극의 역할을 하고 큰 접지 챔버벽(55)은 양극의 역할을 하게 된다. ULSI Technology, eds. Chang et al.(McGraw-Hill, 1996)의 에칭의 페이지 330-330에서 Lii에 의해 논의된 바와 같이, 페데스탈(50)은 아래의 식에 의해 접지된 벽에 상대적인 네거티브 DC 포텐셜 Va을 만들어낸다.
(1)
Vp은 (+)100 볼트 이하의 플라즈마 포텐셜이고, Aa는 플라즈마에 인접한 챔버 벽(55)의 표면 영역이고, Aa는 페데스탈(50)의 정상 표면의 영역이다. 작은 RF 전원 음극(52) 및 큰 접지 양극(54)을 갖는 도 1의 통상적인 경우에는, DC 플라즈마 전압이 항상 포지티브이기 때문에, 영역비가 상당히 크고, 음극 전압 Vc는 네거티브이다. 그래서, 방정식이 다음과 같이 간단하게 될 수 있다.
(2)
플라즈마를 둘러싸는 큰 접지 챔버벽에 대해서는, 페데스탈(50) 상의 전압 Vc은 플라즈마 및 챔버 벽(50)에 상대적으로 (+)수백 볼트의 전압에 도달하게 되어, 다이오드 효과를 생성하고 플라즈마 내의 포지티브 이온을 만들어, 페데스탈(50) 상의 웨이퍼를 고에너지로 가격하게 된다.
웨이퍼 사이즈가 증가하고 균일성에 대한 요구가 강화되기 때문에, 챔버의 형상은 더욱 평면적인 형상으로 바뀌게 된다. 예를 들어, CVD 챔버를 위한 Wand et al.로의 미국 특허 4,892,753 및 에칭 챔버를 위한 Ogle로의 미국 특허 4,948,458을 보라. 도 2에 도시된 바와 같이, 반대 전극(58)은 페데스탈(52) 및 웨이퍼(50)의 직경보다 적은 갭을 가로지르는 지지되는 웨이퍼(50)의 반대측에 위치한다. 예를 들어, 갭은 200 mm의 웨이퍼를 위하여 수 센티미터일 수 있다. 반대 전극(58)은, RF 전원 구성이 CVD를 위해 역전되는 동안, 에칭 장치를 위해 접지된다. 종종 반대전극(58)은 샤워 헤드 가스 디스펜서를 포함하여, 반응가스를 웨이퍼(50)에 인접한 반응존(zone)에 균일하게 공급하게 된다. 이러한 구조에서는, 챔버(54)의 벽은, 접지되더라도, 플라즈마 및 화학적 반응에서 덜 중요하게 된다.
글로우 방전 공정(Glow Discharge Processes):스퍼트링 및 플라즈마 에칭(Wiley-Interscience,1980),페이지 156-171에서 균형적인 구조을 위한 덮개 전압이 논의된다. 접지된 음극을 확실하게 하지 않는 방정식(1)의 더욱 일반적인 버전이 제시된다.
(3)
V1및 A1는 DC 자체 편향이고, 제 1전극의 영역 및 V2및 A2는 제 2전극에 상응하는 값이다.
미국 특허 4,871,421에서의 Ogel et al.은, 챔버 벽으로 아크되는 것을 방지하기 위하여 페데스탈(52) 및 접지된 챔버 벽(54)에 관련된 반대 전극(52) 사이에서 50:50의 비율에서 RF 전원을 분할하는 이득을 제시하고 있다. Ogel 참조에서는, 도 1에 도시된 바와 같이, 반대 전극 및 페데스탈 전극의 아주 다른 사이즈를 관측할 수 있다. 전극 사이즈의 차이점은 분할된 RF 전원을 위한 상기한 다이오드 효과를 생성하게 된다. 다시, 다른 사이즈의 전극에 의해, 고에너지 충전 이온이 플라즈마의 덮개를 가로질러 방출되고, 순수한 화학적 활성보다는 물리적 스퍼터링(이온 에칭)이 증가하게 된다.
플라즈마 반응 챔버의 최근의 개발은 많은 양의 RF 에너지가 아주 높은 이온 밀도, 전형적으로 1011cm-3이상을 갖는 플라즈마를 생성하는 고밀도 플라즈마(HDP) 반응기로 귀결된다. HDP 반응 챔버는 다른 이득 뿐만아니라 고증착 및 에칭비율을 제공한다. 몇가지 형태의 HDP 반응 챔버들이 있지만, 대부분의 것들은 소스 플라즈마로의 RF 에너지의 유도연결을 포함하고 있다. 유도적으로 연결된 플라즈마 반응챔버들은 세가지의 형태로 분할될 수 있다.
도 3에 도시된 바와 같이, 제 1형태는 유전체 측벽(62) 주위에서 둘러싸여 있고 전형적으로 석영으로 되어 있으며 RF 전기소스(64)에 의해 전원이 공급되는 나선형 코일(60)을 포함하고 있다. 페데스탈(52)는 자체의 RF 소스(56)를 계속해서 가지고 있다. 산화물 에칭에 대해서는, 반대 전극(66)이 접지되고 실리콘으로 구성되어, 에칭을 수행하는 플루오르화탄소로부터 플루오르를 청소하게 된다. 이러한 접근법은 미국 특허 5,556,501의 Collins et al. 및 유럽 특허 출원 552,491에 의해 일반적으로 기술되어 있다. Al 및 Rice et al.은 미국 특허 5,477,975에서 구체적인 실시예를 기술하고 있다. 구체적인 실시예는 도 7 및 도 8에서 더욱 상세하게 기술될 것이다.
나선형 코일을 포함하는 구성은 플라즈마 영역의 측부를 둘러싸는 벽의 사이즈를 증가시키게 된다. 결과적으로, 간격이 조밀하게 되어 있는 전기 전극을 갖는 반응기와는 달리, 벽의 상호작용은 플라즈마를 형성하고 증착 또는 에칭화학을 위해 중요해지게 된다.
유도적으로 연결되는 플라즈마 반응기 챔버의 제 2형태는, 도 4에 도시된 바와 같이, 평면 나선 코일(70)을 포함하고 있고, 이는 종종 팬케익 코일 또는 스토브 정상 코일이라고 불리어지며, 웨이퍼(50)에 인접하고 평행한 정상, 평면 유도벽(72)의 외부에 놓이게 된다. 팬케익 코일(70)은 RF 소스(64)에 의해 전원을 공급받아 전원을 챔버 플라즈마로 유도적으로 연결하게 된다. 공정제어에 대해서는, 페데스탈(52)은 RF 편향될 수 있다. 도 4의 간격이 근소하게 되어 있는 구성에서는, 전도성이 있고 접지된 챔버벽(74)은, 물리적인 배치 및 근소한 간격을 유지하는 평면 코일(70) 및 페데스탈(52)에 상대적으로 작은 사이즈 때문에, 플라즈마 및 그 화학적 성질로부터 효과적으로 연결해제된다. 팬케익 코일의 예제는 앞에서 인용된 특허의 Ogel 및 유럽 특허 출원 601,468-A1의 Marks et al.에 의해 개시되어 있다.
유도적으로 연결된 플라즈마 반응기 챔버의 제 3 실시예는, 도 5에 도시된 바와 같이, 웨이퍼(50)의 상부에 위치하는 반구형 유도체 돔(80)을 포함하고 있다. 이중 오목 돔(80)의 형상을 따르는 오목 나선 유도 코일(82)은 RF 전원 소스(64)에 연결되어 반구형 돔의 형상을 따르게 된다. 주지된 바와 같이, 도 5의 반구형 형상은 도 3의 원통형 형상과 도 4의 평면 형상 사이의 중간물이다. Benzing et al.은 미국 특허 5,346,578 및 5,405,480의 반구형 코일을 개시하였고, Sahin et al.은 유럽 특허 출원 680,072-A2의 방사형 다중 만곡 CVD 반응기를 개시하고 있다.
이들 챔버들의 형상은 이득과 불이득을 제시하고 있다. 이들 다양한 실시예들의 유도적 연결은 각 RF 소스에 의해 구동되는 코일(60, 70, 82)에 의존하여 자극된 플라즈마를 포함하는 반응챔버의 부분 내에 RF 자기장을 유도하게 된다. RF 자기장은 패러데이의 유도법칙에 따라 직각 전기장을 유도하게 된다.
(4)
결과적으로, 원통형 및 이중 오목 돔의 경우에 적어도 부분적으로 축챔버 방향을 따라 놓여지는 요동 자기장은 플라즈마 내의 회전식 전기장 즉, 축 자기장 및 폐쇄되는 전기장 주위로 연장되는 전기장 루프를 만들게 된다. 이것은 일반적인 원통형 형상 내의 고리형상을 가지기 때문에, 방위장이라고 불리어진다. 회전 전기장선을 따라 플라즈마 내에서 순환하는 전자는 플라즈마 이온과 충돌하게 된다.
자유공간에서는, 도 3의 솔레노이드(원통형) 코일(60)은 균일한 에칭 또는 상대적으로 큰 웨이퍼(50) 상의 증착을 위해 바람직한 균일한 축 자기장을 제공한다. 그러나, RF 자기장에 의해 생성되는 플라즈마는 원통형 챔버(62) 내의 플라즈마의 내부 특히, 더 높은 챔버 압력에서 보호하게 된다. 결과적으로, 도 3의 원통형상에 대한 플라즈마 밀도는 중앙에서 수그러드는 경향이 있다. 도 4의 평면 팬케익 코일(70)은 원통형 챔버의 반지름을 가로지르는 RF 자기장을 유도함으로써, 이 문제를 잠재적으로 피하게 된다. 팬케익 코일은 평면 균일성에 대해 몇가지의 이득을 제공하는 반면에, 팬케익 코일에 의해 생성되는 자기자 선이 폐쇄되는 것이 필요하고, 특히 중앙 부근에서 불리한 전자기 구성이 있기 때문에, 유도 연결에 대해 어려운 구성을 제시하게 된다.
도 5에 도시된 만곡 돔 구성을 포함하는 유도 연결의 제 3형태는 두갸지 형태의 이득을 결합한다. 도 5의 반구형 코일(82)은 다른 두 개의 접근법의 이득을 결합하게 된다. 그 형상은 전자기적으로 유용한 나선 코일을 닮지만, 전원의 양은 웨이퍼의 중앙영역 상부의 플라즈마 내부로 연결된다. 또한, 인접 코일(82)에 의해 자극되는 종류의 확산이 중요해지는 한, 웨이퍼(50)의 모든 부분은 일반적으로 코일(82)로부터 등거리에 있게 된다. 이미 인용된 출원의 Sahin et al.은 균일성을 개선하기 위해 사용될 수 있는 돔에 대해 다중 방사 만곡부를 제시하고 있다. 그러나, 도 5의 만곡선 돔은 우수한 처리 능력을 제공하는 한편, 몇 개의 기구적 문제점을 제시하고 있다. 만곡선 돔은 주조 또는 가공에 의해 형성하는 것이 어렵다. 더욱이, 돔은 내부의 근접 접점 내의 가열 부재 및 냉각 부재에 제어되는 온도일 수 있다. 불운하게도, 돔과 열제어 부재 사이의 미세 열팽창은 2차원의 만곡표면 위에 그들 사이의 효과적인 열접촉을 방해하게 된다. 더욱이, 순수한 돔이 기구적으로 강하더라도, 잘려진 돔의 정상부에서 평면 반대 전극을 포함하는 것이 때때로 바람직하다. Sahin et al.을 보라. 최종 잘려진 만곡선 돔은 구조적으로 약하고, 구조적인 완전함은 200 mm 및 300 mm의 웨이퍼를 봉하기에 필요한 더 큰 사이즈의 진공챔버를 위해 더욱 중요하게 된다.
미국 특허 출원, 일련번호 No. 08/648,254, 1996년 3월 13에 출원된 Collins et al.은 원뿔형 나선형 코일을 제시하고 있지만, 원뿔의 베이스는 챔버의 평평한 루프에 인접한 지점에 위치하고, 결과적으로 나선 코일의 큰 부분은 챔버로부터 멀리 떨어져 있어서, 플라즈마로의 RF 연결을 감소시키게 된다.
유도 코일에 인접한 유도적으로 연결된 플라즈마 챔버 부분은 석영으로 만들어지는 종래기술 내에 있다. 비록 금속(전기적으로 유도된)벽은 코일이 챔버로 연결하는 자기장을 통과하게 되고, 방정식(4)에 의해 기술된 순환 RF 전류는 플라즈마 뿐만아니라 금속벽 내에서 조립될 것이다. 그래서, 챔버벽은 유도(전기적으로 절연된)물질로 형성된다.
석영은 항상 플라즈마 반응기의 벽 특히 반도체 처리장치에 대해 유용하다. 석영은 아주 순수한 형태로 만들어질 수 있다. 화학적 구성은 실리콘 처리와 호환되는 실리카(SiO2)이다. 통상적으로 다른 세라믹 즉, 알루미나(Al2O3) 또는 소결된 실리콘 카바이드(SiC)는 실리콘 화학물질 상의 불확실한 효과 및 반도체적 특성을 갖는 부재를 포함하고 있다.
그러나, 석영은 진보된 플라즈마 반응기 특히 반도체 산업에 대해 산화물 에처에 대해 문제점을 제시하고 있다. 산화물 에처는 처리된 기판의 절연층 특히 SiO2층을 에칭해야만 한다. 결과적으로, 처리된 웨이퍼를 효과적으로 에칭하는 화학물질은 석영 벽도 아주 효과적으로 에칭할 수 있다. 더욱이, 석영은 매트릭스 내에 합류되는 작은 정자로 형성되어 있기 때문에, 에칭될 때 더러운 물질이 되는 경향이 있다. 석영이 에칭될 때, 에칭은 에칭되지 않은 정자를 언더컷팅(undercutting)하기 위해 정자들 사이의 위치영역 내에서 가속되는 경향이 있고, 이들 정자는 매트릭스로부터 자유롭고 웨이퍼 상에 종국적으로 정착될 수 있다. 집적 회로의 사이즈가 축소되고 회로 부품의 숫자가 증가함에 따라, 입자 오염의 문제점이 증가하고 있다.
진보된 집적회로의 에칭, 특히 산화물 에칭은 많은 중요한 요구사항을 가지고 있다. 산화물층을 통해 에칭된 바이어스 및 접점은 더 좁아지고 깊어질 필요가 있고, 1:1 및 때때로 5:1 및 그 이상의 관련 비율을 가지고 있다. 에칭은 실리콘 위의 실리카에 대해 아주 이방성이 강하고 선택적일 것이 요구된다. 얇은 층은 하부에 있는 실리콘에 아주 선택적일 것을 요구함으로써, 에칭은 산화물층이 에칭될 때 멈춘다. 웨이퍼의 사이즈가 증가함에 따라, 웨이퍼 상의 에칭의 균일성의 문제가 커지게 된다.
Collins et al.은 이미 인용된 유럽 특허 출원에서 다음 사항을 개시하고 있다. 즉, 요구되는 선택도는 플루오르화 탄소로부터 플루오르를 청소하기 위해, 웨이퍼 상에 놓여 있는 실리콘 함유 반대 전극과 결합되는 CF4,C2F6또는 C3F8또는 CHF3와 같은 수소 플루오르화 탄소같은 플루오르화탄소를 사용함으로써 얻어질 수 있다. 이 공정은 실리콘 상에 중합체를 증착하지만, 실리콘 이산화물 상에는 중합체를 증착하지 않는다. 그래서, 일단 중합체가 노출되면 에칭으로부터 실리콘을 보호하게 된다. 실리카를 통해 벽 상의 미세 중합체 증착은 수직 측벽을 형성하게 된다. 미국 특허 5,477,975의 Rice 및 1995년 9월 5일에 출원된 미국 특허 출원에 의해 요구되는 바와 같이, 이 공정은 웨이퍼 뿐만아니라 반대 전극 및 다른 처리요소 사이의 다른 챔버 부품의 온도에 의존하고 있다.
반응비는 일반적으로 T2내지 T3의 범위 및 선택적인 에칭의 공간적인 미세 반응 공정에서 온도의 파워에 따라 변하게 되고, 온도의 변화는 에칭 및 변화부 상이 증착 사이에서의 공정을 구동할 수 있다. 예를 들어, 시동 효과는 챔버가 처음으로 켜질 때 관측될 수 있다. 도 6의 곡선 90은 실리콘 이산화물에 대한 에칭비율을 도시하고 있고, 곡선 92는 공정의 시작후에 처리된 웨이퍼의 숫자 기능으로서 포토레지스트에 대해 상응하는 에칭비율을 도시하고 있다. 산화물 에칭비율은 포토레지스트 에칭 비율이 떨어지는 동안 웨이퍼의 숫자와 함께 증가하게 된다. 약 25개의 웨이퍼가 처리된 후에는, 비율 접근 정적 상태 값이 작용하게 된다. 이러한 변화는 플라즈마 처리에 의해 생성되는 챔버 내부 내의 부품의 온도의 상승을 반영하게 된다. 그 효과는 하루 중 처음 작동시에 더미 웨이퍼를 사용함으로써, 회피될 수 있다. 그러나, 그 처리량은 줄어들게 된다. 또한, 처리가 장비의 실패에 대해 방해를 받게 될 때 또는 웨이퍼가 즉시 유용하지 않기 때문에, 더 적은 온도 변화가 발생하게 된다. 그래서, 챔버의 많은 부분의 온도는 빈틈없이 제어될 필요가 있다. 예전에는 벽 및 부품 온도가 제어되었지만, 이들 구조는 개선될 필요가 있다.
더욱이, 석영은 기본적으로 물질적인 문제점을 제시하고 있다. 그것은 0.5 W/m-℃의 범위에서 낮은 열전도율을 갖는 세라믹이다. 비록 온도제어 몸체(히터/냉각기)가 석영챔버 벽의 외부에 적용될지라도, 반응 챔버와 마주보는 측벽의 온도는 여전히 미미하게 제어된다. 이러한 문제점은 도 3의 원통형 구조에 의해 더욱 악화된다. 이 구조의 구체적인 실시예는 도 7의 단면도 및 도 8의 상세 단면도에 도시되어 있다. 원통형 채버 벽(100)은 원통형 온도 제어 재킷(102)에 의해 둘러싸여 있고, 이 경우에는 솔레노이드 RF 코일(104)이 설치된다. 원통형 챔버벽(100)은 일반적으로 알루미늄으로부터 가공되는 큰 몸체인 하부 챔버(106) 상에 놓이게 되고, 원통형 챔버 벽(100)의 상부는 루프(108)를 지지하게 되며, 루프(108)은 산화물 에칭에 대해 폴리실리콘으로 구성되는 종래기술에서 바람직한 것이다. RF 커넥터(110)는 RF 전원을 루프(108)로 공급하게 된다. 히터판(112)은 루프(108)의 정상부에 대해서 가압되고, 두 개의 전기 커넥터(114, 116)를 통해 전원이 공급되는 나선형 저항 히터를 포함하고 있다. 냉각 조립체는 히터판(112)의 정상부에 대해 눌리어지게 되고, 냉각 유체에 대해 내부에 형성된 적어도 하나의 그루브(120)를 갖는 냉각된 웨이퍼와 같은 냉각판(118)을 포함하고 있다. 커버판(122)은 그루브(120)을 덮어서 밀봉하게 된다.
도 8을 참조로 하여, 고리형 저항 히터(122)는 재킷(102)의 하부에서 그루브(124)에 끼어져 있어서, 특히 RF 코일(104)이 에너지를 공급받지 않을 때 재킷(102) 및 챔버벽(100)을 선택적으로 가열하게 된다.
조립체 갭(126)은 챔버벽(100) 및 열재킷(102) 사이에서 두 개가 조립될 때 형성된다. 조립체 갭(126)은 두가지 이유 때문에, 두 개의 부분(100, 102) 사이의 조립 및 피할 수 없는 열팽창 동안, 그 부분들을 미끌어지게 할 필요가 있다. 만약 조립체 갭(126)이 없다면, 조립체는 복잡한 절차를 필요로 하게 되고, 일단 조립되면 그 부분은 미세한 온도차 아래에서 서로 부서지는 경향이 있게 된다. 조립체 갭(126)은 전도 물질 내의 열전도와 비교될 때 열에너지가 거의 전달되지 않는 영역을 생성하게 된다. 열전달율은 캡(126)의 사이즈 및 갭(126) 내의 가스 압력에 의존하게 된다. 즉, 열팽창에 의해, 갭의 변화 및 갭을 가로지르는 열전달율이 생성된다. 더욱이, 원통형 부품들 사이의 갭은 일반적으로 피할 수 없는 불균형 때문에 원통형 형상 주위에서 균등하게 분포되지 않게 되지만, 일측에 비해 다른 일측이 더 작아지게 된다. 갭 내부의 변화는 인접한 갭의 사이즈에 의존하는 원통형 몸체들 사이의 열전달율의 변화를 야기시킨다. 그래서,, 벽 주위의 다른 위치에서 열전달율은 인접한 갭의 사이즈에 의존하여 변하게 된다. 더욱이, 원통형 챔버벽은 낮은 열전도체인 석영으로 만들어지는 것이 통례이다. 이러한 변화에 의해, 정밀한 온도제어가 요구될 때보다 덜 효과적인 온도제어에 대한 종래의 모드가 사용된다.
도 7의 원통형 챔버는 원통형 측벽(124)의 도 9의 일반적인 형상 및 일반적으로 같은 직경의 정상부(126)를 가지게 된다. 웨이퍼 영역(128)의 직경은 챔버 측벽(124) 및 그 정상부(126)의 직경보다 더 작다. 원통형 측벽(124)은 정상부(126)의 진공장착 중량을 지지하기에는 좋지만, 정상부(126)가 300 mm의 웨이퍼와 같은 큰 기판에 대해서는 더 크게 연장되기 때문에, 마약 초과 두께가 만들어지지 않는다면, 내측으로 구부러지는 경향이 있다.
과거에는, 챔버 부품이 교체될 때, 냉각 시동 형상에 부가되어, 추가되는 웨이퍼 순환에 의해 공정이 베이스라인 공정으로 바뀌게 된다. 새로운 부품들은 마지막 효과를 얻기 위해 몇가지의 조건사항을 필요로 하게 된다. 새로운 부품 인터페이스를 갖는 새로운 부품을 사용함으로서 야기되는 온도의 불안정은 적어도 부분적으로 추가의 열순화을 필요로 하게 되고, 공정흐름을 제거하고 공정을 베이스라인 수행표준으로 바꾸게 된다.
본 발명은 반도체 집적회로의 제조 특히 산화물 에칭에 적합한 플라즈마 챔버이다. 챔버는 웨이퍼의 상부 및 주위에 위치하는 절두 원뿔형 돔의 형상으로 되어 있는 챔버 사이에서 몇가지의 관련 발명을 포함하고 있다. 일실시예의 챔버 벽의 외부 원뿔형 표면은 주변 열제어 링의 내부 경사 표면과 매칭된다. 열링은 원뿔형 챔버 벽의 외부에 놓여져 있고, 상기 벽에 대해서 좨어질 수 있어서 그들 사이의 미끄럼 열 인터페이스를 제공할 수 있도록 되어 있다. 이러한 구조에서는, 벼과 열링 사이의 미세 열팽창에 의해, 인터페이스 표면의 원뿔형 각도를 따라 미끌리게 됨으로써, 온도 또는 팽창 미세치와 관계 없이 열접촉을 유지하게 된다. 원뿔형으로 배치된 RF 유도코일은 원뿔형 벽표면 주위에서 둘러싸여질 수 있고, 또는 평평하거나 솔레노이드 RF 코일이 챔버의 정상부에서 둘러싸여질 수 있다. 둘중 하나의 배치가 플라즈마를 유도하는 챔버 내의 전기장을 생성하기 위해 사용될 수 있고, 그 전기장은 웨이퍼의 중앙부분의 위에서 연장되기 위해 맞추어질 수 있어서 플라즈마 처리의 균일성이 개선된다.
그런 구조를 갖는 챔버의 루프는 유전체일 수 있고, 또는 전기 연결 배치에서 전극으로서의 역할을 하는 평평한 표면을 제공하는 전도체 또는 반도체로서의 역할을 하는 물질일 수 있으며, 페데스탈은 전기 연결이 수행되는 것을 통해 다른 판일 수 있다. 유전체 에칭의 이득에 의해, 루프는 탄소 또는 실리콘 함유 물질로 만들어질 수 있다.
챔버 벽의 물질의 저항은, 처리 챔버 내의 플라즈마를 효과적으로 유도하기 위해, 물질(예를 들어, 폴리실리콘 또는 실리콘 카바이드)을 통해 전기장의 효율적인 관통을 허여하여 조정될 수 있다.
열링은 가열, 냉각 또는 부재를 유도하는 전기장을 포함할 수 있다. 이들 부재들은 단일로 존재할 수 있고 다른 유용한 조합으로도 존재할 수 있다. 몇가지의 미세 온도 제어에 의해, 더 나은 공정 제어 및 균일성이 얻어지게 된다.
이 구조에서는, 챔버 벽을 위한 가열 부재는 챔버의 외부 원뿔형 벽에 인접하게 있다. 히터 부재의 권선은 꾸불꾸불한 패턴으로 되고, 이 부재는 일반적으로수직으로 되어 있는 절두되지 않은 원뿔에서 원뿔의 베이스 까지 통과하는 한 세트의 라인을 따라서 상하의 패턴으로 배치된다. RF 자기 및 챔버 내의 플라즈마를 유도하는 전기장을 생성하기 위한 유도 코일은 히터 부재의 주위에서 수평으로 둘러싸여 있고, 코일 전도체는 히터의 히터 부재의 와이어에 우세한 방향에 직교가 되는 방향으로 된다. 직교 교차 와이어는, 전기장이 플라즈마 내에서 효과적으로 유도되는 동안, 패러데이 보호물을 생성하여 유도 코일의 전기 효과로부터 챔버를 보호하게 된다. 층상 구조에서는, 유도 코일은 내부상의 가열 부재와 외부상의 냉각 부재 사이에 위치하게 된다. 열전도 유전 부재는 유도 코일을 지지하고, 열링 내부의 챔버의 벽의 온도를 증가시키거나 감소시키기 위해 열전달을 용이하게 한다. 히터 부재의 진보층, 유도 코일 및 냉각 부재는 별개의 구조일 수 있고, 또는 하나의 접합된 구조 내에서 함께 샌드위치 결합될 수 있다.
원뿔형 형상에 의해, 이미 기술된 히터 부재는 원뿔형 표면 주위에서 둘러싸여 있는 평면 가요성 시트 내에서 제조된다.
유도코일의 원뿔형 형상의 권선에서 둘러싸여지는 원뿔형 챔버 벽을 사용할 때에는, 코일의 정상 권선은 원통형 코일에서보다는 챔버의 중앙에 더욱 가깝게 된다. 챔버의 중앙으로 정상 권선이 가까워짐에 따라, 챔버를 가로지르는 증착의 균일성이 개선되고, 단지 주변 유도코일이 사용되는 챔버의 중앙에서 발견되는 필드 강도의 감소가 제거된다.
본 발명에 따른 챔버 벽은 처리 챔버의 벽 내의 감소된 온도 변화를 보여주게 되고, 과거에 공정의 반복성에 유해한 영향을 끼치는 경향이 있는 바람직하지 않은 효과를 제거하게 된다.
본 발명에 따른 챔버는 원뿔형 유도 코일을 갖는 원뿔형 외벽 표면을 갖는 챔버 벽을 포함하고 있다. 챔버의 원뿔형 부분에는 고리형 원뿔의 절두체가 있고, 고리형 원뿔이 절두체의 정상 가장자리는, 반대전극과 직면하고 대략 그 반대측에 위치하는 기판면의 표면 영역과 대략 대등한 영역을 갖는 반대전극에 의해 펼쳐져 있다. 나선형 와이어 코일은 기판 처리 위치 상에서 중앙에 있을 수 있다. 루프 부재는 벽의 원뿔형 부분과 결합할 수 있다. 벽 및 루프 구조를 위한 유용한 물질은 열 전도성, 유전성 및 공정 챔버 환경으로의 화학적 저항을 가지고 있다. 수용할 수 있는 물질은 폴리실리콘 및 실리콘 카바이드.
원뿔형 코일 권선은 팽창하고, 대략 일정한 피치를 가질수 있으며, 가변 피치를 가질 수 있다. 평평한 팬케익형 코일은 챔버의 루프 상에 제공될 수 있고, 또는 수직 원통형(솔레노이드같이) 코일이 제공될 수 있다. 루프 코일은 챔버 내에서 유도되는 전기장의 균일성을 강화시키게 된다. 공정 챔버 벽에 인접한 원뿔형 권선은 챔버의 루프 상에 위치하는 코일과 병렬 또는 직렬로 배치될 수 있다. 원뿔형 코일은 나선 원뿔 경사를 갖는 권선 지그 상에 용이하게 둘러싸여질 수 있다. 코일 전원 조정 회로는 이들 각 코일들의 효과를 조정하기 위해 제공될 수 있다.
본 발명은, 외벽 표면과 함께 근접한 열접점 내에서 열히터를 포함하고 있고, 상기 열히터의 가열 부재에 의해 형성되는 패턴은 꾸불꾸불한 경로이며, 이 경로는 바람직하게는 다른 것에 대략 평행하게 되어 있는 직렬의 길이방향 부재부를 가지고 있고, 이들 부재부들은 히터의 중앙 수직축과 길이방향 부재를 포함하는 직렬의 평면과 함께 있게 된다. 히터의 각 길이방향 섹션의 중앙 몸체는 정상부의 패턴 및 하부 측방향 스터브 연결을 통해 인접한 길이방향 부에 연결되고, 상기 히터부재의 길이방향 섹션은 상기 측방향 스터브 연결보다 더 길다. 이 구조의 유도는 측벽의 외표면 및 열히터의 외부에 근접하게 배치됨으로써, 코일의 권선은 진공 처리 챔버의 상기 중앙 수직축 주위에 감겨지고 일반적으로 측방향 스터브 연결의 방향과 평행하게 되며, 히터 부재의 길이방향 섹션과 직교로 된다. 측벽 및 유도 코일은 수직으로 형성될 수 있고, 또는 원뿔형으로 형성될 수 있다. 측벽은 실리콘 이산화물(석영) 또는 실리콘 질화물 예를 들어 폴리실리콘 또는 실리콘 카바이드로 구성되는 측벽으로 구성되는 것보다 더 큰 벌크 열전도를 갖는 실리콘 복합물로 구성되어 있다. 이 구조에서는, 유도 코일은 원뿔형 내부 표면을 갖는 열 전도 유전 부재 내에 수용될 수 있어서, 챔버의 외벽 표면과 유전 부재의 내부 표면 사이에서 열 전달의 표면 접촉을 제공하게 된다. 챔버 벽의 외벽 표면과 유전 부재의 내부 원뿔 표면 사이의 미끄럼 운동은, 챔버벽과 유전 부재 사이에서 온도의 변화 및 그 차이에 의한 운동을 용이하게 한다. 유전 부재는 유전부재를 포함하여 상기 부재 및 상기 측벽을 가열할 수 있고, 가열 부재는 유도 코일의 내부 또는 외부에 위치할 수 있다. 가열 부재는 상기 유전 부재의 외부 원뿔형 링부 내에 끼워질 수 있고, 열적 전달 연결을 통해 내부 원뿔형 링부재에 고정된다. 냉각링은 유전 부재의 외표면에 부착되어 상기 유전 부재와 열접촉할 수 있고, 냉각링은 열전달 유체를 통과하기 위해 냉각 유체 통과물을 가지고 있다. 냉각링은 측벽의 일단부에 인접하게 위치할 수 있다.
본 발명에 따른 온도 제어방법은 원뿔형 외부 표면을 갖는 상기 진공 처리 챔버의 측벽을 제공하는 단계 및 챔버 벽의 외부 원뿔 표면과 슬라이딩 결합되는 열 소스 링 상에 원뿔 내부 표면을 제공하는 단계를 포함하고 있다. 부가적으로 히터 엘리먼트의 패턴의 주요 부분이 서로 평행하게 이동하도록 히터 엘리먼트의 주방향이 전후방 패턴으로 경로 설정된 측벽의 제 2 측과 열접촉하는 가열부재를 위치시키는 단계를 포함하고, 챔버 측벽 및 가열 부재를 에워싸기 위한 구성으로 유도 코일을 제공하는 단계를 포함하는데, 유도 코일의 와이어는 서로 평행하게 이동하는 히터 엘리먼트의 부분에 수직하게 이동하도록 구성된다. 그리고, 상기 방법은 측벽의 일단부에 인접하게 배치된 냉각 링을 제공하는 단계를 포함한다. 측벽 및 냉각 링 사이의 접속은 그들 사이에 열 및 전기 도전성을 제공하도록 형성된다.
처리 챔버의 측벽의 온도를 유지하는 방법은 챔버 측벽을 제공하는 단계; 측벽 주위에 유도 코일을 제공하는 단계를 포함하는데, 코일의 와이어는 챔버의 중앙축 주위로 감기고 챔버의 중앙축에 수직하게 구성되며; 코일 및 측벽 사이에 히터 엘리먼트를 제공하는 단계를 포함하는데, 히터 엘리먼트는 엘리먼트의 주요부분이 코일의 와이에 수직하게 그리고 챔버의 중앙축에 평행하게 이동하는 패턴을 갖는 다.
본 발명에 따른 방법은, 열에너지의 소스를 챔버의 열전도 측벽으로 제공하는 단계, 동시에 챔버의 측벽에 연결되는 히트 싱크를 제공하는 단계, 열에너지의 제공을 변화시키는 단계, 적당한 세팅온도에서 측벽의 온도를 제어하는 열에너지를 싱킹하는 단계를 포함하고 있다. 본 발명은 플라즈마를 형성하기 위해 처리 챔버 내부에서 가스를 야기시키기 위해, 챔버 벽을 통해 유도된 전기장을 동시에 제공하는 단계를 더 포함하고 있다. 측벽의 가열은 가요성 히터를 통해 측벽과 긴밀하게 접촉하여 놓여질 수 있고, 냉각은 몇군데의 위치--측벽의 하단부에서, 가열 엘리먼트의 후방에, 측벽의 상단부에서, 또는 측벽의 상단부 및 하단부에서, 또는 냉각링 위치의 유사한 위치에 놓여 있는 냉각링을 통해 수행될 수 있다.
본 발명에 따른 구조 및 방법은, 공정환경에서 제조 및 수행되기에 용이한 물질의 형상구조를 사용함으로써, 공정 반복성을 강화하는 챔버의 더욱 정밀한 온도제어를 제공하게 된다. 물질의 선택은 ± 5 내지 20 ℃ 사이의 범위에서 공정 매개변수의 열적 측면을 만들어서 반복하기 위해 필요한 열적 수행을 유지하는 동안, 저항의 변화를 위한 선택사항을 제공한다.
제 1 도는 기판 영역과 반대 전극 영역 사이에서 큰 비율을 가지고 전기적으로 연결된 종래의 플라즈마 반응 챔버의 측면도,
도 2는 서로 근접한 위치에 있는 기판 영역 및 반대 전극 영역 가지고 전기적으로 연결된 종래의 플라즈마 반응 챔버의 측면도,
도 3은 전기적 및 유도적으로 연결된 종래의 플라즈마 반응 챔버의 측면도,
도 4는 웨이퍼의 상부에 위치하는 평면 유도코일을 갖는 종래의 플라즈마 반응 챔버의 측면도,
도 5는 웨이퍼의 상부에 일반적으로 위치하는 반구형 유도코일을 포함하는 종래의 플라즈마 반응 챔버의 측면도,
도 6은 웨이퍼의 기능 또는 냉간 시동 후의 시간으로서의 에칭 선택도를 도시하는 그래프,
도 7은 종래의 공정 챔버의 단면도,
도 8은 도 7의 공정 챔버의 측벽의 확대도,
도 9는 상부 반대 전극 및 도 7의 종래의 공정 챔버 내에 존재하는 웨이퍼지지 받침 전극의 상대크기를 도시한 사시도,
도 10은 본 발명에 따른 공정 챔버의 단면도,
도 11은 도 10 및 도 12에 도시된 챔버의 부품의 부분 분해사시도,
도 12는 도 10 및 도 11에 도시된 공정 챔버의 원뿔형 측벽, 다소 다른 형태를 갖는 링가열/냉각 부재의 실시예의 확대 단면도,
도 13은 도 10의 중앙 가스 공급 및 열전대를 도시하는 부분의 확대단면도,
도 14는 상부 반대 전극 및 도 10의 종래의 공정 챔버 내에 존재하는 웨이퍼지지 받침 전극의 상대크기를 도시한 사시도,
도 15는 열순환시 생성되는 힘 및 운동을 도시하는 도 10 내지 도 12의 공정챔버 부분의 측면도,
도 16은 종래 및 본 발명의 반응기의 플라즈마 균일성 그래프,
도 17은 도 10의 챔버의 램프 가열 측벽 배치를 도시하는 공정챔버의 다른 실시예를 도시한 확대단면도,
도 18은 도 10의 공정챔버의 가요성 부재 히터 구성의 다른 실시예를 도시한 도면,
도 19는 도 18의 단면도 내에서 표시되고 히터 덮개가 도시되지 않은 상태에서 19 방향으로 도시되는 히터부 및 주변 코일 구조의 부분 측면도,
도 20은 도 18의 단면도 내에서 표시되고 공정챔버 부분의 측면 부분 분해사시도,
도 21은 도 20의 와이어 코일의 권선의 다른 구성의 측면 단면사시도,
도 22는 평면 시트로부터 절두된 원뿔형 가열 덮개의 평면도,
도 23은 본 발명에 따른 원뿔형으로 된 유도코일의 와이어를 감기위한 권선 지그의 측면도,
도 24는 챔버 루프의 상부에 있는 제 2코일 및 제 2히터/냉각기를 도시하는 본 발명에 따른 공정챔버의 상부의 다른 실시예의 단면도,
도 25는 도 7에 도시된 종래의 공정챔버의 측벽을 통한 열에너지의 유동의 개념도,
도 26은 도 10에 도시된 본 발명의 공정챔버의 측벽을 통한 열에너지의 유동의 개념도,
도 27은 도 18, 도 19 및 도 20에 도시된 본 발명의 공정챔버의 측벽을 통한 열에너지의 유동의 개념도,
도 28은 도 24에 도시된 본 발명의 공정챔버의 측벽을 통한 열에너지의 유동의 개념도,
도 29는 본 발명의 바람직한 기능구성의 특징을 나타내는 도면,
도 30은 본 발명에 따른 기판이 처리되는 루프 전극 반대 및 서셉터 지지 사이의 전원 분할 배치 공급 및 분할 전원의 도면,
도 31은 본 발명을 수행하기 위한 제어기의 블록도 및 연결도,
도 32는 별개의 루프 및 원뿔형 돔을 가지고 에너지를 유도적으로 챔버로 연결하기 위한 제 1 및 제 2 코일을 사용하는 플라즈마 반응기 챔버의 단면도,
도 33은 원뿔형 돔 및 페데스탈의 기본 편향을 갖는 플라즈마 반응기 챔버의 단면도,
도 34는 접지 원뿔형 돔 및 루프와 페데스탈 사이의 RF 전원 분할을 갖는 플라즈마 반응기 챔버의 단면도,
도 35는 다수의 공정 모드에서 작동하기 위해 전기적으로 구성될 수 있는 플라즈마 반응기 챔버의 단면도이다.
* 도면의 주요 부분에 대한 부호의 설명 *
130: 돔 138: 밀봉링
140 : 립 142: O-링
146 : 밀봉링 166 : 스프링 부재
188 : 코일 지지체 212 : 하우징
426, 430 : 열전대
이하 첨부 도면을 참조하여 본 발명을 상세하게 설명한다.
본 발명에 따른 몇가지의 구조는, 공정챔버의 벽을 둘러싸는 열링을 통해 가열 및 냉각을 제공함으로써, 챔버 내부의 플라즈마로 RF 에너질를 유도적으로 연결하고 공정챔버의 벽의 온도를 제어하는 것을 도와주는 공통된 특성을 가지고 있다. 벽의 원뿔형 구조는 우수한 열접촉을 확실하게 하고, 종래기술에서의 에어갭을 제거한다. 특별하게 선택된 물질을 사용하는 것은, 우수한 열 전도성을 제공하는 동안 전기장의 관통이 처리 챔버 내부의 가스를 유도하도록 허락하여 처리 챔버의 벽 내부에 있는 온도 변화에 기인한 공정변화를 거의 제거함으로써, 공정 챔버 성능을 개선하게 된다. 원뿔형 유도 코일 권선을 갖는 원뿔형 벽을 사용하는 것은, 고정 및 가변 피치 코일 권선의 사용이 요구되는 전기장의 효과를 미세하게 조율하는 동안, 챔버내의(특히 챔버의 중앙에서) 전기장의 변화를 감소시킨다. 챔버를 둘러싸는 히터 엘리먼트와 챔버를 둘러싸는 유도 코일 사이의 포텐셜 전기 간섭은 히터 엘리먼트의 루팅에 직교방향으로 코일의 권선을 루팅함으로써 제거되는 대부분의 부분을 위한 것이다. 챔버 구조는, 대략 동일한 사이즈의 전극(루프 및 페데스탈)이 원피스 다목적 챔버 구성으로 다른 것에 평행하게 되어 있다. 원뿔형 상부 챔버 구조는 원피스로 만들어질 수 있고, 유도적 연결 뿐만아니라 전기적으로 연결될 수 있다.
도 10, 도 11 및 도 12는 각각 본 발명에 따른 에칭 처리 챔버의 실시예의 정상부의 단면도, 분해 사시도 및 확대단면도를 도시하고 있다.
챔버의 상부 측벽은 하부 챔버(106)의 측면으로부터 처리되는 웨이퍼를 지지하는 페데스탈(52)의 가장자리 위의 위치로 연장되는 원뿔형 돔(130)을 포함하고 있다. 원뿔형 돔(130)은 원뿔형상인 즉, 중심축 주위에 고리모양으로 되어 있고 중심축에 고정되게 경사져 기울어져 있는 적어도 하나의 외표면을 갖는 측벽을 가지고 있다. 원뿔형 돔(130)은 웨이퍼 처리 영역 측으로 하부측에서 큰 림을 가지고, 웨이퍼 처리 영역으로부터 떨어져 있는 정상측에서 더 작은 림을 가지고 배치되어 있다. 원뿔형 돔은 내부 및 외부 표면 옆에 다른 표면부를 가지더라도, 원뿔형 내부 표면 및 외부 표면을 갖는 측벽을 가지고 있다. 즉, 내부 및 외부 표면은 챔버의 중심 수직축 주위에서 고리모양으로 균형이 잡혀 있고, 그 직경들은 그 축을 따라서 선형으로 변하게 된다. 특히, 외부 원뿔형 표면은 몇 개의 유용한 이득을 가져다준다.
도 11에서 아주 잘 도시된 바와 같이, 원뿔형 돔(130)의 하부에서 플라즈마 보호물(132)은 석영 또는 실리콘 카바이드로 만들어져 있고, L자 모양의 단면을 가지고 있다. L자 모양의 단면의 하부 레그(134)는 보통 알루미늄으로 되어 있는 하부 처리 챔버(106)의 상부 플랜지 개구부 상에서 지지되어 있다. 도시되지 않은 O-링은 플라즈마 보호물(132)를 하부 챔버(106)로 밀봉하게 된다.
플라즈마 보호물(132)의 L자 모양의 단면의 정상 원통 레그(136)는 보호물의 수직 레그(136)의 반지름 외부 주위에서 외주상으로 설치되는 하부 밀봉링(138)의 앞에서 장애물(보호물)로서 역할을 하기 위해, 챔버 내부에서 수직 상향으로 연장된다. 알루미늄 같은 금속으로 만들어지는 하부 밀봉링(138)은 플라즈마 보호물(132)의 수평 하부 레그(134)의 정상부에서 지지되고 밀봉된다.
하부 밀봉링(138)은, 도 12에 도시된 바와 같이, 내측 연장 립(140)을 포함하고 있고, 이 립은 밀봉링(138)의 외부에 위치하고 고리모양의 하부 탄성 지지패드(144)에 인접한 하부 O-링(142)으로 눌리어지며, 이들 밀봉링 및 지지패드는 립(140)의 하부에서 하방으로 직면하는 밀봉 채널(146) 내에 위치하게 된다. O-링(142)은 밀봉링(138)의 하부를 도 11의 플라즈마 보호물(132)의 정상부에 밀봉하게 되고, 이와 동시에 고온 플라스틱, 예를 들어 베스펠 또는 캡톤과 같은 폴리이미드 시트로 만들어지는 하부 탄성 지지패드(144)는 밀봉링(138)의 하중 및 밀봉링의 상부의 챔버부를 석영과 같은 취성 물질로 만들어지는 플라즈마 보호물(132)로 균등하게 지지하고 분포시키게 된다. 이런 배치는 응력 집중을 야기시키고 플라즈마 보호물이 파단될 수 있도록 하는 피스들 사이에서 점하중을 피하게 된다. 밀봉링(138)의 내부 방사형 단부 상의 하부 채널 지지 립(148)에 의해, 하부 탄성패드(142) 및 인접 O-링(142)이 하방 직면 밀봉 채널(146) 내에서 내측 방사형으로 미끌어지지 않게 된다. 내향 연장 립(140)은 상측 상에 상부 O-링(152) 및 다른 고리모양의 탄성 가열 전도 패드(154)를 포함하는 상향 직면 채널(150)을 포함하고 있다. 하부지지 립(140)으로부터 상향으로 연장되는 상부지지 립(156)은 상부 가열 전도 패드(154) 및 상부 O-링(152)이 내측으로 미끌어지는 것을 방지한다. 상부 탄성 가열 전도 패드(154)는 베스펠과 같은 고온 플라스틱으로 만들어지는 것이 바람직하지만, 다른 물질이 반응기 온도 및 부식환경 상에 의존하여 사용될 수 있다.
상부 탄성 패드(154)는 원뿔형 챔버 측벽(돔)(130)의 하부 가장자리(158)를 지지하고 있고, 그 내표면은 처리 챔버 내의 기판 처리 위치(152)(도 10)와 마주보게 된다. 원뿔형 측벽(돔)(130)은 높은 전기저항과 우수한 열전도성을 가지는 특히, 석영 또는 실리콘 질화물 보다 더 큰 열 전도성을 일반적으로 갖는 물질로 만들어져 있다. 이들의 상반되는 특성은 폴리실리콘과 같은 실리콘계 물질에 의해 만족될 수 있고, 이것을 불운하게도 취성 파단 및 미세입자의 생성에 의존하게 된다. 그러나, 아래에서 이들에 대해 많은 이득이 있는 실리콘 카바이드 복합물이 기술된다.
상부 탄성 가열 전도 패드(154)는, 플라즈마 보호물(132)에 대해 위에서 기술된 바와 같이, 점하중에 기인한 파단을 방지하는 밀봉링(138) 상의 돔에 의해 부과되고, 피스의 중량 및 챔버 내부의 진공에 기인한 기구적 하중을 분배시키는데 도움이 된다.
밀봉링(138)은 립(140)으로부터 수직 상향으로 연장되고, O-링의 형상으로 속이빈 스프링부재인 전기적 전도 탄성(스프링) 부재(166)를 폐쇄하는 O-링형의 내부 그루브(162)를 갖는 외측벽 플랜지(160)를 포함하고 있다. 그것을 나선형으로 금속 리본을 빽빽하게 권선함으로써 형성될 수 있다. 그래서, 나선의 외부는 튜브를 형성하기 위한 속이 빈 코어와 함께 일반적으로 고리형 원통을 형성하게 된다. 나선의 감김은 접촉할 필요가 없음으로써, 비연속 튜브를 생성하게 된다. 튜브 부재의 단부는 링을 형성하기 위해 다른 것에 결합되거나 또는 긴밀하게 접촉하게 된다. 외부로부터, 스프링 부재(166)는 나선형 가장자리, 그루브 또는 개구부를 갖는 O-링같이 생기는 경향이 있다. 스프링 부재(166)의 측부 표면은 내부 그루브(162)의 입구 위로 튀어져 나오고, 돔(130)과 밀봉링(138) 사이의 갭(168)을 가로질러 전기적 연속성을 유지하기 위해 연속 스프링 접촉물로서의 역할을 한다. 스프링 부재(166)의 탄성(압축)범위는, 전기적 접촉을 확실하게 하는 동안, 돔(130)의 하부 외부 가장자리(170)와 함께 갭의 사이즈의 변화를 용이하게 한다. 후술될 Lu et al.의 SiC 복합물 돔(130)은 절연 몸체 및 플라즈마와 직면하는 전도표면층을 포함하고 있다. 표면층은 돔(130)의 하부 주위에서 하부림의 외측을 둘러싸는 고리형 탭으로 연속된다. 스프링 부재(166)는 원뿔형 돔(130)의 내표면을 접지시키기 위해 고리형 탭과 전기적으로 접촉하도록 위치한다.
어떤 구조에서는, 밀봉링(138)은 파선 172로 도시된 바와 같이 외측 방사형으로 연장될 것이다. 연장된 밀봉링(172)은 고리형 냉각 통과물(174)을 위한 공간 및 밀봉링(138)의 주변을 가열 및/또는 냉각하는 냉각 통과물(172) 내에서 순환하는 열전달 유체를 포함하는 연장된 밀봉링(172)에 밀봉되는 폐쇄판(176)을 제공한다. 자동 제어기의 제어하에 있는 펌프(173)는 냉각 유체 예를 들어 물을 냉각 통과물(174)로 선택적으로 공급하게 된다.
원뿔형 돔(130)과 밀봉링(138) 사이에 위치하는 상부 탄성 열패드(154)는 원뿔형 돔(130)의 하부 단부(158)와 하부 밀봉링(138) 사이의 열적 전도 브리칠를 제공함으로써, 열적 에너지 열은 자유롭게 돔(130)과 하부 밀봉링(138) 사이의 조인트를 가로질러 전도된다. 이는, 갭 내의 가스 압력 뿐만아니라 주위 표면 및 실제 갭의 접촉 영역 내의 변화에 기인하여 효과적인 열전도가 변할 수 있는 에어갭을 가로지르는 열에너지의 전도 변화의 불리함을 제거하게 된다. 상부 탄성 열 패드(154)는 반복적인 열전도를 수행하는 예상 성질과 함께 연속적인 전도 경로를 제공한다. 이것은 챔버 돔 측벽(130)의 온도 제어를 개선하고 2000W의 유도 코일로의 RF 전원 입력을 가지고 5 ℃보다 작도록 온도 변화를 감소시키게 된다.
원뿔형 측벽(130)은 하부에서 상부까지 균일한 두께를 가지고 있을 수도 있고, 또는 도면에 도시된 바와 같이, 상부 두께 치수보다 더 두꺼운 하부 두께 치수(172)를 가지고 구배질수도 있다. 내부가 진공상태로 될 때의 돔(130)의 응력해석은 정상부에서 좁은 두께의 구배는 하부에서의 응력과 함께 돔(130)의 상부의 응력을 동등하게 한다. 그래서, 대략 균등한 응력 분포가 돔 물질 내부에서 유지되는 동안, 상부는 더 얇게 만들어질 수 있다. 돔 물질 내의 수용할만한 응력 및 그 두께는 선택된 특정 물질에 의해 조정되고, 기판을 처리하는 동안 물질이 가열되는 경향이 있게 된다. 돔이 폴리실리콘 물질로 만들어질 때, 만약 돔(130)이 실리콘 카바이드 물질로 만들어지는 것보다 더 두꺼워질 필요가 있다. 다른 물질에 대해서는, 온도의 물질 상태량은 최소 유용 두께를 선택하기 위해 고려될 필요가 있다. 대략 15 인치(380 mm)의 하부 직경 및 대략 2-1/4 인치(57 mm)의 높이를 갖는 폴리실리콘 돔(130)에 대해서는, 정상부에서의 벽 두께는 3/8 인치(9.5 mm)이고, 원뿔의 베이스에서의 벽 두께는 대략 5/8 인치(15.9 mm)이다. 실리콘 카바이드 돔에 대해서는, 각 두께는 5:3의 비율을 얻기 위해 감소되어, 최대 국부 응력과 폴리실리콘 돔에 대해 기술된 바와 같이 물질의 산출 강도 사이에서의 유사 관계를 제공한다.
원뿔형 측벽(130)의 내부 및 외부는, 돔 블랭크가 선반 스핀들 또는 다른 회전 베이스 상에 있을 때, 내부 및 외부 돔 각도를 따라 직선 커팅 가공함으로써 용이하게 가공된다. 이런 제조성의 용이함은 부분적으로 둥글거나 반구형으로 형성되는 돔을 만들기 위해 요구되는 복잡한 형상 및 가공과 비교된다.
실리콘 카바이드는 그들 내의 챔버 및 부품에 대한 물질로서 제안되었다. 실리콘 카바이드는 석영보다 다소 큰 즉 150 W/m ℃보다 큰 열전도 계수를 가지고 있다. 처리 챔버의 노출된 벽의 온도 안정을 개선하는 것은 유전체이고 전기장을 통과하는 윈도우로서의 역할을 하며, 우수한 열전도서을 제공하고, 증착 및 공정의 에칭단계 동안에 챔버 환경과 화학적으로 호환되는 벽 물질을 제공함으로써 수행된다. 또한, 처리 챔버의 외벽의 구조는, 수직 정각 벽 챔버와 결합되는 열 특성과 비교될 때, 우수한 표면 접촉 및 챔버 벽과 주변 열제어 부재 사이의 온도 제어를제공하는 원뿔 형상을 가직 있다.
실리콘 카바이드는 플루오르 소결제로서의 역할을 하는 엘리먼트 실리콘에 대한 대체물로서 제안되었다. 그러나, 실리콘 카바이드의 부품은 대체적으로 소결된 형태로 유용하였고, 많은 석영의 입자문제를 겪었다. 그러나, 최근 Lu et al.는 1996년 7월 26일에 출원된 미국 특허 출원 08/687,740인 소결 실리콘 카바이드 로 형성된 벌크 부재 및 화학기상증착(CVD)에 의해 부재의 플라즈마 직면 측 상에 증착되는 실리콘 카바이드의 상대적으로 얇은 층을 포함하는 복합구조에서 개시되었다. 벌크 구조는 표준 소결 공정에 의해 거의 임의의 형상으로 용이하게 형성될 수 있고 우수한 강도 및 수용할 수 있는 열전도성을 제공한다. CVD 표면층은 플라즈마 에칭에 아주 저항력이 강하며, 입자를 생산하는 경향이 없다. 더욱이, 표면층의 전기 전도성은 벌크 실리콘 카바이드의 전기 전도성으로부터 별개로 제어될 수 있고, 복합체는 전기적으로 동시에 접지될 수 있는 동안, 전자기적 방사를 허여하기에 충분한 작은 효과적인 스킨 깊이를 가질 수 있게 된다.
원뿔형 유도 코일(180)이 원뿔형 측벽(130)의 외부에 놓이게 될 때, 챔버 내부의 가스의 플라즈마 밀도의 균일성를 개선하는 것으로 알려져 있는 전자기장을 생성하기 때문에, 그 형상은 반구형 돔 위에 놓이는 반구형 코일과 같은 플라즈마 상의 이득 효과를 제공하게 된다. 플라즈마 균일성은 에칭 또는 증착의 공간적 균일성에 대해 임계적이다. 챔버의 중앙에 가까운 코일(180)의 상부 권선의 존재는 처리되는 기판(웨이퍼)을 가로지르는 플라즈마 밀도의 변화를 감소시킨다. 원뿔형 코일 예를 들어 도 10의 코일(180)의 단면을 이와 비슷한 사이즈의 반구형 코일 상에 부과함으로써 상응하는 권선들 사이의 배치가 작아지게 된다는 것을 알게 된다. 인접한 주변 유도 코일을 갖는 순수한 반구형 측벽과 순수한 원뿔형 측벽을 비교할 때, 반구형 챔버 정상은 더욱 균일한 플라즈마 밀도르 제공하지만, 균일한 응력 분포는 절두되지 않은 챔버는 강도면에서 이득이 없다는 것을 의미한다.
그러나, 많은 공정은 평평한 전극(반대 전극)이 기판의 처리 표면의 반대측에 놓여져 공정에 대해 유리한 바이어스를 제공한는 것을 요구한다. 그런 반대 전극은, 챔버의 루프가 평평하거나, 통과가 측벽 및/또는 페데스탈(52)의 반대측에 있는 반대 전극으로 전기적으로 연결되어 지지하는 챔버의 루프 내에서 만들어지는 것을 요구하게 된다.
이들 예에서는, 반구형 루프의 정상부가 절두되거나 평평할 때, 반구(둥글게 된 돔)의 절두된 가장자리가 증가함으로써, 심각한 불연속이 외향 만곡벽 때문에 응력 분포에서 생성된다. 반면에, 원뿔이 도 14에 도시된 원뿔형상으로 절두될 때, 그런 불연속이 생성되지 않는다. 왜냐하면, 돔의 직선 측 벽(182)은 인가된 하중과 같은 선상에 있고, 절두체의 정상 가장자리 즉, 절두 원뿔의 껍질의 정상 가장자리(184)에서 심한 불연속이 없이 압축되는 수직하중을 수행하기 때문이다. 돔(130)의 절두체의 잇점은 응력 분포 패턴이 직선 측벽(182)에 기인하여 의곡되지 않고, 원뿔형의 중간 범위를 통해, 측벽(182)의 외부 상의 권선 코일이 돔구형 돔 주위에 형성된 코일에 의해 생성되는 것에 접근하는 이온 분포(플라즈마 밀도)를 생성하게 된다는 것이다. 절두된 원뿔형 돔은 이와 유사한 사이즈의 절두된 반구형 돔보다 7배 더 강한 것으로 계산된다.
도 11 및 도 12를 참조로 하여, 원뿔형 측벽(130)의 외부 표면(186)은 경사 각도가 측벽의 외부 표면(186)에 매칭되는 내부 원뿔형 표면(190)을 갖는 고리형 유도 코일 지지부재(188)를 지지하는 경사 각도에서 잘려짐으로써, 두 개의 표면(186, 190)이 코일 지지부재(188)의 외부 표면(190)에 근사한 영역 위에서 서로 접촉하게 된다. 도 15에 도시된 바와 같이, 경사 각도 α는, 원뿔형 표면(186, 190) 원뿔형 표면(192) 상의 경사 직선과 원뿔형 표면(192)의 축(196)에 직교되는 베이스면(194) 사이의 날카로운 각도로서 정의된다. 관련된 정상 각도 β는 원뿔의 정상(198)의 전체 각도로서 정의된다. 실리콘 카바이드, 폴리실리콘 및 알루미늄 질화물로 만들어지고 32 RMS의 표면 마무리를 갖는 원뿔형 부재(130, 188)에 대해서는, 대략 105 °의 정상 각도 β는 만족할만하다. 다양한 물질 상태량에 대한 경사각도 β의 선택 및 표면 마무리는 변할 수 있다. 그러나 각각의 경우에는 경사 각도는 인접한 부품의 분쇄 각도와 동등하지 않거나 초과하여야만 한다.
분쇄 각도는 표면 마무리, 마찰 계수 및 법력이 원뿔 표면에 매칭되는 두 개의 부품이 각 힘을 구속하게 되는 각도로 정의된다. 이때, 내부 부품이 팽창하거나 외부 부품이 축소되거나, 더욱 상세하게는 내부 부재가 상대적으로 크게 팽창하거나 또는 외부 부재가 상대적으로 크게 축소하게 된다. 이것은 두 개의 부재의 미세한 열팽창과 함께 발생할 수 있다. 각 부품이 서로 상대적으로 미끌어지도록 하는 두 개의 접촉 표면이 두 개의 부품 사이에서 미끌어지는 것에 대해 저항하는 마찰력을 극복하기에 불충분할 때, 두 개의 부품은 경사진 원뿔형 접촉면에서 움직이지 않게 된다. 부품이 움직이지 않게 될 때, 팽창(축소)에 의해 서로 접촉하는 접촉 표면의 탄성적 분쇄 즉 간섭 응력이 발생하게 된다. 두 개가 부품은, 접촉 표면을 따라 작용하는 상대적으로 낮은 힘이 정적 마찰력을 극복하기에 불충분하는 조건에서는 고정될 수 있지만, 큰 힘이 작용할 때에는 고정된다. 그러나, 고정된 상태에서는, 아무리 큰 힘이 초기 미끄럼 상태에서 인가되더라도, 그 형상에 의해 미끄러지려는 힘이 마찰력을 극복하지 못하게 된다. 간섭 응력은 부품의 하나 또는 다른 부분이 파단되는 점으로 상승하게 된다.
도 15의 우측면에서 도시된 바와 같이, 두 개가 부재(130, 188)이 열팽창 또는 축소 때문에 결합될 때, 사이즈의 불균형(간섭)은 부재(130, 188) 내에 탄성적으로 설치되는 점으로 된다. 탄성 압축은 부재들(130, 188) 사이의 반대방향으로 작용하는 방사형 열 구동력 FT을 인가하게 된다. 이 논의에는 독립 코일 지지부재(188)가 언급된다. 방사력 FT는 원뿔형 표면(192)의 법력 및 평행력인 FN, FP으로 분해될 수 있다. 그 크기는 경사각도 α에 관련된다.
FN=FTsinα (5)
FP=FTcosα (6)
중량 및 스프링과 같은 다른 바이어스 힘은 이들 방정식에 포함되지 않지만 용이하게 추가될 수 있다.
만약 팽창 및 축소가 돔(130)에 대해 코일 지지체(188)을 바이어스하게 되면, 정적 마찰력에 의해 코일 지지체(188)는 FP가 임계치 FTH를 초과할 때까지 FP의 방향을 따라 움직이지 않게 된다. 일반적인 근사치에서는, 임계 마찰력 FTH는 선형 관계에 의해 법력 FN과 관련된다.
FTH=cSTFN (7)
cST는 정마찰계수이고, 그 값은 상수이다. 이들 방정식은 다음의 결과를 만들게 된다.
(8)
이 마지막 방정식은, 충분히 큰 정마찰계수 cST및 충분히 큰 경사각도 α에 대해, 특히 방정식(8)의 우측의 산출치가 1보다 크고, 임계 마찰력 FTH이 항상 정적 마찰 고정을 깨뜨릴려는 힘보다 크게 되어 부재를 미끌어지게 하려는 것을 나타내고 있다. 결과적으로, 열구동력 FT는 부재(130, 188)의 파단 한계가 초과되고 부재(130, 188)가 영구히 변형되거나 부서질 때까지 증가할 수 있다. 반면에, 방정식(8)의 우측의 산출치는 1보다 적으면, FP가 마찰 임계치 FTH를 초과하는 값으로 증가할 때, 운동을 저지하는 정마찰력이 초과되고 정마찰 고정이 해제되며, 더 적은 동마찰계수가 인가되고, 코일 지지체(188)가 부재(130, 188)가 더 이상 압축되지 않는 돔(130)과 접촉하는 상태의 위치에서 상향으로 움직일 수 있다.
그래서, 만약 경사각도가 분쇄 각도보다 큰 α이면, 마찰 고정이 발생하고 고정 부품이 기구적 손상을 입기 쉽다. 그러나, 경사각도가 분쇄각도 보다 적으면, 경사 표면에서 부품들 사이의 고정은 팽창 또는 축소되는 동안에는 발생하지 않지만, 부품들은 다른 것에 대해 상대적으로 미끌어지게 되고 두 개의 표면 사이의 표면 접촉이 유지된다. 위에서 제시된 이론은 현상만을 이해하기 위한 것이다. 특히, 부품들은 충분히 안전하게 구성되어 고정과 결합되는 임계치를 피하게 된다.
두 개의 최고각도를 도시함에 따라, 분쇄각도가 잘 도시된다. 0 °의 경사각도 예를 들어 두 개의 평평한 판에서는, 미세 열팽창에 기인한 힘이 비록 추가적인 바이어스가 인가되더라도 팽창에 저항하는 마찰력을 항상 초과하는 것으로 예상되기 때문에 부품이 고정될 가능성이 없다. 이것은 90 °의 경사각도(내부 원통과 외부 원통 사이의 접촉면)와는 대조적이다. 그런 경우에는, 한 부품의 팽창 및 축소 효과는 일단 완전하게 접촉하게 되면 다른 부품상에서 완전하게 된다. 미세 팽창 또는 축소는 처음에는 부품들 사이의 간섭 응력을 야기시키게 되지만, 상승하는온도 및 파손되는 동안의 긴 응력에서는 그런 응력이 설계에 반영되지 않게 된다.
0에서 거의 90 °까지의 경사각도의 경우에는, 열전달을 위한 부품들 사이의 경사각도가 확실하게 된다. 그러나, 종래기술에서 도시된 90 °의 경사각도에서는, 부품 조립을 위해 필요한 갭이 부품들 사이의 열에너지의 전달비를 변화시키게 된다. 만곡 돔형상을 사용할 때 그것들이 부분적으로 또는 완전하게 반구형이던지 간에 똑같은 효과가 적용된다. 강성 부재의 만곡 표면들 사이의 효과적이도 균일한 열전달은, 접촉각도가 인접한 부품이 다른 것과의 상대배치에 무관하게 접촉하도록 하는 순수한 원뿔 표면을 사용함으로써, 수행될 수 있다. 비록 두 개의 부재가 다른 온도에서 약간 다른 상대 위치에 있더라도, 그들은 전 온도 범위에 걸쳐 완전 접촉상태로 바이어스될 수 있다. 유도 코일지지 부재(188) 및 그것에 부착되는 부품의 중량은 우수한 열접촉을 얻기 위해 돔(130)에 대해 지지부재(188)의 충분한 바이어스를 제공하게 된다. 그러나, 직렬 스프링에 의해 제공되는 것과 같이, 포지티브 가요성 바이어싱이 요구되는 열접촉 각도를 확실하게 하기 위해 코일 지지부재(188)와 돔(130) 사이에서 인가되는 것이 바람직하다.
150 °의 정상 각도는 37 1/2 °의 경사각도에 상응하게 된다. 방정식(8)의 임계 파라미터의 기능적인 형태 및 RF 코일을 플라즈마 처리영역으로 연장하는 요구에서는, 경사각도의 바람직한 범위는 예를 들어 60 °에서 30 °이고 가능하면 20 °또는 심지어 10 °까지로도 연장된다.
도 11 및 도 12에 도시된 바와 같이, 코일 지지체(188)는 원뿔형으로 감겨진 플라즈마 유도와이어 코일(180)를 고정하기 위한 원뿔형 나선 그루브(210)를 포함하고 있다. 코일 지지체(188)는 알루미늄 질화물, 높은 열전도 유전체 세라믹 또는 이와 유사한 열전달 상태량을 같은 다른 물질로 만들어지는 것이 바람직하다. 코일 지지체(188)는 강체 원뿔 돔형 히터 덮개(212)에 매칭되어 있고, 바람직하게는 코일 지지체(188)와 관련하여 미세 열팽창을 최소화하기 위해 알루미늄 질화물로 만들어진다. 강체 돔형 히터 하우징(212) 내에 끼워져 있는 전기 히터 부재(214)는 열에너지를 원뿔 돔(130)으로 공급한다. 자동 제어기의 제어하에 있는 전기 전원소스(215)는 전원을 선택적으로 히터부재(214)로 공급하게 된다. 글루 접촉면(216)은 열적 및 구조적으로 강체 돔형 히터 덮개(212)를 코일 지지체(216)에 연결한다. 코일 지지체(188) 내부의 그루브(210)의 인접한 램들 사이의 나선 벽(218)은 코일 지지체(188)의 중앙부를 통해 열에너지를 원뿔 돔(130)의 외부표면(190)에 운반한다. 코일 지지체(188)의 두께를 통해 전도 열전달에 유용한 표면 영역은 나선 그루브(210)에 의해 점유되는 표면에 의해 감소되어, 코일 지지체(188)의 두께를 통해 열에너지의 전달로의 열저항을 증가시키게 된다. 코일 지지체(188)와 히터 덮개(212) 사이의 원뿔 표면들은 미세 열팽창을 허락하게 된다.
도 10의 냉각 링(200)은 도 12의 냉각링(200')과는 약간 다르지만, 유사한 번호로 번호가 매겨져서 동시에 기술된다. 냉각링(200, 200')은 강체 히터 하우징(212) 상에 놓여지거나, 스프링 같은 부재에 의해 눌어지고, 그리고 및/도는 강체 히터 하우징(212)의 외부에 붙여지게 된다. 강체 원뿔형 히터 하우징(212)과 냉각링(200, 200')은 인접한 표면들 사이에서 우수한 열전달을 위해 다른 것에 직면하는 경사진 원뿔형 표면을 가지고 있다. 폐쇄 판/링(222, 222')을 갖는 냉각 유체 통과물(220, 220')은 통과물(220, 220')을 통해 펌핑되는 열전달유체(물과같은)를 포함하고 있다. 자동 제어기의 제어하에서의 펌프(221)는 냉각된 물 또는 다른 열전달 유체를 냉각 유체 통과물(220, 220')로 공급한다.
도 10에 도시된 바와 같이, 두 개의 O-링(230, 232)은 원뿔형 돔(130)의 상부를 상부 밀봉 링/플라즈마 가드(234) 및 챔버 루프(236)로 밀봉한다. 이은 도 11 및 도 13에 도시되어 있다. 챔버의 정상부는 챔버루프(236)에 의해 폐쇄되고, 이들은 루프(236)내의 몇 개의 피드 구멍(238)을 통해 중앙가스 피드를 가지고 있다.
원뿔 벽(130) 및 원뿔 벽(130)의 좁은 정상부 위로 연장되는 진공루프(236)의 결합은 기구적으로 아주 강하다. 루프(236)에 대해 미세 압력으로 수직으로 가해지는 큰 힘은 원뿔 벽(130)의 정상부에 작용한다. 이 힘은 원뿔 벽의 측면으로 정렬된느 것과 원뿔벽(130)의 고리형 상부 림을 가압하는 것을 분해된다. 1995년 8월 21일에 출원된 미국 특허 출원 08/517,281의 Pan et al.은 부분적으로 원뿔 루프를 갖는 플라즈마 챔버를 제안하지만, 그 형상의 목적은 정상부 진공 벽은 상부 원뿔 림의 아래에 연장되어 기구적으로 좋지 않은 형상이라는 것을 말하고 있다. 원뿔 돔의 강도의 이득은 같은 압력에서 원통형 챔버가 돔의 상부 림에 놓여진다는 것이다.
루프(236)는 인접한 히터판(240) 내의 저항 히터에 의해 선택적으로 가열되고, 가열판(240)의 후방에 있는 냉각판(244) 내에 형성되는 나선 채널(242)를 통해 순환하는 물에 의해 선택적으로 냉각된다. 나선 유체 채널(242)은 정상 커버(248)에 의해 폐쇄된다. 그러나, 가열 및 냉각은 평평한 판을 제어하는 온도방법에 관련하여 당업자에게 이해될 수 있는 바와 같이 수정될 수 있다. 평면 형상 때문에, 미세 열팽창은 판들(236, 240, 248) 사이의 열접촉에 직접 영향을 끼치지 않는다. 약간의 측면 미끄럼도 열접촉을 부수지 못한다.
도 13에 도시된 바와 같이, 중앙 가스 피드는 인바(Invar)로 구성되는 가스 피드판(412)를 통해 통과하는 가스 피드 튜브(410)를 포함하고 있다. 가스 피드 튜브(410)의 플레어 단부는 그것을 가스 피드 판(412)의 하부로 고정한다. 스프링(414)은 가스 피드판(412)를 가스피드판(412)를 루프(236)로 밀봉하는 탄성 O-링(416)의 반대에 있는 루프(236) 측으로 누르고, 그래서 가스 피드 판(412)와 버퍼 판(418) 사이의 상부 디스크형 배기관(417)을 형성하게 된다. 상부 배기관(417)의 수직 치수는 가스피드 튜브(410)의 플레어 단부에 의해 유지되고, 상부 배기관은 가스피드 튜브(410) 내의 피드가스와 유체 의사소통하게 된다. 버퍼 판(418)은 루프(236) 내의 고리형 능선(419) 상에서 지지되어, 버퍼판(418)과 루프(236) 사이의 하부 디스크형 배기관(420)을 형성하게 된다. 버퍼판(418)은 하부 및 상부 디스크형 배기관(417, 420) 사이의 의사소통을 위한 다수의 축통로를 포함하고 있다. 다수의 피드구멍(238)은 각각 루프(236)의 하부에서 작은 직경의 하부 제트 구멍(421) 및 정상부에서 큰 직경의 반대보어구멍(422)를 포함하고 있다. 그래서, 가스는 루프(236)의 중앙의 영역 위에서 피드가스 튜브(410)으로부터 분배된다.
챔버 벽의 온도는 본 발명의 열제어 부재를 사용하기 위해 모니터링될 필요가 있다. 예를 들어, 도 13에 도시된 바와 같이, 열전대(426)는 정상 커버(248), 냉각 판(244) 및 히터 판(240)을 통해 통과하고, 루프(236)의 정상부에 대해 스프링(428)에 의해 바이어스되어 그 온도를 측정하게 된다. 더욱이, 도 12에 도시된 바와 같이, 다른 열전대(430)는 스프링(432)에 의해 원뿔 돔(130)의 외부에 대해 바이어스된다. 두 개의 열전대(426, 430)의 출력장치 및 다른 온도 측정장치는 미도시된 자동 제어기에 의해 모니터링되고, 요구되는 온도 범위 내에 각 부를 유지시키기 위해 다양한 히터 장치의 전기 전원을 제어하게 된다.
이 구조에서는, 챔버의 벽 내부의 우수한 열전달 및 열 효과와 챔버 벽 사이의 균일한 열전달접촉에 기인하여, 온도제어 회로로의 온도의 정확한 센싱 및 피드백이 종래의 배치보다 더욱 빈틈없이 벽 및 챔버의 루프의 정밀한 온도 제어를 용이하게 한다.
각각의 형상에 의해 제공되는 측벽(130) 및 루프(236)의 미세한 온도 제어는 공정이 다른 구조보다 휠씬 더 제어가 잘되도록 하고, 특히 RF 에너지를 고밀도 플라즈마로 유도 연결하는 구조에서는 더욱 그러하다. 챔버이 모든 부분의 온도제어에 의해 웨이퍼 상의 중합체 형성이 미세하게 제어되고 균일하게 되는 산화물 에칭에서는 그 이득이 특히 많다. 다른 챔버부 및 웨이퍼의 상대 온도를 바꿈에 따라, 같은 챔버는 균일한 에칭모드, 선택적 에칭모드, 청소 모드 및 증착 모드로 작동하게 된다.
도 10의 형상의 이득은, 고플라즈마 밀도에서 더욱 균일한 플라즈마을 생성할 수 있다는 것이다. 도 10의 챔버의 예시적인 치수는 8인치(200 mm)보다 약간 더 큰 직경을 갖는 페데스탈(52), 81/2인치(215 mm)를 갖는 챔버 루프(236) 및 4인치(100 mm)의 페데스탈(50)과 루프(236)사이의 간격으로 정형화되어 있다. 즉, 루프는 직경이 20%이하만큼 다른 경우 이하에 기술되는 것과 같은 장점이 얻어질지라도 웨이퍼의 직경의 6%이내의 직경을 가진다.
이온전류는 도 10의 원뿔형 챔버와 라이스등에 의해 기술된 도 7의 실린더형 챔버에서 측정된다. 처리조건, 즉 유도코일에 공급된 1200W의 RF전력, 페데스탈 또는 루프에 바이어스가 인가되지 않음, 8mT의 압력과 Ar의 150sccm 및 C4F8의 9sccm의 가스흐름은 양 실험에서 동일하다. 이온밀도의 방사상 분포는 도 16에 도시된다. 플롯(230)은 실린더형 챔버에 대한 측정된 이온밀도를 도시한다. 중앙에서의 경사각은 7.3%의 전체 균일성을 발생시킨다. 플롯(232)은 원뿔형 챔버에 대한 대응값을 도시한다. 전체 균일성은 3%이다.
도 10의 구성은 또한 다른 기하학적 장점을 제공한다. 도 14에 도시된 것처럼, 측벽(182)의 유효 변화도는 원뿔의 하부 근처에서 기판 영역(242)을 중첩하는 상부영역(240)이 대략 기판과 동일한 영역을 가지는 것을 의미한다. 대조적으로, 도 9에 도시된 것처럼, 실린더형 챔버는 매우 큰 상부영역(126)을 발생시킨다. 이것은 실리콘 함유 불순물 제거제가 상부영역(240)에 존재하여 RF 바이어스될 때 이하에 기술된 것처럼 중요하다.
도 10에 도시된 챔버 루프(236)는 다수의 모드에서 동작될 수있다. 그것은 유전체 부재가 화학처리에 직접 이용되지 않기 때문에 중요하게 고려될 수있다. 유전체로써 비도핑 폴리실리콘(실제적으로 적절한 저항성 반도체), 탄화실리콘 또는 질화실리콘이 바람직하게 형성될 수있다. 만일 처리환경이 그것을 허용한다면, 루프는 석영으로 만들어질 수있다. 팬케이크 코일 또는 다른 유도성 코일은 루프의 온도를 유지하는 열제어 엘리먼트와 같은 유전체 루프(236)의 후방에 배치될 수있다. 루프(236)는 또한 플라즈마를 형성할 때 전극으로서 이용되기 위해 도전체로 만들어질 수있으며 전기적으로 접지되거나 바이어스될 수있다. 루프(236)는 원뿔형 돔(130)의 내부표면을 따라 접지될 수있다. 만일 그것이 원뿔형 돔(130)과 다른 전위에서 전기적으로 바이어스된다면, 플라즈마 가이드(234)는 석영과 같은 전기 절연 세라믹으로 형성될 필요가 있다. 그러나, 만일 루프(236)가 원뿔형 돔(130)에 전기적으로 접속된다면, 플라즈마 가이드(234)는 탄화실리콘과 같은 전기 컨덕터로 형성될 수있다. 루프(236)는 스퍼터링될 재료로 형성된 타겟과 웨이퍼상에 증착된 스퍼터일 수있다.
도 10에 도시된 구성의 장점은 루프(236)가 RF 바이어스되고 대략 페데스탈(52)과 동일한 크기를 가질 때 증가된다. 유사하게 배치된 루프는 그 크기가 클지라도 예를들어 폴리실리콘 또는 탄화실리콘중 어느 하나인 실리콘 함유재료로 유리하게 만들어질 수있으며 플루오르의 화학적 성질을 사용하여 산화 에처에 사용된다. 실리콘은 플라즈마에서 플루오르에 대한 불순물 제거제로써 동작하여 실리콘 기판상에 증착된 폴리머가 플루오르에서 결함을 가질 수있게 한다. 이러한 플루오르 결함 폴리머는 실리콘상의 산화실리콘을 에칭하는 에칭처리에 유용하게 사용된다. 즉, 산화실리콘의 에칭은 실리콘상에서 중지된다. 그러나, 실리콘 유지 불순물 제거제는 활성화될 필요가 있다. 고체 실리콘이 열적으로 활성화되나, 온도가 수백도 인 것은 공지되어 있다. 이와같은 고온때문에 다른 설계의 문제점, 특히 실리콘 루프 둘레의 진공 시일에 대한 문제점이 발생한다. 선택적으로, 실리콘 루프는 RF 바이어스되고 상기 루프에 인접한 결과적인 플라즈마는 그것을 효율적으로 에칭하여, 불순물 제거 실리콘이 활성화된다. 동시에, 웨이퍼를 지지하는 페데스탈(50)은 또한 웨이퍼의 플라즈마 에칭을 제어하기 위해 RF 바이어스된다.
Ogle 등에 의한 전술한 특허에 개시된 것처럼, 하나의 RF 발생기로부터의 전력은 두 개의 전극(52, 236)사이에서 50:50으로 분할될 수있다. 그러나, 전술한 것처럼, 만일 웨이퍼와 맞선 카운터-전극(236)이 웨이퍼보다 크다면, 플라즈마는 애노드로써 동작하는 큰 전극과 캐소드로써 동작하는 작은 전극을 가진 다이오드로써 동작한다. 결과적으로, 플라즈마 이온은 웨이퍼의 소프트 에칭에서보다 웨이퍼 및 스퍼터쪽으로 가속된다. 그러나, 만일 두 개의 전극이 예를들어 영역의 25%이내에서 동일한 크기를 가지고 RF 전력이 두 개의 전극사이에서 균일하게 분할된다면, 다이오드 효과가 막이질 수있으며, RF 전력레벨은 이 레벨이 웨이퍼에 인접한 플라즈마 외장에 최적 DC 바이어싱을 제공하도록 조절될 수있다. 전력을 미세하게 분할될 수있으며 이는 산화물 에칭을 위해 바람직하다. 본 발명의 원뿔형 돔은 실리콘 함유 루프와 일치하며, 이 실리콘 함유 루프는 거의 웨이퍼와 동일한 크기를 가진다. 이러한 장점은 Ogle 등에 의해 수행된 바와같이 유도성 결합과 순수 용량성 결합이 존재할때조차도 얻어진다.
도 17은 본 발명에 따른 열제어 시스템의 다른 구성을 도시한다. 원뿔형 옴(130)의 측벽은 외부표면(186)을 조명하는 일련의 가열램프(260)에 의해 가열되며, 상기 가열램프는 자동화된 제어기에 의해 선택적으로 전력이 공급된다. 램프(260)는 유도성 와이어 코일(262)을 덮는 테프론 클레이딩(262)의 외부를 조명한다. 이러한 구성의 단점은 외부에 위치한 가열램프(260)가 원뿔형 돔(130)의 가열하기전 그리고 가열할 때 와이어 코일(180)을 가열하는 것이다.
돔(130)의 측벽온도 제어는 도전형 원뿔 돔(130)을 통해 열에너지를 전달함으로써 달성된다. 열도전 실리콘 또는 탄화실리콘 원뿔 돔(130)은 돔(130)의 하부 외부 코너(264)의 아랫방향으로 열을 전도하며, 여기서 돔(130)은 도 12를 참조로하여 앞서 기술한 것처럼 집적냉각통로(174) 및 냉각 유체 통로(174)를 밀봉하는 밀봉 플레이트(176)를 가지는 하부 시일링 링(172)과 접촉한다. 냉각된 시일링 링(172)은 챔버 측벽(130)으로부터 수신된 열에너지에 대한 열 싱크로서 동작한다. 원뿔형 돔(130)의 실리콘 또는 탄화실리콘 혼합물은 돔(130)을 따르는 온도 변화도가 낮게 유지되고 돔(130)이 열에너지의 양을 떨어뜨릴 수 있는 충분한 열 도전성을 제공한다.
석영으로 이루어진 유사하게 구성된 종래 측벽의 상부로부터 하부까지의 온도 변화도는 100。C보다 높을 수있다. 이러한 챔버 측벽의 큰 온도 변동은 온도 변화도가 냉각을 시작후 기판을 연속적으로 처리하는 시간동안 변화하기 때문에 처리 균일성에 중요한 영향을 미친다. 하나 이상의 감지위치로부터 온도를 제어하기 위한 시도는 온도 변화도의 상한치 및 하한치에 영향을 미치지 않고 평균 내부온도의 모델링을 시도하는 근사치 때문에 항상 부정확성을 포함한다. 이들 부정확성은 정확하게 반복되는 처리 파라미터를 설정하는데 장애가 된다. 정확하게 반복되는 처리 파라미터는 측벽에 대한 실리콘 기본 열도전 재료를 이용하여 3 내지 5。C 범위의 최대 변화도를 가진 챔버의 측벽(원뿔형, 실린더형 또는 다른 모양의 측벽)의 상부로부터 하부까지의 예측가능한 온도 변화도를 야기하는 본 발명에 따른 구성을 사용할 때 구해질 수있다. 석영을 사용할때의 가능한 100。C 변화도와 비교할 때, 정밀 온도제어의 장점은 챔버에서 기판처리의 반복성을 확실히 개선할 수있다는 것이다.
도 18은 본 발명에 따른 원뿔형 돔(130)에 대한 열제어 시스템의 다른 또 다른 구성을 도시한다. 이러한 구성에서, 원뿔형 돔(130)을 연속적으로 가열하는 프렉 히터 어셈블리(270)는 두 개의 폴리아미드 외장(274, 276)사이에 산재된 히터 엘리먼트(272)를 포함한다. 자동화된 제어기는 히터 엘리먼트(272)를 선택적으로 동작시킨다. 접착제(278)는 플렉 히터 어셈블리(270)를 원뿔형 돔(130)의 외부에 부착한다. RF 유도코일(262)은 기판 처리위치에 플라즈마를 형성하기 위해 침버의 가스를 여기시키도록 RF 에너지를 챔버내로 커플링한다. RF 유도코일(262)은 일반적으로 코일(262)에 수직, 즉 원뿔형 돔(130)의 경사진 표면에 평행한 폐쇄 자기라인을 발생시키나, 자기장은 원뿔형 돔의 구부러진 표면평면 근처의 코일 위 및 아래에 , 즉 원뿔형 돔의 내부 및 외부 림쪽에 영향을 미친다. 진동하는 자기장과 연관된 전기장은 코일(262)에 전력을 제공하는 RF 주파수를 발진시키는 플라즈마를 여기시킨다. 발진하는 전기장은 일반적으로 방정식(2)을 참조로하여 기술한 것처럼 원뿔형 돔(130)의 대칭축에 대한 회전경로를 따른다. 코일(262)에 의해 발생된 회전 전기장은 인접한 도전 히터 엘리먼트(232)에서 전류를 유도할 수있어서, RF 필드가 플라즈마로부터 잠재적으로 단락될 수있다. 그러나, 코일 및 투명한 히터 엘리먼트에 대한 도 19의 외관으로부터 알수있는바와같이, RF 유도 코일(262)은 나선형으로 감기나 주로 기술된 수평방향으로 연장되며, 도면에서 수평으로 진행하는 회전 전기장을 발생시킨다. 이 구성은 또한 도 20의 연장된 투시도에 기술된다. 히터 엘리먼트(272)는 폴리아미드 외장(274, 276)사이에 매립된 와이어이며, 이 와이어(272)는 원뿔형 돔(130)의 구부러진 부분의 표면상에 후방 및 전방 S자형으로 RF 코일(262)에 수직하게 주로 진행한다.
히터 엘리먼트(272)의 벤드(276) 및 스터브 접속은 RF 코일(262)의 영역 외부, 그리고 코일(262)이 따르는 구부러진 표면 근처 영역내에서 발생한다.
유리하게, 히터 엘리먼트(272)의 컨덕터는 RF 코일(262) 및 챔버사이의 원뿔형 측벽 둘레에 위치한 페러데이 시일드로서 동작한다. 이러한 페러데이 시일드는 전기장 유도효과에 영향을 미치지 않고 유도 코일의 용량성 효과를 차단한다. 용량성 커플링은 코일의 전기 저항이 유한하며 수천 볼트의 전압이 코일의 두 단부에서 발생될 수있기 때문에 비차폐 RF 코일(262)에서 발생한다. 이들 전압은 플라즈마를 통해 챔버의 접지부분에 용량성 결합될 수있다. 그러나, 히터 엘리먼트(272)의 직선부분(274)은 RF 코일(262)의 상부 외피에서 하부 외피로 똑바로 진행하며 RF 코일(262)에서보다 플라즈마에 비교적 감소된 전압 변화도를 제공한다. 이 직선부분(274)은 RF 코일(262)에 의해 발생된 전기 및 자기장에 영향을 미치지 않도록 RF 유도코일(262)의 영역 외부에서 발생하며 일반적으로 동일한 평면에 놓인 벤드(276)에 의해 접속된다. 따라서, 일반적으로 RF 코일(262)에 직교하게 연장되는 S자형 히터 엘리먼트(272)는 RF 코일(262)에 의해 유도된 회전 전기장에 영향을 미치지 않는다.
히터 엘리먼트(272)의 페러데이 차폐효과는 RF 코일(262)이 에너지를 받지 않고 접지될 때 히터 엘리먼트(272)에 전기 에너지가 공급되며, 또는 RF 코일(262)이 페러데이 실드로써 동작하는 동안 그것에서 오옴 전압강하가 발생하지 않도록 RF 코일(262)에 에너지가 공급될 때 히터 엘리먼트(272)가 플로팅을 유지하는 사실에 의해 강화된다. 이 순서는 RF 코일(262)에 에너지가 공급되는지의 여부에 관계없이 챔버벽내로의 일정한 열 흐름을 유지하기 위해 히터 엘리먼트(272)를 사용함으로써 발생한다. 동일한 양호한 효과는 벤드(276)가 RF 코일(262)로부터 떨어져 발생하는 동안 히터 엘리먼트(272)의 S자형 경로가 도 22에 도시된 바와같이 더 정현적으로 경사진 경로를 따르는 경우 달성될 수있다.
도 19는 도 18의 코일(262) 및 히터(272)의 구성에 대한 확대 단면도를 도시하며, 도 20은 동일한 부재에 대한 부분 단면을 나타낸 확대 투시도를 도시한다. 유도 코일 와이어(262)는 권선사이의 대략 균일한 피치를 가진 나선형 패턴으로 루팅된다. 만일 챔버내의 플라즈마 밀도를 더 정밀하게 동조시키는 것이 바람직하다면, 도 20의 코일(262)은 권선에대한 가변 피치 구조를 가진 도 21에 도시된 다른 코일(262')로 대체될 수있다. 도 20 및 도 21에 도시된 코일(262, 262')을 수반하는 점선은 코일(262, 262')의 권선에 의해 형성된 가상 원뿔형 기준 표면을 제공하는 오른쪽 원형 원뿔의 상부 및 하부 에지에 기준 안내를 제공한다.
도 22의 평면도에 도시된 바와같이, 원뿔모양 때문에, 원뿔형 히터(270)를 형성하는 폴리아미드 또는 다른 가요성재료의 각각의 원뿔형 외장(274, 276)은 평면 시트(277)로부터 절단될 수있다. 평평한 외장(274, 274')은 γ가 다음과 같이 라디안으로 표현될 때 360。C 외장에 대해 값의 세그먼트 각 γ을 가진 원의 세그먼트의 방사부분을 형성한다.
γ=2π·cosα (9)
105。C의 원뿔형 정점 각 β에 대해, 세그먼트 각 γ은 약 143。이다. 평평한 외장은 r1/cosα 및 r2/cosα의 각 라디안을 가진 내부 및 외부 아크(278, 279)에 의해 한정되며, 여기서 r1및 r2는 도 20에 도시된 바와같이 원뿔형 히터(270)의 내부 및 외부 라디안이다. 도 22의 기하학적 형태의 검사는 아크(278, 279)의 길이가 필연적으로 각각 2πr1및 2πr2이어서 절단 외장(274, 276)이 적정 원뿔모양으로 구부러질 때 늘어나지 않고 원뿔 모양에 적합한 것을 도시한다. 이 조건이 r2의 값에 무관하게 적용되기 때문에, 전체 외장(274, 276)은 임의의 측면 변형, 즉 임의의 확대 또는 리플링 없이 원뿔모양으로 구부러질 수있다.
따라서, 원뿔형 히터(270)는 완전히 또는 부분적으로 평면으로 형성된후, 적정 모양으로 구부러진다. 부분적인 탄성 폴리아미드내에 수용되어야 하는 최적의 변형은 구부러진 부재의 유효 두께로부터 야기된다.
RF 코일(262, 262')을 형성하기 위해 사용된 와이어는 코일상에 유도되는 매우 높은 RF 전류로부터 저항성 전력손실을 감소시키기 위해서 매우 무거운 경향이 있다. 종종, 코일은 관형 컨덕터, 예를들어 구리 배관이며, 냉각수는 코일을 냉각시키기 위해서 튜브 중심을 통해 흐른다. 결과적으로, RF 코일은 특히 원뿔형 나선으로 요구된 복잡한 형태로 감기가 어려운 경향이 있다.
RF 코일은 도 23의 측면도에 도시된 권선 지그(280)의 도움으로 원뿔형 나선으로 감길 수있다. 권선 지그(280)는 지구라트의 형태, 즉 원형 또는 다른 편원 베이스를 가진 일반적인 원뿔형 몸체로 형성된다. 알맞은 나선 인클라인 또는 테라스(282)는 하부에서 상부로 지그(280) 둘레에 감긴다. 인클라인 표면은 원뿔형 몸체의 베이스로 경사진 경로를 따라 진행하며, 그것의 가로 넓이는 비록 리세스가 유도 와이어(262)의 단면을 따르기 위해 인클라인(282)내에 형성될 수있을지라도 대략 베이스에 평행하다. 단면을 살펴보면, 단일 인클라인(282)은 다중 스텝을 발생시킨다. 와이어는 지그라트 지그 둘레에 리드하는 나선형 인클라인(282)을 따른다. 일정한 피치 지그가 도시되며, 연속적인 외피사이의 축 및 나선형 피치 둘다는 일정하나, 가변 피치 지그는 동일한 원리를 따른다.
도 24는 본 발명의 다른 실시예인 단일-피스 돔(230')을 도시한다. 도 24의 단면도는 재료, 예를들어 실리콘 또는 탄화실리콘의 단일 피스로 만들어진 처리 챔버의 상부를 도시한다. 원피스 구성은 원뿔 측벽 및 평평한 상부사이의 림에서의 O-링에 대한 필요성과 에칭 반응기와 연관된 거친 환경에서의 결함 메커니즘을 제거한다.
두 개의 플렉 히터 어셈블리(270, 286)는 돔(230')의 원뿔형 부분(288) 및 일반적인 평면 루프 부분(290)상에 각각 배치된다. 두 개의 냉각 링(292, 294)은 돔(230')의 하부에 그리고 돔(230')의 루프 부분(290)상의 플렉 히터 어셈블리(286)위에 각각 배치된다. 도 18-20을 참조로하여 앞서 기술한 것과 유사한 원뿔형 가요성 히터(270)는 RF 코일(262)의 내부에 장착된다. 원피스 돔(230')은 초기에 기술된 챔버의 원뿔형 돔 및 루프로써 동작한다. 측벽(288)은 원뿔형이나, 원뿔형 부분의 내부표면은 더 복잡한 모양을 가질 수있다. 다른 한편으로, 상부(290)는 기계적인 강도를 위한 내부 표면상에 가느다란 아치를 자리 수있으나, 열적 접촉을 용이하게 하기 위해 평면 상부 외부 표면을 가진다. 일반적으로, 아치의 높이는 그 직경의 10%로 제한된다.
원피스 돔(230')은 하방으로 뻗는 하부 플라즈마 시일드 플랜지(296) 및 바깥으로 뻗는 외부 지지 플랜지(298)를 포함한다. 시일링 링으로서 동작하는 하부 냉각 링(292)은 도 12를 참조로하여 이전에 기술된 하부 시일 링(176)을 수정한 것이다. 그것은 냉각 유체가 통과할 있는 냉각유체 통로(300)와, 탄력있는 열 전달 패드(302) 및 O-링(304)용 채널과, 도전 O-링 형 엘리먼트를 수용하는 홈(306)을 포함한다. 이 구조는 또한 히터(286)의 환형 루프(바람직하게 1.5kW 레이팅을 갖는 원뿔형 플렉 히터의 레이팅과 유사한 1.5kW의 레이팅을 가진)를 포함한다. 냉각 유체 통로(295)를 포함하는 환형 루프 냉각 링(294)의 환형은 루프의 온도제어를 제공하기위해 환형 플렉 히터(286)로 안내된다. 이 구조는 챔버의 각을 이룬 표면에 대한 좌우양측냉각을 제공한다.
이러한 구조에서, 반응기의 수직 대칭축을 따라 감겨진 실린더형 2차 코일(308)은 챔버내의 자기장 및 결과적인 전기장 및 플라즈마를 조절 또는 강화하기 위해 원피스 돔(230')의 상부상의 중심축을 따라 배치될 수있으며 처리 챔버내의 웨이퍼의 중심 근처의 플라즈마 밀도의 균일성을 증가시켜서, 한세트의 주변 코일 권선에 의해 발생된 플라즈마의 중심에서 발생하는 경향이 있는 플라즈마의 공극을 제거할 수있다. 루프 코일(308)은 원뿔형 측벽 코일(262)과 함께 전력이 공급될 수있으나, 전력은 챔버내의 플라즈마 밀도 분포를 조절하기 위해서 두 코일사이에서 선택적으로 분할될 수있다. RF 전력 분할회로는 이하에서 기술될 것이다. 평평한 루프 부분(290)상의 다른 영역에 배치된 환형 히터 링(286) 및 환형 냉각 링(294)을 사용함으로써, 2차 코일(306)은 돔(230')의 루프 부분(290)에 인접하여 배치된다. 물론, 히터 링(286) 아래에 배치된 루프 부분(290)의 평평한 영역은 몇몇의 다른 방사상 팽창을 허용하면서, 2차 코일(306) 아래에 배치된 평평한 영역으로부터 단계를 이룰수 있다.
도 24의 반응기의 측면 원뿔형 코일(262)과 상호 작용하는 2차 코일(308)은 도 4의 팬케이크 코일에 비해 많은 장점을 제공한다. 측면 코일(262)은 주로 챔버내의 플라즈마를 지원하기 위해 2000 내지 3000W의 레벨로 RF전력이 공급되는 1차 코일이며, 2차 코일(308)은 필드 및 필드 균일성을 동조시키기 위해서만 사용된다. RF 전력 레벨은 500 내지 1000W정도이다. 따라서, 가장 강한 열은 기계적으로 강한 원뿔형 벽(288)에서 발생되며, 소량의 열은 상대적으로 약한 기계적인 구조에서 나타나는 일반적인 평평한 루프(290)에서 발생된다.
이 구조는 평평한 히터 팬케이크가 루프 부분(290)위에 배치되도록 수정될 수있다. 히터 팬케이크는 기하학적인 형태를 적절히 수정하면서 도 18의 가요성 히터 어셈블리(270)와 도 12의 강한 히터 엘리먼트(212)에 사용될 수있다. 이때, 팬케이크 RF 코일은 페러데이 시일드로써 배열된 히터 와이어를 가진 히터 팬케이크의 외부에 배치될 수있다.
이구조와 무관하게, 원피스 돔(230')은 처리제어 및 균일성을 촉진시키기 위해 정밀하게 온도가 제어될 수있다. 다양한 감시 및 제어장치는 원피스 돔(230')이 이전에 기술된 다중피스 돔과 매우 유사하기 때문에 상기 원피스 돔(230')에 대해 기술되지 않는다.
본 발명의 열흐름은 지금 종래 기술과 비교될 것이다. 도 25는 도 7의 종래 구성에 도시된 처리챔버의 실린더형 측벽을 통해 개념화된 열흐름 및 온도 변화도를 도시한다. 큰 화살표(330)는 챔버(332)내의 처리공간으로부터 열에너지 흐름을 나타낸다. 수직 챔버벽(334)은 냉각 엘리먼트(도시안됨)를 포함하는 챔버의 루프(338)와 접촉하는 상부 단부(336)에 열에너지를 전달한다. 외부링(342)의 홈에 의해 지지된 유도 코일(340)은 챔버의 벽 외부에 배치된다. 챔버의 외부벽 및 외부링(342)의 내부표면사이의 갭(344)은 외부링(342) 및 챔버의 벽(334)사이의 열전달비를 제한하며, 갭(344)은 실린더형 기하학적 형태에 갭이 존재하지 않는다면 열 사이클동안 발생할 수있는 파손을 막는다. 처리가 수행되지 않는 시간동안, 외부 링(332)은 외부 링(342)의 하부를 둘러싸는 링 히터 엘리먼트(346)에 의해 가열된다. 점점 가늘어지는 화살표(348)는 히터 엘리먼트(346)로부터 루프 열 싱크(350)쪽으로의 열에너지의 흐름을 도시한다. 갭(344)은 챔버의 벽(334) 및 외부링(342)사이의 갭을 통해 효율적으로 전달되는 균일한 열에너지를 막는다. 더욱이, 챔버벽(334)은 전형적으로 석영, 즉 저열 컨덕터로 만들어진다. 이로인해, 온도 사이클동안 큰 온도 변화량 및 큰 공간 변화도 또는 시간 변화량중 어느 하나를 막기 위해 챔버벽(334)의 온도를 제어하는 것이 어렵게 된다.
도 26은 도 10에 도시된 바와 같이 본 발명에 관한 원뿔형 형상에 대한 개념화된 히트 전도를 도시한다. 프로세스로부터 히트 흐름은 큰 화살표(360)로 도시된다. 이러한 형상에 있어서, 히트 싱크(362)는 히터 링(365)과 유도 코일(366) 뒤의 원뿔형 냉각 링(364)과, 이의 지지링(368)에 위치된다. 따라서, 프로세스로부터의 열 에너지는 뭉뚝한 화살표로 표시된 것과 같이- 챔버의 벽(370)과 코일 지지링(368)을 통해 상기 냉각 링(364)으로 측면으로 흐른다. 프로세스 휴지 모드에서, 상기 히터 링(365)은 전기적으로 활성화되고, 열 에너지는 뾰족한 화살표(374)로 도시된 바와 같이 흐른다. 히터 링(365),코일 지지링(368) 및 챔버벽(37) 사이의 근접한 뿌연 원뿔 표면은 상이한 열적 팽창을 형성하는 온도 사이클링과 온도 차에도 불구하고, 부재들(365,368,370) 사이에서 양호한 열적 접촉을 유지한다. 게다가 히트 흐름은 넓은 앞면상에서 상대적으로 짧은 거리에서만 발생하므로, 휴지 및 프로세스 동안에 챔버의 벽(370)에 대한 양호한 온도 제어를 제공한다.
도 27은 도 18과 도 19에서 상세히 도시된 저항성 열적 덮개에 관한 일실시예의 개념화된 히트 전도를 도시한다. 상기 히터 덮개(270)은 RF 코일(262)의 내부 처리 챔버의 원뿔형 벽(130) 상부의 우측에 장착된다. 챔버 사용중 프로세스와 챔버 휴지중의 히터 소자(270)들 모두로부터의 히트는 챔버 벽(130)을 통해 흐른다. 바람직하게, 이 때 챔버 벽(130)은 폴리실리콘 또는 실리콘 카바이드와 같은 양호한 열 에너지의 도전체로 구성된다. 챔버 벽(130)은 측면으로 벽(130) 기저부에 냉각 링(174)으로 히트를 전도한다. 열적으로 높게 전도된 벽의 물질은 벽의 상부로부터 벽의 바닥으로 작은 크기의 열적 변화를 보증한다. 미분은 5℃ 내지 20℃의 범위에서 추정된다.
도 28은 도 24에서 도시된 일 편의 돔(230')에서의 개념화된 히트 전도를 도시한다. 이러한 형상에 있어서, 챔버내 프로세스로부터의 열 에너지의 흐름은 일편의 돔(230')의 원뿔형 일부(288) 상측과 하측에 개별적으로 위치된 두 개의 히트 싱크 링(292,294)으로 흐른다. 챔버 벽(288)의 일측 기저부는 도 27에서 도시된 것과 유사한 형상을 갖고, 타측은 다소 상이하게 설계되어 챔버 벽과 루프를 포함하는 집적된 구조물의 루프 부분상에 그것의 위치설정을 수용한다. 이러한 형상에서의 열적 변화는, 열 에너지가 전도되어야하는 거리가 실질적으로 감소하기 때문에, 도 27 형상에서 도시된 것에 비해 상당히 많이 감소된다. 따라서 이러한 형상은 챔버 벽에 관한 매우 양호한 온도 제어를 제공하는 가능성을 갖는다.
본 발명에 관한 다양한 양상은, 산소 에칭에 대하여 특별하게 유용한 플라즈마 반응 챔버의 설계, 제조 및 동작을 가능케한다. 도 29의 개략적인 측면도에서 와 같이, 챔버의 플라즈마는 유도적 및 용량적으로 유지된다. RF 전원 공급(452)는 RF 전원을 원뿔형 돔(130) 외부의 유도 코일(180)에 제공한다. 다른 RF 전원 공급기(454)는 전도성 루프(236)와 에칭되는 웨이퍼(50)를 지지하는 페디스탈(52) 사이에 RF 전원을 공급한다. 두개의 블록킹 캐패시터(456,458)는 루프(236)와 페디스탈(52)상에서 발현된 모든 자기-바이어싱 DC 전압을 RF 전원 공급기(454)에서 차단한다. 상기 블록킹 캐패시터는, 예를 들어, 대체적으로 사용되는 낮은-MHz 범위의 RF에서 작은 임피던스를 갖는 1㎌ 진공 캐패시터이다. 플루오르화 카본을 에천트로 사용하는 산소 에칭 처리를 위하여, 루프(236)와 원뿔형 벽(130) 모두는 바람직하게 불소 스캐빈저로 구성된다. 원뿔형 벽이 높은 전도성을 갖지 않아야 하기 때문에, 원뿔형 벽은 폴리 실리콘 또는 실리콘 카바이드로 구성되는 반면에, 예를 들어 루프(236)는 폴리실리콘, 실리콘 카바이드 또는 유리질 카본으로 구성된다. 물질의 과 물질의 전도도의 선택은 반응기의 예상된 동작 모드에 의존한다. 실리콘 또는 실리콘 카바이드 링(460)은 페디스탈(52)을 둘러싸므로, 플라즈마는 금속 오염물을 포함하는 것 보다는 실리콘-함유 물질과 상호작용한다. 실리콘 카바이드가 더 긴 수명을 갖더라도, 실리콘 카바이드 또는 수정의 깃은 플라즈마로부터 페디스탈(52)을 보호가기 위하여 웨이퍼(50)의 외부 주변을 두르는 페디스탈(52)의 환상 오목부에 적용된다.
전극 RF 전원 긍급기(454)가 선택적으로 두 개의 전극(52,236) 사이에서 분배되기 때문에, 루프(236)의 스캐빈징은 열 에너지보다는 RF 바이어싱에 의해 활성화된다. 도 30에 개략적으로 도시된 전원 분배는 웨이퍼 페디스탈(52)와 루프(246) 사이에서 RF 전원을 분배한다. 기준 RF 전원 공급기(464)는 도시되지 않은 RF 매칭 회로를 통해, 정류자 스위치(468)를 통해, 선택적으로 접지된 트랜스의 2차측상에 몇 개의 탭을 갖는 넓이대 폭이 1:1인 전기 트랜스와 같은 1차 분배 회로(466)에 연결된다. 트랜스(466)의 접지 전위는, 역시 접지된 원뿔형 벽(130)에 대해 기준이 된다. 그러나, 다른 고정 전압도 트랜스(466)의 기준으로 사용될 수 있다. 블록킹 캐패시터(456,458) 때문에, 접지된 트랜스는 전원을 분배하는 데 사용되고, 전극(52,236) 상의 DC 전위를 제어하는 데는 사용되지 않는다. 스위치(468)의 위치는 페디스탈(52)과 루프(236)에 공급된 RF 전원의 분배 비를 결정한다. 다른 유형의 RF 전원 분배가 알려진 바와 같이 사용될 수 있다. Ogle 등에 의해 공시된 바와 같이, 일반적인 전원 분배 비는, 50 : 50 이나 본 발명에 적용된 것과 같은 산소 에칭에 있어서는 낮은 분배 비율, 즉 루프 전극(236)에 공급된 것 보다 많은 RF전원이 페디스탈(52)에 공급되는 분배 비율이 바람직하다.
루프(236)에 인가되는 RF 바이어스의 실질적인 양을 사용하여, 루프(236)에서의 실리콘이 활성화된다. 이에 따라, 루프(236)는 자체의 열적 활성화에 의존하였을 경우에 가능한 것보다는 낮은 온도로 유지될 수 있다. 본 발명에 의해 제공된 낮은 동작 온도는 시스템의 열적 요구를 줄이므로, 설계를 간략화시키고, 이 부분의 생명을 연장시킨다. 또한, 플루오르 스캐빈징은 복합 열적 사이클링중에 카운터-전극에 의해 주어진 온도 보다는 카운터 전극에 인가된 RF 에너지에 의해 제어되므로, 에칭 처리는 보다 명확하게 제어될 수 있다. 게다가, 원뿔형 벽(130)과 본 발명에 의해 제공된 다른 부분의 엄격한 온도 제어는 또한 에칭 처리에서 보다 완벽한 제어를 허용한다.
원뿔형으로 모양지워진 벽은 직접적으로 우수한 스캐빈징 제어에 관련되므로, 산소 에칭용 반응기에 선택적으로 제공된다. 원뿔형 모양은 측면 유도성 커플링이 웨이퍼 상에 설치되는 것을 허용하지 않으므로, 플라즈마 균일도를 향상시킬 뿐 만 아니라 에칭될 웨이퍼와 근사적으로 동일한 크기의 카운터 전극을 수용한다. 결과적으로, 다이오드 효과는 감소되며, 여기된 에천트 입자에 관한 낮은 에너지 플럭스를 제공한다. 또한 더 작은 크기의 카운터 전극은, 카운터-전극이 플루오르 스캐빈징 물질로 구성될 때, 카운터-전극에 상대적으로 작은 양의 RF 전원 분배를 허용하여 스캐빈징 체제를 적절하게 활성화시킨다. 10 : 90, 20 : 80 및 25 : 75의 전원 분해 비율이 테스트된다. 20 : 80 의 전원 분배 비율은 에칭 정지 없이 최고 선택도와, 넓은 처리 창을 제공한다. 실리콘 스캐빈저의 RF 활성화는 또한 매우 낮은 온도에서의 동작을 허용하고, 테스트는 135℃ 내지 140℃ 범위의 루프 온도까지 하강 확장된다. 2중의 처리는 150℃가 바람직하지만, 140에서 180℃까지 범위의 루프 온도를 사용하여 달성된다.
도 31의 블록도에 도시된 자동 제어기(470)는 플라즈마 에칭 반응기를 제어한다. 선택된 RF 전원의 양이 챔버로 공급되어 RF 전원 공급기(452,434)를 통해 플라즈마를 활성화시킨다. 온도는 두 개의 써모커플(452,434)로부터 모니터링된다. 공급된 RF전원과 측정된 온도 모두에 응답하여, 냉각수는 선택적으로 펌프(173,221)에 의해 펌핑되고, 히트는 히터 공급기(215)를 통해 주입된다. 물론, 본 발명의 사상 내에서 상이한 개수의 열 제어 소자와 RF 공급기가 사용될 수 있다. 자동 제어기(470)는 또한 처리 가스 흐름, 진공 펌프 및 다른 처리 파라메터들을 제어한다. 자동 제어기(470)는 처리 방법 입력에 따라 플로피 디스크 또는 CD-ROM 과 같은 이동식 기록 매체(472)에서 그것에 입력되거나 통신 링크(474)를 통해 입력된 처리 방법에 따른다.
평면 히터 팬케이크가 루프 부분(290) 상부에 위치되도록 형상은 수정될 수 있다. 히터 팬케이크는 변화된 모양으로 적절한 수정을 통해 도 18의 융통성 있는 히터 조립체(270) 또는 도 12의 융통성 없는 히터 소자(212)를 개조한 것이다. 이어 팬케이크 RF 코일은 패러디 차폐로서 배열된 히터 와이어를 갖는 히터 팬케이크의 외부에 배치될 수 있다.
다른 적용에서는 명백한 장점을 제공하는 상술한 반응기의 변화 및 조합이 존재한다.
도 32의 개략적 단면도에서 설명된 관련 설계는, 도 10과 도 11의 복수 체계의 챔버를 개조한 것이나, 도 24의 단일 체제 챔버의 전원 공급 특성을 조합한다. 1차 코일(180)은 바람직하게 원칙적으로 105Ω-Cm보다 큰 저항을 갖는 침전 실리콘 카바이드로 구성된 원뿔형 돔(130)에 위치되는 반면에, 2차 코일(308)은 디스크 형태의 루프(236)에 위치된다. 2차 코일(308)은 도시된 바와 같이 나선형 모양을 갖질 수 있고 ,도 4에서와 같이 팬케이크 코일일 수도 있다. 1차 코일(180)이 원뿔형 돔(130)을 통한 챔버 내부로의 RF 전원의 상당 부분을 유도적으로 커플링하는 반면에, 2차 코일(308)은 루프(236)를 통한 상대적으로 작은 양의 RF 전원을 유도적으로 커플링하므로써 챔버내의 자기장과 플라즈마 분배를 조정하기 위하여 사용된다. 3 :1 내지 4 : 1의 RF전원 비가 대체적으로, 도 24의 반응기에 관하여 설명될 수 있다.
원칙적으로 루프(236)는, 접지 또는 용량성 바이어싱을 초래하는 전도성 CVD실리콘 카바이드의 얇은 표면층을 가진 절연 실리콘 카바이드로 구성될 수 있다. 간략화된 설계에 있어서, 루프(236)는 20Ω 내지 40Ω에 근접한 저항을 갖는 폴리 실리콘으로 형성되어, 둘다 접지 평면 또는 RF 바이어싱된 전극을 유지하는 동안, 2차 코일로부터의 자기장을 통과하도록 한다. 그러나 폴리 실리콘은 상대적으로 깨지기 쉬운 물질이고, 커다란 평면형 모양은 기계적으로 약하다. 이러한 폴리 실리콘 루프(236)에 대한 열적 스트레스는 동작과 비동작 주기 사이에서 최소화되어야 하는 필요성이 있다. 1차 코일과 연관된 더 큰 열적 스트레스가 보다 튼튼한 물질의 강한 원뿔형 돔(130)에 인가되는 반면에, 2차 코일(308)에 제공된 감소된 전원은 루프(236)에 인가된 스트레스를 감소시킨다. 이에 따라, 실리콘 루프 뒷면의 2차 코일에 제공된 감소된 전원에 의해 훨씬 더 큰 이점이 획득된다.
원뿔형 돔은 RF 유도성 커플링을 사용하지 않는 반응기에 적용될 수 있다. 도 33에 도시된 반응기에 있어서, 원뿔형 돔(130)과 루프(236)는 모두 전도성 물질로 구성되고, 페디스탈(52)은 RF 전원(56)에 의해 전원을 공급 받는 반면에 이들 모두는 접지된다. 이러한 형상이 기준 반응성 이온 에칭(RIE)에 사용된다. 도 34에 도시된 반응기에 있어서, 원뿔형 돔(136)은 접지되고, 전원 분배된 RF 전원(454)는 루프(236)과 페디스탈(52) 사이에 연결되어, RF 전원을 그 둘에 인가한다. 이러한 형상은 전원 분배 RIE에 사용되고, 앞서 설명한 Ogel등의 특허에서 설명한 것과 유사하다.
원뿔형 돔과 관련한 다양한 형상은, 하나의 기본 설계가 복수개의 명백하게 상이한 처리를 위하여 구현될 수 있다는 것을 설명한다. 다른 챔버는 일반적인 설계에서 작은 수정을 가하여 설계될 수 있으므로, 설계를 간력화하고 부품 수를 줄인다. 대안으로 단일 챔버는 전기 바이어싱 조건과, 용량적으로 결합된 루프(236), 원뿔형 돔(130) 및 패디스탈(52)과, 유도적으로 결합된 1차 코일(180)과 2차 코일(308) 모두를 간단하게 조정하므로써, 복수의 처리 모드에서 사용된다.
보편적인 챔버의 실시예가 도 35의 단면도로 개략적으로 도시되어 있다. 스위치(480)는 직접 용량성 RF 전원(464)으로부터의 RF 전원을 페디스탈(52)에만 또는 원뿔형 벽(130)에 직접 인가하거나, 상기 전원을 전원 분배 회로(466)를 통해 루프(236)와 페디스탈(52) 사이에 인가한다. 스위치는 예를 들어 벽을 세정하기 위하여, 벽(130)을 RF 바이어싱 하는데 이용될 수 있다. 패디스탈(52)에 연결된 스위치(482)는 선택적으로 그것을 접지에 연결하거나, 직접적으로 RF 전원(464) 또는 전극 전원 분배 회로(466)에 연결할 수 있다. 유사하게, 합성 실리콘 카바이드 원뿔형 돔(130)의 도전성 내부 벽에 연결된 스위치(484)는 원뿔형 돔(136)을 접지, 유동 위치 또는 직접적으로 RF 전원(464)에 연결할 수 있다. 루프(236)에 연결된 스위치(236)는 선택적으로 루프를 접지시키거나, 루프를 전극 전원 분배 회로(466)를 통해 바이어싱시킨다. 보편적인 실시예에 있어서, 루프(236)는 전기적으로 바이어싱 가능하여야 하고, 2차 코일로부터의 RF 전원을 전송할 수 있어야 한다. 따라서, 루프는 저항성 폴리 실리콘 또는 그 내부에 실리콘으로 코팅된 전도성 CVD 코팅을 갖는 저항성 침전 실리콘 카바이드로 구성된다. 유도성 RF 전원(452)는 선택적으로 스위치(488)를 통해, 챔버 내부에서 자기장 분배를 최적화시키는 비율로, 원뿔형 돔(130) 외부의 1차 코일(180)과 루프(236) 상부의 2차 코일 사이에서 RF 전류를 선택적으로 분배하는 코일 전원 분배 회로(490)에 연결된다. 제어기(470)는 스위치(480,482,486,488)의 위치와 전원 분배 회로(466,490)의 전원 분배 비를 선택한다. RF 플라즈마 반응기내의 접지는 미리 결정된 DC 전위로 일부를 바이어싱하는 것을 포함한다는 것이 이해될 것이다. 도 35는 단지 형태에 있어서만 개략적인 의도를 갖고, 등가의 기능을 제공하는 경우, 실질적인 전기 회로는 다른 형태일 수 있다.
자동 제어기(470)는 플라즈마 진공 처리 챔버의 벽 온도를 제어하는 본 발명의 방법을 가능하게 한다. 본 발명은, 바람직하게 수정으로 구성된 측벽으로 유사하게 형성된 것보다 큰 벌크 열 전도도를 갖는 폴리 실리콘 또는 실리콘 카바이드로 구성된 진공 처리 챔버의 측벽을 제공하는 단계를 포함한다. 상기 측벽은 챔버의 기판 처리 위치와 대향된 제 1 표면과, 제 1 표면에 대향하는 외부 제 2 표면을 포함한다. 바람직하게, 상기 제 2 표면은 원뿔형으로 모양지어진다. 가열 부재들은 측벽의 제 2 면과 열 접촉하여 배치되며, 근사적으로 서로 평행으로 나열된 히터 소자의 패턴중 실질적인 부분을 갖는 후방 및 전방 패턴으로 경로 설정된 히터 소자 패턴의 1차 방향 설정을 포함한다. 챔버에는 챔버 벽의 제 1 표면 둘레에 일반적 회로적으로 형성된 유도 코일이 제공되고, 챔버에서 적어도 부분적으로 처리 플라즈마를 활성화시키기 위하여, RF 전원이 선택적으로 제어된다. 히터 소자는 전기 전원에 의해 제어되어, 처리 챔버내에서 형성된 플라즈마의 다른 기여 뿐 만 아니라, RF 코일로부터 벽에서 인가받은 열적 전원 입력과 근사적으로 동일한 측벽에 열적 전원 입력을 제공한다. 히터 소자의 히팅 와이어의 주요 부분은, 유도 코일로부터 격리되어 위치된 만곡부에 의해 연결된 유도 코일 근처에 평행 부분을 갖는 유도 코일과 근사적으로 수직으로 배치된 형상이다. 냉각 링은 하나 이상의 측벽 끝단에 인접하여 배치되고, 측벽과 냉각 링 사이에서의 평면 또는 원뿔형 접속은 그들 사이에 열적 및 전기적 전도도를 제공하도록 형성된다. 상기 냉각 링은 냉각 링과 열 이송 냉각 유체 흐름 내부 사이에서의 열 에너지의 이동을 제공하기 위한 냉각 유체 통로를 포함한다. 열 이송 유체는 계속하여 냉각 유체 통로를 통해 흐른다. 처리 챔버내에 제공된 플라즈마가 존재하지 않을 때, 히터 소자에 전원을 인가하지 않고, 처리 챔버에 제공된 플라즈마가 존재하지 않을 때, 히터 소자에 전원을 공급하므로써, 측벽의 온도는 거의 일정하게 유지된다. 바람직하게 패러드 차폐로서 동작하기 위하여, 히터 와이어는 유도 코일과 챔버 벽 사이에 위치된다.
처리 챔버의 측벽 온도를 유지하는 방법에 관한 다른 실시예는, 챔버 측벽을 제공하는 단계; 코일의 와이어로 둘러 싸여, 챔버의 중심축과 수직인 형상되도록 상기 측벽 주위에 유도 코일을 제공하는 단계; 근사적을 상기 코일내의 와이어에 수직이고, 챔버의 중심축에 평행으로 형성된 소자의 실질적인 부분인 패턴을 갖는 히터 소자를 상기 코일과 상기 측벽 사이에 제공하는 단계를 포함한다.
따라서, 본 발명의 원뿔 모향의 챔버는 강하고, 용이하게 제조된 기계적 구조에 있어서 다수의 처리 이점 특히, 온도 제어, 플라즈마 균일도를 제공한다. 다른 본 발명의 특징은 특히 산소 에칭 반응기에 대한 이같은 원뿔형 플라즈마 챔버의 사용을 보완한다. 비록 본 발명이 특별히 산소 에칭기에 유용할지라도 다른 물질을 에칭하기 위하여 사용되는 반응기에도 쉽게 적용될 수 있다. 게다가, 본 발명의 대부분의 측면은 화학적 기상 증착을 위해 사용되는 반응기에도 적용될 수 있고, 이것은 에칭을 사용하는 많은 메카니즘을 공유한다. 본 발명의 일부 측면은 다른 진공 처리 장치, 특히 반도체 제조를 위하여 사용되는 것과 같은 장치에 적용될 수 있다. 이상에서는 본 발명의 양호한 일 실시예에 따라 본 발명이 설명되었지만, 첨부된 청구 범위에 의해 한정되는 바와 같은 본 발명의 사상을 일탈하지 않는 범위 내에서 다양한 변형이 가능함은 본 발명이 속하는 기술 분야의 당업자에게는 명백하다.

Claims (71)

  1. 내벽면이 챔버의 기판 처리 위치와 대면하고 있고 원뿔형상의 외벽면이 상기 내벽면과 마주보고 있는 원뿔형 부분을 갖는 챔버 벽; 및
    상기 외부면에 근접하게 배치된 복수의 점진적으로 연장하는 권선을 갖는 원뿔형 유도코일을 포함하는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  2. 제 1 항에 있어서, 상기 내벽은 원뿔형인 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  3. 제 1 항에 있어서, 상기 반구형상의 원뿔 부분은 직원뿔대이며, 및
    상기 직원뿔대의 상부 에지에 배치된 카운터 전극을 더 포함하는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  4. 제 3 항에 있어서,
    상기 카운터 전극과 대면하는 웨이퍼를 지지하기 위한 페데스탈; 및
    상기 카운터 전극 및 페데스탈 사이에 접속된 RF 파워 소스를 더 포함하는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  5. 제 4 항에 있어서, 상기 카운터 전극 및 페데스탈은 서로 대면하는 동일한 표면 영역을 갖는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  6. 제 1 항에 있어서, 상기 복수의 점진적으로 연장하는 권선은 고르게 간격져 있으며 일정한 피치를 갖는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  7. 제 1 항에 있어서, 상기 복수의 점진적으로 연장하는 권선은 고르게 간격져 있지 않으며 가변 피치를 갖는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  8. 제 1 항에 있어서,
    상기 챔버 벽의 하부 림을 지지하는 환형 리지 및 상기 하부 림의 외측을 에워싸는 환형 벽을 가지며 상기 하부 림과 대면하는 환형 홈이 형성되어 있는 도전성 지지 링; 및
    상기 하부 림과 접촉하며 상기 홈과 결합하는 도전성 스프링부재를 더 포함하는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  9. 제 8 항에 있어서, 상기 지지 링은 그안에 형성된 환형 유체 냉각 채널을 더 포함하는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  10. 제 1 항에 있어서,
    상기 처리 위치와 마주보는 상기 원뿔형 부분의 단부 위에 배치된 상기 챔버의 루프; 및
    상기 루프위에 놓인 제 1 유도코일을 더 포함하는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  11. 제 10 항에 있어서, 상기 루프는 평평한 외부면 부분을 더 포함하며, 및
    상기 평평한 외부면 부분에서 상기 루프와 접촉하는 열 제어 엘리먼트를 더 포함하는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  12. 제 1 항에 있어서,
    상기 벽의 원뿔형 부분의 절두 에지의 상부를 형성하는 루프 부재를 포함하는데, 상기 루프 부재의 내측은 상기 기판 처리 위치에 노출되고 상기 루프 부재는 상기 내측과 마주보는 외측을 가지며; 및
    원통형 축을 가지는 나선형 와이어 코일을 더 포함하는데, 상기 나선형 와이어 코일은 상기 기판 처리 위치의 중앙쪽으로 나선형 와이어 코일의 원통형 축의 단부가 예리하게 되어 있는 상기 원뿔형 부분의 절두 에지내에 중심을 둔 상기 루프 부재의 외측에 근접하게 배치되어 있는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  13. 제 12 항에 있어서, 상기 루프 부재는 상기 루프 원뿔형 부재를 형성하는 상기 챔버 벽의 원뿔형 부분과 일체인 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  14. 제 12 항에 있어서, 상기 루프 원뿔형 부재는 폴리실리콘 재료로 형성되는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  15. 제 12 항에 있어서, 상기 루프 원뿔형 부재는 실리콘 카바이드 재료로 형성되는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  16. 제 12 항에 있어서, 상기 나선형 와이어 코일은 상기 원뿔형 유도코일의 단부에 직렬로 접속되어 있는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  17. 제 16 항에 있어서, 원뿔형 유도 코일에 의해 유도된 전계와 비교하여 나선형 와이어 코일에 의해 유도된 전계의 효과를 설정하기 위하여 코일 파워 스플리팅 회로가 제공되는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  18. 제 1 항에 있어서, 상기 유도 코일은 상기 벽의 외벽면과 유전체 재료의 내면 사이에 열전달을 위한 표면 접촉을 제공하도록 구성된 원뿔형 내면을 갖는 열도전성 강성 유전체 부재내에 하우징되며, 상기 챔버 벽의 외벽면과 상기 유전체 부재의 내부 원뿔면 사이의 슬라이딩 이동은 상기 챔버 벽과 상기 유전체 재료 사이의 온도 변화 및 온도차에 기인한 움직임을 수용하는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  19. 제 18 항에 있어서, 상기 유전체 부재는 세라믹체를 포함하는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  20. 제 18 항에 있어서, 상기 유전체 부재는 상기 부재 및 상기 측벽을 가열하기 위한 가열 엘리먼트를 포함하며, 상기 가열 엘리먼트는 상기 유도코일의 외측에 배치되는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  21. 제 18 항에 있어서, 상기 가열부재는 상기 유전체 부재의 외부 원뿔형 링 부분내에 매립되어 있고, 상기 유전체 부재는 열 전달 접속을 통하여 내부 원뿔형 링 부재에 고정되는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  22. 제 18 항에 있어서, 상기 유전체 부재의 외면에 냉각 링이 부착되어 있으며, 상기 냉각 링은 상기 유전체 부재와 열 접촉하며 열 전달 유체를 통과시키는 냉각 유체 통로를 갖는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  23. 제 1 항에 있어서, 상기 챔버 벽은,
    상기 챔버내의 기판 처리 위치와 대면하는 제 1 표면 및 상기 제 1 표면과 마주보는 제 2 표면을 가지며 실리콘 함유 재료로 구성된 원뿔형 유전체 챔버 측벽;
    상기 측벽의 제 2 측과 열접촉하는 가열부재;
    상기 챔버 측벽 및 가열부재를 에워싸도록 구성되고, 플라즈마를 형성하기 위하여 상기 기판 처리 위치에서 가스를 유도하도록 통전될 때 배치 및 구성되는 유도 코일; 및
    상기 측벽의 일단부에 인접하게 배치된 냉각 링을 포함하며, 상기 측벽과 상기 냉각 링 사이의 접속은 그들 사이에 열 도전성을 제공하도록 형성되며, 상기 냉각 링은 냉각 링과 열전달 유체 통로 사이로 열 에너지를 전달하기 위한 냉각 유체 통로를 포함하는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  24. 플라즈마 진공 처리 챔버에 있어서,
    복수의 점진적으로 연장하는 권선을 갖는 원뿔형 유도 코일을 포함하며, 상기 권선을 접속함으로써 형성된 원뿔형 가상면의 내부는 상기 챔버의 기판 처리 위치와 대면하기 위하여 상기 챔버의 벽에 평행하게 배치되는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  25. 제 23 항에 있어서, 상기 진공 처리 챔버의 벽은 상기 기판 처리 위치 및 유도 코일 사이에 배치되는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  26. 제 25 항에 있어서, 상기 진공 처리 챔버의 벽은 폴리실리콘 재료로 형성되는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  27. 제 25 항에 있어서, 상기 진공 처리 챔버의 벽은 실리콘 카바이드 재료로 형성되는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  28. 챔버내에서 처리되는 기판을 지지면상에 지지하기 위한 페데스탈;
    상기 지지면과 대면하는 원뿔형 부분을 포함하는 챔버 벽; 및
    상기 지지된 기판과 대면하며 상기 페데스탈로부터 멀리 떨어진 상기 원뿔형 부분의 림에 배치된 편평 루프를 포함하는 것을 특징으로 하는 플라즈마 반응기.
  29. 제 28 항에 있어서, 상기 루프의 외면상에 배치된 유도 코일을 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  30. 제 28 항에 있어서, 상기 루프는 상기 페데스탈 및 전극사이에 접속가능한 RF 파워 소스를 더 포함하는 전극을 포함하는 것을 특징으로 하는 플라즈마 반응기.
  31. 제 30 항에 있어서, 상기 RF 파워 소스는 상기 페데스탈 및 전극에 RF 파워를 공급하는 파워 스플리팅 회로를 포함하는 것을 특징으로 하는 플라즈마 반응기.
  32. 제 30 항에 있어서, 상기 파워 스플리팅 회로는 상기 페테스탈 및 전극에 선택된 비율로 RF 파워를 스플리팅하는 것을 특징으로 하는 플라즈마 반응기.
  33. 제 30 항에 있어서, 상기 RF 파워 소스는 상기 페데스탈에 파워를 공급하며, 상기 전극은 소정의 전위로 유지되는 것을 특징으로 하는 플라즈마 반응기.
  34. 제 30 항에 있어서,
    상기 원뿔형 부분 주위로 감겨진 유도 코일; 및
    상기 유도 코일을 선택적으로 파워링하는 제 2 RF 파워 소스를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  35. 제 28 항에 있어서, 상기 루프는 전극을 더 포함하며, 및
    상기 페데스탈, 원뿔형 부분, 및 전극에 선택적으로 접속가능한 파워 및 접지수단을 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  36. 기판이 지지될 수 있는 지지면을 갖는 챔버의 내부에 배치된 기판 지지부;
    원뿔형 외면을 가지며 상기 지지면과 대면하는 상기 챔버의 일부를 형성하는 벽; 및
    상기 벽과 열 제어 엘리먼트 사이의 열을 전도하기 위하여 상기 벽의 원뿔형 외면과 활주가능하게 접촉가능한 원뿔형 내면을 갖는 열 제어 엘리먼트를 포함하는 것을 특징으로 하는 플라즈마 처리 챔버.
  37. 제 36 항에 있어서, 상기 열 제어 엘리먼트는 견고한 것을 특징으로 하는 플라즈마 처리 챔버.
  38. 제 37 항에 있어서, 상기 열 제어 엘리먼트는 세라믹을 포함하는 것을 특징으로 하는 플라즈마 처리 챔버.
  39. 제 36 항에 있어서, 상기 열 제어 엘리먼트는 냉각 유체 채널을 포함하는 것을 특징으로 하는 플라즈마 처리 챔버.
  40. 제 39 항에 있어서, 상기 열 제어 엘리먼트는 저항성 히터를 포함하는 것을 특징으로 하는 플라즈마 처리 챔버.
  41. 제 36 항에 있어서, 상기 열 제어 엘리먼트는 전기저항성 히터를 포함하는 것을 특징으로 하는 플라즈마 처리 챔버.
  42. 측벽을 가지는 진공 챔버;
    상기 측벽 주위로 감겨진 유도 코일; 및
    상기 유도 코일에 수직하게 이동하는 직선부 및 상기 직선부의 중앙부분보다 상기 유도코일로부터 더 멀리 위치설정되어 상기 수직부와 접속하는 굴곡부로 이루어진 히터 와이어를 가지며 상기 유도 코일 및 측벽에 인접하게 위치설정된 히터를 포함하며, 그로인해 상기 유도 코일 및 상기 진공 챔버 사이에 선택적으로 패러데이 시일드를 형성하는 것을 특징으로 하는 플라즈마 처리 챔버.
  43. 제 42 항에 있어서, 상기 측벽은 원뿔형인 것을 특징으로 하는 플라즈마 처리 챔버.
  44. 제 43 항에 있어서, 상기 히터의 가열 와이어는 상기 유도 코일 및 측벽 사이에 배치되는 것을 특징으로 하는 플라즈마 처리 챔버.
  45. 제 42 항에 있어서, 상기 히터의 가열 와이어는 상기 유도 코일 및 측벽 사이에 배치되는 것을 특징으로 하는 플라즈마 처리 챔버.
  46. 제 1 림을 갖는 제 1 원통형 챔버 몸체 및 제 2 림을 갖는 제 2 원통형 챔버 몸체를 포함하는 플라즈마 반응기의 두 챔버부분 사이의 조인트에 있어서,
    상기 제 1 림 및 제 2 림 사이에 고정가능한 밀봉 링을 포함하는데, 상기 밀봉링은,
    상기 두 챔버 몸체와 함께 축방향으로 연장하는 외부 림; 및
    상기 외부 림으로부터 상기 제 1 및 제 2 림 사이로 내부 방사상으로 연장하는 내부 립을 포함하며; 및
    상기 내부 립 및 상기 제 1 및 제 2 림 사이에 각각 배치된 제 1 및 제 2 탄성 패드를 포함하는 것을 특징으로 하는 조인트.
  47. 제 46 항에 있어서, 상기 탄성 패드의 각각과 상기 밀봉 링의 외부 림사이의 각각의 공간에 배치된 환형 탄성 부재를 더 포함하는 것을 특징으로 하는 조인트.
  48. 제 46 항에 있어서, 상기 밀봉 링은 전기적으로 도전성이고 상기 밀봉 링의 외부 림은 상기 제 1 챔버 몸체와 대면하는 방사상 내측에 형성된 환형 홈을 포함하며,
    상기 제 1 챔버 몸체와 접촉하며 상기 홈과 결합하는 탄성 도전부재를 더 포함하는 것을 특징으로 하는 조인트.
  49. 제 48 항에 있어서, 상기 탄성 도전부재는 관형 금속체를 포함하는 것을 특징으로 하는 조인트.
  50. 제 49 항에 있어서, 상기 관형 금속체는 나선형으로 감겨진 금속 스트립인 것을 특징으로 하는 조인트.
  51. 제 46 항에 있어서, 상기 밀봉 링내에 형성된 유체 냉각 채널을 더 포함하는 것을 특징으로 하는 조인트.
  52. 원뿔형 측벽을 갖는 챔버용 히터에 있어서,
    상기 원뿔형 벽의 주요부분 주위로 가요성으로 결합가능한 원의 세그먼트 형상을 갖는 몸체; 및
    상기 세그먼트를 따라 S자형 경로에 배치된 와이어를 갖는 상기 몸체내에 매립된 저항성 히터를 포함하는 것을 특징으로 하는 히터.
  53. 제 52 항에 있어서, 상기 몸체는 상기 저항성 히터를 사이에 끼우는 두 개의 시트를 포함하는 것을 특징으로 하는 히터.
  54. 제 52 항에 있어서, 상기 S자형 경로는 상기 세그먼트의 반경에 평행한 직선부 및, 상기 직선부와 상기 세그먼트의 아크 에지 사이에 배치된 직선부를 접속하는 굴곡부를 포함하는 것을 특징으로 하는 히터.
  55. 내면이 기판 처리 위치와 대면하고 외면이 상기 내면과 마주보고 있는 측벽을 갖는 챔버를 포함하는데, 상기 측벽은 진공 처리 챔버의 중앙 수직축에 대하여 대칭이며;
    상기 외면과 근접하여 열 접촉을 하는 열 히터를 포함하는데, 상기 히터의 가열 엘리먼트에 의해 형성된 패턴은 상기 중앙 수직축에 대하여 동일한 경사 각도로 설정된 일련의 길이방향 엘리먼트 섹션을 갖는 S자형 경로를 초래하며, 상기 히터의 중앙 몸체에서 각 길이방향 섹션은 상부 및 하부 가로방향 스터브 커넥션의 교차 패턴을 통하여 인접한 길이방향 섹션에 접속되며; 및
    상기 상부 및 하부 스터브 커넥션 사이와 상기 열 히터의 외측 및 상기 측벽의 외측에 근접하게 배치된 유도 코일을 포함하는데, 상기 코일의 와이어는 상기 가열 엘리먼트의 길이방향 섹션에 수직하게 그리고 상기 가로방향 스터브 커넥션의 방향에 평행하게 그리고 상기 진공 처리 챔버의 중앙 수직축 주위로 경로설정되어 있는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  56. 제 55 항에 있어서, 상기 측벽은 원뿔형인 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  57. 제 56 항에 있어서, 상기 유도 코일은 원뿔형인 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  58. 제 55 항에 있어서, 상기 유도 코일은 원뿔형인 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  59. 제 55 항에 있어서, 상기 길이방향 섹션은 상기 중앙 수직축을 포함하는 각 평면을 따라서만 연장하는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  60. 제 55 항에 있어서, 상기 측벽은 원통형인 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  61. 제 55 항에 있어서, 상기 진공 처리 챔버의 벽은 폴리실리콘 재료로 형성되는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  62. 제 55 항에 있어서, 상기 진공 처리 챔버의 벽은 실리콘 카바이드 재료로 형성되는 것을 특징으로 하는 플라즈마 진공 처리 챔버.
  63. 기판을 지지하기 위한 페데스탈;
    상기 페데스탈에 놓여있는 진공 처리 챔버의 루프;
    상기 루프상에서 제 1 편평 영역에 배치된 환형 가열 엘리먼트; 및
    상기 루프상에서 제 2 편평 영역에 배치된 유도 코일을 포함하는 것을 특징으로 하는 플라즈마 반응기.
  64. 제 63 항에 있어서, 상기 환형 가열 엘리먼트는 상기 유도 코일의 방사상 외측에 배치되는 것을 특징으로 하는 플라즈마 반응기.
  65. 제 64 항에 있어서, 상기 유도 코일의 방사상 외측에 있는 상기 루프상에 배치된 유체 통로를 갖는 환형 냉각 부재를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  66. 플라즈마 진공 처리 챔버의 벽의 온도를 제어하는 방법에 있어서,
    챔버내의 기판 처리 위치와 대면하는 제 1 면 및 상기 제 1 면과 마주보는 제 2 면을 가지며 실리콘 함유 재료로 구성된 진공 처리 챔버의 벽을 제공하는 단계;
    히터 엘리먼트의 패턴의 주요 부분이 서로 평행하게 이동하도록 히터 엘리먼트의 주방향이 전후방 패턴으로 경로 설정된 측벽의 제 2 측과 열접촉하는 가열부재를 위치시키는 단계를 포함하는데, 상기 히터 엘리먼트는 상기 챔버내의 기판 처리 위치에서 플라즈마로부터 받은 열 에너지 입력에 거의 동일하게 측벽에 열 에너지의 입력을 제공하기 위하여 치수설정되며;
    상기 챔버 측벽 및 가열 부재를 에워싸기 위한 구성으로 유도 코일을 제공하는 단계를 포함하는데, 상기 유도 코일의 와이어는 서로 평행하게 이동하는 히터 엘리먼트의 부분에 수직하게 이동하도록 구성되며, 상기 코일은 플라즈마를 형성하기 위하여 상기 기판 처리 위치에서 가스를 유도하기 위해 통전될 때 배치 및 구성되며;
    상기 측벽의 일단부에 인접하게 배치된 냉각 링을 제공하는 단계를 포함하는데, 상기 측벽 및 냉각 링 사이의 접속은 그들 사이에 열 및 전기 도전성을 제공하도록 형성되며, 상기 냉각 링은 냉각 링 및 열 전달 유체통로 사이에 열 에너지를 전달하기 위한 냉각 유체 통로를 포함하며;
    상기 냉각 링을 통하여 열 전달을 연속적으로 실행하는 단계; 및
    상기 처리 챔버내의 플라즈마의 존재에 대하여 역관계로 히터 엘리먼트에 파워를 제공함으로써 측벽의 온도를 유지하는 단계를 포함하는 것을 특징으로 하는 플라즈마 진공 처리 챔버의 벽의 온도 제어방법.
  67. 제 66 항에 있어서, 상기 유지단계는 상기 플라즈마가 상기 처리 챔버내에존재할 때에는 상기 히터 엘리먼트에 파워를 제공하지 않고, 상기 플라즈마가 상기 처리 챔버내에 존재하지 않을 때에는 상기 히터 엘리먼트에 파워를 제공하는 것을 특징으로 하는 플라즈마 진공 처리 챔버의 벽의 온도 제어방법.
  68. 처리 챔버의 측벽의 온도를 유지하는 방법에 있어서,
    챔버 측벽을 제공하는 단계;
    상기 측벽 주위에 유도 코일을 제공하는 단계를 포함하는데, 상기 코일의 와이어는 상기 챔버의 중앙축 주위로 감기고 상기 챔버의 중앙축에 수직하게 구성되며; 및
    상기 코일 및 상기 측벽 사이에 히터 엘리먼트를 제공하는 단계를 포함하는데, 상기 히터 엘리먼트는 상기 엘리먼트의 주요부분이 상기 코일의 와이에 수직하게 그리고 상기 챔버의 중앙축에 평행하게 이동하는 패턴을 갖는 것을 특징으로 하는 처리 챔버의 측벽의 온도 유지방법.
  69. 웨이퍼를 에칭하는 방법에 있어서,
    웨이퍼가 배치되는 반응 챔버의 원뿔형 벽을 통하여 에너지를 유도적으로 결합하는 단계;
    상기 원뿔형 벽에 전압을 제공하는 단계;
    상기 원뿔형 벽의 온도를 감시하고 그것에 응답하여 소정의 범위내에서 상기 벽의 온도를 유지하는 단계; 및
    상기 반응 챔버내로 에칭 가스를 공급하는 단계를 포함하는 것을 특징으로 하는 웨이퍼 에칭 방법.
  70. 제 69 항에 있어서, 상기 에칭 가스는 플루오르카본이며,
    상기 웨이퍼에 마주보게 배치된 전극; 및
    카본 및 실리콘으로 이루어진 그룹으로부터 선택된 재료를 더 포함하는 것을 특징으로 하는 웨이퍼 에칭 방법.
  71. 제 70 항에 있어서, 상기 원뿔형 벽은 폴리실리콘 및 실리콘 카바이드로 이루어진 그룹으로부터 선택된 재료를 포함하는 것을 특징으로 하는 웨이퍼 에칭 방법.
KR1019970053188A 1996-10-18 1997-10-17 원뿔형 돔과 유도성으로 연결된 평행판 플라즈마 반응기 KR19980032909A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US8/734,015 1996-10-18
US08/734,015 US6308654B1 (en) 1996-10-18 1996-10-18 Inductively coupled parallel-plate plasma reactor with a conical dome

Publications (1)

Publication Number Publication Date
KR19980032909A true KR19980032909A (ko) 1998-07-25

Family

ID=24950012

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970053188A KR19980032909A (ko) 1996-10-18 1997-10-17 원뿔형 돔과 유도성으로 연결된 평행판 플라즈마 반응기

Country Status (5)

Country Link
US (1) US6308654B1 (ko)
EP (1) EP0838841A3 (ko)
JP (1) JPH10149899A (ko)
KR (1) KR19980032909A (ko)
TW (1) TW348269B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100469889B1 (ko) * 2002-11-14 2005-02-02 어댑티브프라즈마테크놀로지 주식회사 복수개의 코일들을 포함하는 플라즈마 식각 설비
KR20140069198A (ko) * 2011-09-30 2014-06-09 어플라이드 머티어리얼스, 인코포레이티드 정전 척
WO2023132402A1 (ko) * 2022-01-07 2023-07-13 피에스케이 주식회사 기판 처리 장치

Families Citing this family (165)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
WO1999010913A1 (en) 1997-08-26 1999-03-04 Applied Materials, Inc. An apparatus and method for allowing a stable power transmission into a plasma processing chamber
KR100598631B1 (ko) * 1998-04-13 2006-07-07 도쿄 일렉트론 가부시키가이샤 임피던스가 감소된 챔버
JP4037956B2 (ja) * 1998-04-28 2008-01-23 東海カーボン株式会社 チャンバー内壁保護部材
WO2000007215A2 (en) * 1998-07-29 2000-02-10 Applied Materials, Inc. A method of allowing a stable power transmission into a plasma processing chamber
US6192829B1 (en) * 1999-05-05 2001-02-27 Applied Materials, Inc. Antenna coil assemblies for substrate processing chambers
JP3385528B2 (ja) * 1999-07-06 2003-03-10 日本電気株式会社 ドライエッチング装置とドライエッチング方法
US6447637B1 (en) 1999-07-12 2002-09-10 Applied Materials Inc. Process chamber having a voltage distribution electrode
US6320320B1 (en) * 1999-11-15 2001-11-20 Lam Research Corporation Method and apparatus for producing uniform process rates
KR20010063770A (ko) * 1999-12-24 2001-07-09 황 철 주 플라즈마를 이용하는 반도체소자 제조장치 및 이 장치를이용한 박막형성방법
JP5184730B2 (ja) * 2000-03-01 2013-04-17 東京エレクトロン株式会社 プラズマの均一性を電気的に制御可能なプラズマ発生装置
US6531030B1 (en) * 2000-03-31 2003-03-11 Lam Research Corp. Inductively coupled plasma etching apparatus
US6508198B1 (en) * 2000-05-11 2003-01-21 Applied Materials Inc. Automatic tuning in a tapped RF transformer inductive source of a plasma reactor for processing a semiconductor wafer
US6685798B1 (en) * 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6694915B1 (en) * 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
DE10043600B4 (de) * 2000-09-01 2013-12-05 Aixtron Se Vorrichtung zum Abscheiden insbesondere kristalliner Schichten auf einem oder mehreren, insbesondere ebenfalls kristallinen Substraten
US6652711B2 (en) 2001-06-06 2003-11-25 Tokyo Electron Limited Inductively-coupled plasma processing system
WO2003012567A1 (en) 2001-07-30 2003-02-13 Tokyo Electron Limited Plasma chamber wall segment temperature control
US20050054198A1 (en) * 2001-11-05 2005-03-10 Um Pyung Yong Apparatus of chemical vapor deposition
JP3727878B2 (ja) * 2001-11-14 2005-12-21 三菱重工業株式会社 金属膜作製装置
DE10156615B4 (de) * 2001-11-17 2004-10-07 Forschungszentrum Karlsruhe Gmbh Einrichtung zur Erzeugung eines örtlich variierbaren Elektron-Zyklotron-Resonanz-Mikrowellen-Niederdruckplasmas
KR100588774B1 (ko) * 2001-11-26 2006-06-14 주성엔지니어링(주) 웨이퍼 서셉터
KR100649895B1 (ko) * 2001-12-07 2006-11-24 주성엔지니어링(주) 플라즈마 챔버의 덮개
US6841201B2 (en) * 2001-12-21 2005-01-11 The Procter & Gamble Company Apparatus and method for treating a workpiece using plasma generated from microwave radiation
US20030160024A1 (en) * 2002-02-27 2003-08-28 Tadayashi Kawaguchi Plasma processing method and apparatus
KR100474133B1 (ko) * 2002-05-02 2005-03-08 주성엔지니어링(주) 플라즈마화학기상증착장치
US7086347B2 (en) * 2002-05-06 2006-08-08 Lam Research Corporation Apparatus and methods for minimizing arcing in a plasma processing chamber
KR101075046B1 (ko) 2002-05-23 2011-10-19 램 리써치 코포레이션 반도체 공정용 플라즈마 반응기를 위한 다중부재 전극 및다중부재 전극의 일부를 교체하는 방법
US6822185B2 (en) * 2002-10-08 2004-11-23 Applied Materials, Inc. Temperature controlled dome-coil system for high power inductively coupled plasma systems
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
JP4058364B2 (ja) * 2003-03-18 2008-03-05 株式会社日立製作所 半導体製造装置
KR101001743B1 (ko) * 2003-11-17 2010-12-15 삼성전자주식회사 헬리컬 자기-공진 코일을 이용한 이온화 물리적 기상 증착장치
KR100549529B1 (ko) * 2003-12-26 2006-02-03 삼성전자주식회사 반도체제조장치
KR100611012B1 (ko) * 2003-12-27 2006-08-10 동부일렉트로닉스 주식회사 반도체 소자 제조 방법
KR101038204B1 (ko) * 2004-02-25 2011-05-31 주성엔지니어링(주) 플라즈마 발생용 안테나
JP4490704B2 (ja) * 2004-02-27 2010-06-30 株式会社日立ハイテクノロジーズ プラズマ処理方法
US7691243B2 (en) * 2004-06-22 2010-04-06 Tokyo Electron Limited Internal antennae for plasma processing with metal plasma
US20060000551A1 (en) * 2004-06-30 2006-01-05 Saldana Miguel A Methods and apparatus for optimal temperature control in a plasma processing system
US7780791B2 (en) * 2004-06-30 2010-08-24 Lam Research Corporation Apparatus for an optimized plasma chamber top piece
US8540843B2 (en) 2004-06-30 2013-09-24 Lam Research Corporation Plasma chamber top piece assembly
US20060081185A1 (en) * 2004-10-15 2006-04-20 Justin Mauck Thermal management of dielectric components in a plasma discharge device
US7776156B2 (en) * 2005-02-10 2010-08-17 Applied Materials, Inc. Side RF coil and side heater for plasma processing apparatus
JP2006236697A (ja) * 2005-02-23 2006-09-07 Mitsui Chemicals Inc 放電プラズマ形成用電極
US7651587B2 (en) * 2005-08-11 2010-01-26 Applied Materials, Inc. Two-piece dome with separate RF coils for inductively coupled plasma reactors
US8911590B2 (en) * 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
KR100739979B1 (ko) 2006-08-24 2007-07-16 동부일렉트로닉스 주식회사 플라즈마 건식 식각장치의 돔 온도 조절 유닛
US20080169183A1 (en) * 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP4932857B2 (ja) * 2007-02-16 2012-05-16 ラム リサーチ コーポレーション 誘導コイル、プラズマ発生装置およびプラズマ発生方法
JP5583413B2 (ja) * 2007-02-28 2014-09-03 アプライド マテリアルズ インコーポレイテッド 大面積基板に堆積するための装置及び方法
WO2009049020A2 (en) * 2007-10-11 2009-04-16 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US20090220865A1 (en) * 2008-02-29 2009-09-03 Applied Materials, Inc. Method and apparatus for source field shaping in a plasma etch reactor
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
US8299391B2 (en) * 2008-07-30 2012-10-30 Applied Materials, Inc. Field enhanced inductively coupled plasma (Fe-ICP) reactor
US8809800B2 (en) * 2008-08-04 2014-08-19 Varian Semicoductor Equipment Associates, Inc. Ion source and a method for in-situ cleaning thereof
US20100059182A1 (en) * 2008-09-05 2010-03-11 Jusung Engineering Co., Ltd. Substrate processing apparatus
KR200475462Y1 (ko) * 2009-03-27 2014-12-03 램 리써치 코포레이션 플라즈마 처리 장치의 교체 가능한 상부 챔버 섹션
DE212010000009U1 (de) 2009-09-10 2011-05-26 LAM RESEARCH CORPORATION (Delaware Corporation), California Auswechselbare obere Kammerteile einer Plasmaverarbeitungsvorrichtung
WO2011049994A2 (en) * 2009-10-20 2011-04-28 Lam Research Corporation Current control in plasma processing systems
JP5592098B2 (ja) 2009-10-27 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR101757920B1 (ko) 2009-10-27 2017-07-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
US8741097B2 (en) 2009-10-27 2014-06-03 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
JP5757710B2 (ja) * 2009-10-27 2015-07-29 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8436318B2 (en) * 2010-04-05 2013-05-07 Varian Semiconductor Equipment Associates, Inc. Apparatus for controlling the temperature of an RF ion source window
US8562742B2 (en) * 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
US10595365B2 (en) 2010-10-19 2020-03-17 Applied Materials, Inc. Chamber lid heater ring assembly
WO2012082854A2 (en) * 2010-12-17 2012-06-21 Mattson Technology, Inc. Inductively coupled plasma source for plasma processing
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR101446455B1 (ko) 2011-04-04 2014-10-01 캐논 아네르바 가부시키가이샤 처리 장치
US9966236B2 (en) 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
US9206512B2 (en) * 2011-06-21 2015-12-08 Applied Materials, Inc. Gas distribution system
JP5697571B2 (ja) * 2011-10-06 2015-04-08 株式会社東芝 テンプレートの製造装置及びテンプレートの製造方法
US9437400B2 (en) 2012-05-02 2016-09-06 Lam Research Corporation Insulated dielectric window assembly of an inductively coupled plasma processing apparatus
US20130305988A1 (en) * 2012-05-18 2013-11-21 Axcelis Technologies, Inc. Inline Capacitive Ignition of Inductively Coupled Plasma Ion Source
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
KR102036325B1 (ko) * 2013-02-13 2019-10-25 삼성디스플레이 주식회사 방착 유니트를 가지는 박막 증착 장치와, 이의 증착물을 제거하는 방법
FR3002241B1 (fr) * 2013-02-21 2015-11-20 Altatech Semiconductor Dispositif de depot chimique en phase vapeur
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
WO2014149143A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Enhanced productivity for an etch system through polymer management
TWI628689B (zh) * 2013-05-09 2018-07-01 瑪森科技公司 用於保護電漿處理系統中之真空密封的系統與方法
KR20150050066A (ko) * 2013-10-31 2015-05-08 삼성전기주식회사 플라즈마 발생 장치
JP6218650B2 (ja) * 2014-03-11 2017-10-25 東京エレクトロン株式会社 プラズマ処理装置
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
CN105655220B (zh) * 2014-11-12 2018-01-02 中微半导体设备(上海)有限公司 电感耦合型等离子体处理装置
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9960009B2 (en) 2015-07-17 2018-05-01 Lam Research Corporation Methods and systems for determining a fault in a gas heater channel
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
JP6153975B2 (ja) * 2015-08-07 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理システム、プログラム、記録媒体および基板処理装置
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102334378B1 (ko) * 2015-09-23 2021-12-02 삼성전자 주식회사 유전체 윈도우, 그 윈도우를 포함한 플라즈마 공정 시스템, 및 그 시스템을 이용한 반도체 소자 제조방법
US9859098B2 (en) * 2015-12-22 2018-01-02 Varian Semiconductor Equipment Associates, Inc. Temperature controlled ion source
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US11004662B2 (en) * 2017-02-14 2021-05-11 Lam Research Corporation Temperature controlled spacer for use in a substrate processing chamber
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11521828B2 (en) * 2017-10-09 2022-12-06 Applied Materials, Inc. Inductively coupled plasma source
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11915850B2 (en) * 2017-12-20 2024-02-27 Applied Materials, Inc. Two channel cosine-theta coil assembly
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102524258B1 (ko) * 2018-06-18 2023-04-21 삼성전자주식회사 온도 조절 유닛, 온도 측정 유닛 및 이들을 포함하는 플라즈마 처리 장치
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102041518B1 (ko) * 2019-07-18 2019-11-06 에이피티씨 주식회사 분리형 플라즈마 소스 코일 및 이의 제어 방법
CN110491759A (zh) * 2019-08-21 2019-11-22 江苏鲁汶仪器有限公司 一种等离子体刻蚀系统
CN110491761B (zh) * 2019-08-23 2022-06-14 北京北方华创微电子装备有限公司 射频线圈、反应腔室及半导体加工设备
CN114551200A (zh) * 2020-11-19 2022-05-27 中微半导体设备(上海)股份有限公司 一种绝缘窗及其控制方法、及等离子体处理装置
CN113488367A (zh) * 2020-12-14 2021-10-08 北京屹唐半导体科技股份有限公司 具有等离子体处理系统和热处理系统的工件处理装置
TWI825711B (zh) * 2021-06-25 2023-12-11 美商得昇科技股份有限公司 電漿處理設備
KR102359161B1 (ko) * 2021-08-30 2022-02-08 주식회사 구비테크 플라즈마 에칭장비의 진공챔버 하우징
US20230260763A1 (en) * 2022-02-15 2023-08-17 Taiwan Semiconductor Manufacturing Company Semiconductor manufacturing chamber with plasma/gas flow control device

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4626312A (en) 1985-06-24 1986-12-02 The Perkin-Elmer Corporation Plasma etching system for minimizing stray electrical discharges
JPS62195124A (ja) * 1986-02-21 1987-08-27 Anelva Corp Ecrプラズマ装置
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4871421A (en) 1988-09-15 1989-10-03 Lam Research Corporation Split-phase driver for plasma etch system
JP2643457B2 (ja) * 1989-06-28 1997-08-20 三菱電機株式会社 プラズマ処理装置及びその方法
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US4982140A (en) 1989-10-05 1991-01-01 General Electric Company Starting aid for an electrodeless high intensity discharge lamp
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
EP0552491B1 (en) 1992-01-24 1998-07-15 Applied Materials, Inc. Plasma etch process and plasma processing reactor
US5231334A (en) 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
US5346578A (en) 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
KR100281345B1 (ko) 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
JPH06179985A (ja) * 1992-12-15 1994-06-28 Mitsubishi Electric Corp プラズマ処理装置
JP2581386B2 (ja) * 1992-12-24 1997-02-12 日本電気株式会社 高周波磁場励起処理装置
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
KR100291108B1 (ko) 1993-03-17 2001-06-01 히가시 데쓰로 플라즈마 처리 시스템
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
EP0680072B1 (en) 1994-04-28 2003-10-08 Applied Materials, Inc. A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling
US5580385A (en) 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5753044A (en) 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5589041A (en) * 1995-06-07 1996-12-31 Sony Corporation Plasma sputter etching system with reduced particle contamination
US5573595A (en) 1995-09-29 1996-11-12 Lam Research Corporation Methods and apparatus for generating plasma
EP0792091B1 (en) 1995-12-27 2002-03-13 Nippon Telegraph And Telephone Corporation Elemental analysis method
US6098882A (en) 1996-03-01 2000-08-08 Cobblestone Software, Inc. Variable formatting of digital data into a pattern
US5964949A (en) * 1996-03-06 1999-10-12 Mattson Technology, Inc. ICP reactor having a conically-shaped plasma-generating section

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100469889B1 (ko) * 2002-11-14 2005-02-02 어댑티브프라즈마테크놀로지 주식회사 복수개의 코일들을 포함하는 플라즈마 식각 설비
KR20140069198A (ko) * 2011-09-30 2014-06-09 어플라이드 머티어리얼스, 인코포레이티드 정전 척
WO2023132402A1 (ko) * 2022-01-07 2023-07-13 피에스케이 주식회사 기판 처리 장치

Also Published As

Publication number Publication date
EP0838841A2 (en) 1998-04-29
EP0838841A3 (en) 1998-12-30
TW348269B (en) 1998-12-21
JPH10149899A (ja) 1998-06-02
US6308654B1 (en) 2001-10-30

Similar Documents

Publication Publication Date Title
KR19980032909A (ko) 원뿔형 돔과 유도성으로 연결된 평행판 플라즈마 반응기
US10257887B2 (en) Substrate support assembly
CN106449503B (zh) 陶瓷加热器和具有增强的晶片边缘性能的esc
KR101265807B1 (ko) 개선된 반도체 프로세싱 균일성을 위한 열 전송 시스템
KR100518617B1 (ko) 플라즈마처리장치 및 플라즈마 처리방법
TWI388243B (zh) 用於電漿均勻性與減少元件損壞之對於尖端、螺線管與鏡像場具有最少直流電線圈的電漿反應器
US6440221B2 (en) Process chamber having improved temperature control
TW480531B (en) Lower electrode design for higher uniformity
KR100728312B1 (ko) 정전 흡착장치와 웨이퍼 처리장치 및 플라즈마 처리방법
JP5179627B2 (ja) プラズマ処理チャンバ用の可動接地リング
JP4698222B2 (ja) プラズマを径方向に均一に分布する容量結合プラズマリアクタ
KR20200127135A (ko) 플라즈마 프로세싱 챔버 내의 엘라스토머 시일의 수명을 연장시키는 크기로 형성된 에지 링
US6095084A (en) High density plasma process chamber
US10825708B2 (en) Process kit components for use with an extended and independent RF powered cathode substrate for extreme edge tunability
US6189483B1 (en) Process kit
US6219219B1 (en) Cathode assembly containing an electrostatic chuck for retaining a wafer in a semiconductor wafer processing system
US6286451B1 (en) Dome: shape and temperature controlled surfaces
JP4955539B2 (ja) シャワーヘッド電極及びヒータを備えるプラズマ処理用の装置
KR200479295Y1 (ko) 플라즈마 처리 챔버의 가동 기판 지지 어셈블리를 위한 소모성 격리 링
KR200478935Y1 (ko) 플라즈마 처리 챔버를 위한 c-형상 한정 링
US7767055B2 (en) Capacitive coupling plasma processing apparatus
JP6442463B2 (ja) 環状のバッフル
US20070181257A1 (en) Faraday Shield Disposed Within An Inductively Coupled Plasma Etching apparatus
US6178920B1 (en) Plasma reactor with internal inductive antenna capable of generating helicon wave
JP2008028354A (ja) 急速温度勾配コントロールによる基板処理

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid