TWI388243B - 用於電漿均勻性與減少元件損壞之對於尖端、螺線管與鏡像場具有最少直流電線圈的電漿反應器 - Google Patents

用於電漿均勻性與減少元件損壞之對於尖端、螺線管與鏡像場具有最少直流電線圈的電漿反應器 Download PDF

Info

Publication number
TWI388243B
TWI388243B TW094144158A TW94144158A TWI388243B TW I388243 B TWI388243 B TW I388243B TW 094144158 A TW094144158 A TW 094144158A TW 94144158 A TW94144158 A TW 94144158A TW I388243 B TWI388243 B TW I388243B
Authority
TW
Taiwan
Prior art keywords
plasma
magnetic field
distribution
ion density
plane
Prior art date
Application number
TW094144158A
Other languages
English (en)
Other versions
TW200628022A (en
Inventor
Daniel J Hoffman
Roger A Lindley
Michael C Kutney
Martin J Salinas
Hamid F Tavassoli
Keiji Horioka
Douglas A Buchberger Jr
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200628022A publication Critical patent/TW200628022A/zh
Application granted granted Critical
Publication of TWI388243B publication Critical patent/TWI388243B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • EFIXED CONSTRUCTIONS
    • E01CONSTRUCTION OF ROADS, RAILWAYS, OR BRIDGES
    • E01CCONSTRUCTION OF, OR SURFACES FOR, ROADS, SPORTS GROUNDS, OR THE LIKE; MACHINES OR AUXILIARY TOOLS FOR CONSTRUCTION OR REPAIR
    • E01C19/00Machines, tools or auxiliary devices for preparing or distributing paving materials, for working the placed materials, or for forming, consolidating, or finishing the paving
    • E01C19/12Machines, tools or auxiliary devices for preparing or distributing paving materials, for working the placed materials, or for forming, consolidating, or finishing the paving for distributing granular or liquid materials
    • E01C19/16Machines, tools or auxiliary devices for preparing or distributing paving materials, for working the placed materials, or for forming, consolidating, or finishing the paving for distributing granular or liquid materials for applying or spreading liquid materials, e.g. bitumen slurries
    • E01C19/17Application by spraying or throwing
    • E01C19/178Elements or attachments for spreading-out or smoothing-down the applied material, e.g. brushes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • EFIXED CONSTRUCTIONS
    • E01CONSTRUCTION OF ROADS, RAILWAYS, OR BRIDGES
    • E01CCONSTRUCTION OF, OR SURFACES FOR, ROADS, SPORTS GROUNDS, OR THE LIKE; MACHINES OR AUXILIARY TOOLS FOR CONSTRUCTION OR REPAIR
    • E01C11/00Details of pavings
    • E01C11/24Methods or arrangements for preventing slipperiness or protecting against influences of the weather

Description

用於電漿均勻性與減少元件損壞之對於尖端、螺線管與鏡像場具有最少直流電線圈的電漿反應器
本申請案係美國專利申請案序號10/841,116(標題“CAPACITIVELY COUPLED PLASMA REACTOR WITH MAGNETIC PLASMA CONTROL”,申請日2004/05/07,發明人Daniel Hoffman等人)之部分延續案,該申請案係美國專利申請案序號10/192,271(申請日2002/07/09,標題“CAPACITIVELY COUPLED PLASMA REACTOR WITH MAGNETIC PLASMA CONTROL”,發明人Daniel Hoffman等人)之分割案,以上皆讓渡予本案之受讓人。
本發明之實施例關於用於電漿均勻性與減少元件損壞之對於尖端、螺線管與鏡像場具有最少直流電線圈的電漿反應器。
電容耦合的電漿反應器用於製造具有高長寬比之半導體微電子的結構。此類的結構典型上具有較窄且深的開口,其穿透至少一薄膜而形成在一半導體結構上。電容耦合的電漿反應器用在多個類型的此類裝置製程中,包括介電蝕刻處理、金屬蝕刻處理、化學氣相沈積等。此類反應器亦用在製造微影罩幕及半導體平面顯示器。此類用途取決於電漿離子,以強化或致能所期望之處理。電漿離子在半導體工作部件表面上的密度會影響製程參數,且特別在製造高長寬比的微電子結構中,這個密度是很關鍵的。事實上,製造高長寬比之微電子積體電路的難題在於,工作部件表面上之電漿離子密度是不均勻的,且會因不均勻的蝕刻速率或沈積速率而導致製程的失敗。
典型的電容耦合反應器具有位於反應器室中的晶圓支架墊座及覆蓋該晶圓支架的頂蓋。該頂蓋包括一氣體分佈板,其可將處理氣體噴入該室。一RF電源會施加在該晶圓支架與頂蓋或室壁之間,以撞擊且維持該晶圓支架上方的電漿。一般而言,該室係圓柱形,而該頂蓋及晶圓支架為圓形且與該圓柱形之室同軸以提高製程的均勻度。然而,此類反應器具有不均勻的電漿密度分佈。典型上,電漿離子的徑向密度分佈為,在晶圓支架中央會較高而在周圍則較低,這是一個嚴重的問題。有多樣措施可用以控制電漿離子密度分佈,俾以增進晶圓及工作部件表面上方的製程均勻度,且至少可部份克服這個難題。
一個此類措施即在環繞該反應器室周圍的面上,間距地設置一組面對該室中央的磁線圈。各線圈會供以較低頻的正弦電流,相鄰線圈的正弦電流會在相位上有所偏移,俾以在該晶圓支架上方產生緩慢旋轉的磁場。這樣的特徵易於提高在晶圓支架上方之電漿離子的徑向分佈。當該措施用在反應離子蝕刻之時,則稱之為磁場增強式反應性離子蝕刻(magnetically enhanced reactive ion etching,MERIE)。該措施具有某些限制。特別的是,磁場強度必須受限,以為了防止半導體工作部件上之微電子結構與磁場強度相關的裝置損壞。磁場強度亦須受限,以防止與磁場強度之改變率相關的反應室電弧放電。因此,MERIE磁場整體必須實質地降低,且因此必須實質地限制電漿離子密度的均勻度控制。
另一措施稱為可配置磁場(configurable magnetic fields,CMF),且利用與上述相同的周圍間隔線圈。但在CMF中,會操作該等線圈俾以強加一磁場,其中該磁場由該工作部件支架所在平面的一側跨越延伸至另一側。此外,該磁場可繞著該晶圓支架之軸旋轉,以產生徑向的時間平均磁場。在具有四個並列線圈之反應器的狀況中,可藉由在一對相鄰線圈上裝配一直流電流及在另一對相鄰線圈上裝配不同(或相對)的直流電流而完成。該等線圈會切換為令該樣式旋轉,是故磁場亦會如上述般地產生旋轉。該措施容易因CMF磁場的突然切換,而遭受反應室或晶圓電弧放電的問題,故磁場強度必須受限。因此,在某些應用中,磁場強度不足以補償因反應器而產生的電漿離子不均勻性。
因此,我們需要的是能更有效地補償電漿離子密度分佈之不均勻(是故磁場強度可較低)的方式,且磁場中具有較低(或無)的時間變動。
本發明揭示一種用以處理工作部件的電漿反應器,包括一真空室(其由側壁與頂蓋所界定)及一工作部件支架墊座(其具有位於該室中的工作部件支架表面,且面對該頂蓋而包括一陰極)。一RF發電器會耦合至該陰極。藉由位於覆蓋該工作部件支架之第一平面表面的環狀內部電磁鐵、位於覆蓋工作部件支架表面之第二平面表面的環狀外部電磁鐵(其直徑大於該向內電磁鐵)、及位於工作部件支架表面下方之第三平面表面的環狀底部電磁鐵,可控制電漿的分佈。直流電流供應會個別連接至內部、外部及底部電磁鐵。工作部件支架墊座及內部、外部及底部磁鐵皆是同軸的。在一實施例中,該第一平面會覆蓋該第二平面,及該第一與第二平面兩者會覆蓋該第三平面,且該第一、第二與第三平面與工作部件支架的表面是平行的。
該反應器包括一處理器,其控制來自於內部、外部及底部直流電流供應的直流電流。該處理器可在三個模式下操作,即:一尖端模式,其中該直流電流令該底部電磁鐵及該內部與外部電磁鐵中之一者,在工作部件支架表面產生相等且反向的磁場,一鏡像模式,其中該直流電流令該底部電磁鐵及該內部與外部電磁鐵中之一者,在工作部件支架表面產生相同的磁場,及一螺線管模式,其中該直流電流令該等電磁鐵之至少一,在工作部件支架表面產生徑向及軸向的磁場。
對於此三個磁鐵,可將該處理器程式化以搜尋最佳的直流電流組合,以增進位於具有徑向磁場之晶圓處的電漿離子密度分佈均勻性,且藉由控制(例如,降低)晶圓的軸向磁場來改良裝置損壞的結果。
特定電漿反應器所展現的電漿離子密度分佈,係室內壓力,氣體混合與擴散,及來源電源放射樣態之函數。在本發明之反應器中,會因磁力而將分佈改變為近似於所選擇的或理想的分佈,即預設為可增進製程均勻性的分佈。因磁力而改變的或校正的電漿離子密度分佈是如此的,是故可增進晶圓或工作部件表面上方的製程均勻性。為此,磁力校正的電漿分佈可能是不均勻的或均勻的,其皆取決於使用者所判定的需求。我們已發現,能夠增進效能的平均磁場強度會施加壓力於電漿,使該電漿之分佈變為期望的樣子。我們可以根據這個發現,而藉由增加磁場梯度的徑向分量,來達成這個驚奇的結果。我們應了解,徑向方向係圓柱室的對稱軸方向。因此,所需的磁場結構是具有較大的徑向梯度及其他方向的較弱磁場強度。這樣的磁場是尖端形的,其對稱軸與圓柱狀反應器室之軸重疊。產生尖端形磁場的一個方法為,在該圓柱室上方及下方設置線圈,且直流電流以相反方向流過該等線圈。
依反應器室的設計,在晶圓墊座下方設置一線圈是行不通的,而因此在一第一狀況中,一頂部線圈即可滿足這些目的。此外,所需的是希望為了能夠準確地控制或改變電漿離子在已知之電漿反應器室中的分佈(環繞之電漿離子分佈),而令尖端形磁場成為可配置的或可調整的。因為不同的電容耦合反應器所提供的電漿離子分佈會有極大差異,這樣的可調整度在某些狀況中是很基本的。磁場梯度的徑向分量是可選擇的,以施加為了令環繞之分佈變為所期望之分佈所需的磁壓。例如,若所期望之分佈係一均勻分佈,則可選擇所施加之磁場,以當磁場不存在之時,抵消反應器所展現之電漿離子密度徑向分佈的不均勻性。在這個狀況中,例如,若該反應器易於具有中央較高的電漿離子密度分佈,則可選擇磁場的梯度,以維持該晶圓支架墊座上方的電漿密度,及提高周圍的電漿密度以達成均勻性。
根據我們的發現,可藉由提供除了第一線圈的至少一個不同(例如,較小的)直徑之第二頂上線圈,來達成此類的尖端形磁場之可調整性。個別線圈的直流電流可彼此無關地調整,俾以允許該尖端形磁場之配置,以高度靈活的方式來將任何的周圍電漿離子分佈,實際地變成近似於某種期望的電漿離子分佈。可為了調適中央較高或中央較低的電漿離子密度分佈,而設計這樣的磁場配置選擇。
可實現的優點是雙倍的,因為該尖端形磁場關於磁場強度會具有較大的徑向梯度(如上述般),故因此,可高度有效地在電漿上施加校正的壓力;然而,因為磁場不因時間而變動,幾乎不可能有電弧放電發生的傾向,故因此當需要之時,為了達到更強的校正能力,可利用稍微更強的磁場。在本申請案下文中的敘述中,該特徵對於較高的反應器室壓力會很有幫助。
第1A圖繪示一電容耦合的電漿反應器,其可提供可調整的尖端形磁場。第1A圖之反應器包括一圓柱狀側壁5,作為氣體分佈板的頂蓋10,及支撐一半導體工作部件20的晶圓支架墊座15。該頂蓋10或氣體分佈板係可導電,俾以令其當作一陽極使用,或具有一陽極附著於其上。該頂蓋10或氣體分佈板典型上由鋁製成,且在其面對該反應器室的內部表面上具有一內部氣體歧管及多個氣體注入孔。一處理氣體供應25會將處理氣體供給該氣體分佈板10。一真空泵30可控制該反應器室內的壓力。經由一阻抗匹配電路45而連接至該晶圓支架墊座15的RF發電器40,可產生用以點燃且維持該反應器室內之電漿的電漿來源電源,是故該晶圓支架墊座15可當作一RF電極使用。該陽極(其可為由一導體材料所形成的頂蓋10)會連接至RF地線,是故可當作計時器電極使用。這樣的反應器易於具有極不均勻的電漿離子密度分佈,且典型上是中央較高的。
第1B圖繪示一特徵,其中該頂蓋10不同於第1A圖中那般的直接接地,該頂蓋10會經由一RF阻抗匹配元件11(其僅示意性顯示)而連接至一VHF信號產生器12,其供給該電漿來源電源。這此狀況中,該RF發電器40僅控制該半導體晶圓或工作部件上的RF偏壓。(該RF阻抗匹配元件11可以是固定調諧元件,例如,同軸調諧短線或帶狀線電路。)這樣的特徵會在稍後做更進一步的細節討論。
為了控制電漿離子密度的分佈,會在該頂蓋10上方設置一組誘導線圈。在第1A圖的狀況中,該組線圈包括一內線圈60及一外線圈65,該二者與該圓柱狀室是同軸的,且各者會組成一導體的單一繞捲線圈。第1A圖中所繪示的繞捲線圈60,65皆是單圈的,各者會組成垂直設置的複數個圈,例如像第1B圖中所示般。或者,如第1C圖所示者,該繞捲線圈60,65會往垂直方向及水平方向等兩方面延伸。在第1A圖的狀況中,在該頂蓋10的上方,該內線圈60的設置高於該外線圈65。然而,在其他狀況中,可保有如此之設置,或該二線圈60,65亦可位於該頂蓋10上方的相同高度之處。
在第1A圖及第1B圖的狀況中,一控制器90可藉由控制彼此無關的直流電流供應70,75,而判定流往個別頂上線圈60,65之電流的強度及極性,該等直流電流供應70,75連接至個別的線圈60,65。此刻,參照第2圖,所繪示的狀況為,控制器90管理由一直流電流供應76流往該等線圈60,65的直流電流,該直流電流供應76經由該控制器90而供給電流,該控制器90會連接至個別線圈60,65。在另一狀況中,該控制器90可令不同極性及強度的直流電流,流往不同的線圈60,65。在第2圖的狀況中,該控制器90包括一對電位計82a,82b,其可調整供應到個別線圈60,65及一對聯動開關84a,84b的直流電流,該對聯動開關84a,84b可彼此無關地判定供給各線圈60,65之直流電流的極性。一可程式化之裝置(例如,微處理器91)可包括在該控制器90中,俾以人工智慧來管理該等電位計82a,82b及該對聯動開關84a,84b。
第1A圖,第1B圖及第1C圖所繪示之二線圈60,65的設置可提供某些優點,其中在該頂蓋10上方,該內線圈60的設置係高於該外線圈65。特別的是,由任一線圈所提供的磁場梯度之徑向分量,會至少粗略地正比於該線圈半徑,且與距離該線圈的軸向位移成反比。因此,該內部及外部線圈60,65因為不同的大小及位移量,故可執行不同的功能。因為該外線圈65的較大半徑及較靠近該晶圓20,故可主導該晶圓20的整個表面,而該內線圈60在靠近該晶圓中央之處具有最大的影響力且可視為一微調線圈以用於精細地調整或雕塑該磁場。其他的設置則可藉由不同的線圈,其具有不同的半徑及設置在相距於電漿不同的位移量,而實現不同的控制。在下文參照某些操作範例的稍後討論中,不僅可藉由選擇在個別頂上線圈(60,65)流動的不同電流強度,來改變周圍電漿離子密度的不同分佈,亦可藉由選擇在不同頂上線圈中之不同極性及方向的電流來達成之。
第3A圖繪示第1A圖的狀況中,該內線圈60所產生之磁場的徑向(實線)分量及方位角(虛線),其係該晶圓20上之徑向位置的函數。第3B圖繪示該外線圈65所產生之磁場的徑向(實線)分量及方位角(虛線),其係該晶圓20上之徑向位置的函數。第3A圖及第3B圖所繪示的資料可在實作中獲得,其中該晶圓20之直徑係300公厘,該內線圈60之直徑係12吋及設置在該電漿上方10吋處,且該外線圈65之直徑係22吋及設置在該電漿上方約6吋處。第3C圖係該內部及外部頂上線圈60,65所產生之半尖端形磁場線樣態的簡化圖。
第2圖之控制器90可改變施加於個別線圈60,65的電流,以為了調整晶圓表面的磁場,及藉以改變電漿離子密度的空間分佈。現將說明的是在線圈60,65中之各者施加不同磁場的效果,以說明該控制器90如何藉由改變其磁場,而深切地影響且改良該反應器室內的電漿離子分佈。在以下的範例中,係直接測量晶圓表面上的蝕刻速率之空間分佈(而不是電漿離子分佈)。蝕刻速率分佈會隨著電漿離子分佈而直接改變,故因此其中一者之改變即可反應另一者的改變。
第4A圖,第4B圖,第4C圖及第4D圖繪示在低室內壓力(30mT)時僅使用內線圈60,所獲得的良好效果。第4A圖繪示蝕刻速率的量側值(縱軸/Z軸),其係晶圓20表面位置(橫軸/X及Y軸)的函數。因此,第4A圖繪示晶圓表面之平面上的蝕刻速率之空間分佈。在第4A圖中清晰可見的是,中央較高之蝕刻速率分佈的不均勻性。第4A圖可對應到未施加磁場的狀況,故可因此而說明不均勻的蝕刻速率分佈,其中該不均勻的蝕刻速率分佈為反應器中所固有的且需要校正的。蝕刻速率在該狀況中具有5.7%的標準差。在第4圖及第5圖中,磁場強度會如靠近晶圓中央的軸向磁場所述般,雖然應了解徑向磁場為作用在電漿離子密度的徑向分佈上而可增進均勻性。在這個敘述中可選擇軸向磁場,因其更易於測量。晶圓邊緣處的徑向磁場,典型上約為該處之軸向磁場的三分之一。
第4B圖繪示當供能予該內線圈60而產生9高斯的磁場之時,蝕刻速率分佈的改變方式。不均勻度會降低到4.7%的標準差。
第4C圖中,該內線圈60的磁場已增加到18高斯,且可見的是在中央之峰值已大幅降低,結果為晶圓上之蝕刻速率的標準差會降低到2.1%。
第4D圖中,該內線圈60的磁場會再增加至27高斯,是故第4A圖中央較高的樣態幾乎要扭轉為中央較低的樣態了。在第4D圖之狀況中,晶圓表面的蝕刻速率之標準差為5.0%。
第5A圖,第5B圖,第5C圖及第5D圖繪示在較高室內壓力(200mT)時使用二線圈60,65的良好效果。第5A圖與第4A圖對應,且繪示藉由磁場所校正之反應器的中央較高之蝕刻速率不均勻度。在這個狀況中,晶圓表面之蝕刻速率的標準差係5.2%。
在第5B圖中,會供能予該外線圈65,以產生22高斯的磁場,其稍微降低了蝕刻速率分佈的中央峰值。在這個狀況中,蝕刻速率的標準差已降低到3.5%。
在第5C圖中,會供能予該二線圈60,65,以產生24高斯的磁場。第5C圖中所見的結果即,蝕刻速率分佈的中央峰值已大幅降低,而靠近周邊的蝕刻速率則增加了。整體效果為其蝕刻速率分佈更為均勻而獲得低的標準差3.2%。
在第5D圖中,會供能予該二線圈,以產生40高斯的磁場,而導致了過度校正,是故晶圓表面上的蝕刻速率分佈會轉變為中央較低的分佈。此狀況的蝕刻速率標準差會稍微提升(相對於第5C圖的狀況)至3.5%。
比較在第4A圖至第4D圖的低壓測試與在第5A圖至第5D圖的高壓測試所獲得的結果可知,較高的室壓需要更高的磁場來達成對蝕刻速率不均勻分佈的相似校正。例如,在30mT時,可在18高斯時僅使用該內線圈60即可獲得最佳校正,而在300mT時,需要24高斯的磁場且使用該二線圈60,65才可達到最佳校正。
第6圖顯示頂上線圈的磁場,會大幅影響電漿離子密度或蝕刻速率分佈的均勻性,但不會大幅影響蝕刻速率本身。這是一個優點,因為我們期望較佳為增進蝕刻速率分佈的均勻性,而不改變針對特定半導體程序所選擇的蝕刻速率。在第6圖中,菱形符號代表蝕刻速率(左側縱軸)的量測值,其係磁場(橫軸)的函數,而方形符號則代表蝕刻速率的標準差(不均勻度/右側縱軸刻度),其係磁場的函數。繪示範圍中之不均勻度的改變約為一倍大小,而蝕刻速率的改變僅約25%。
第1A圖,第1B圖及第1C圖的頂上線圈電感器60,65可與習用MERIE反應器一起使用。第7圖及第8圖所繪示的狀況與第1A圖對照為,前者具有的額外特徵為四個習用MERIE電磁鐵92,94,96,98及一個MERIE電流控制器99。該電流控制器99可提供交流電流於個別的MERIE電磁鐵92,94,96,98。個別電流皆具有相同的低頻率,但其相位角偏移為90°,俾以在反應器室中以習用方式產生緩慢旋轉的磁場。
使用頂上線圈控制電漿分佈:
根據反應器的一方法,在一特定反應器中固有的晶圓表面電漿離子密度分佈,會藉由選擇該等頂上線圈60,65所產生的特定磁場之一特定方式進行配置。例如,電漿分佈可配置為在晶圓表面上方產生更均勻的蝕刻速率分佈。例如,可藉由將該控制器90程式化以選擇該等頂上線圈中之直流電流的最佳極性及安培數,來完成配置。雖然本範例涉及僅具有二共圓心之頂上線圈(即,線圈60及65)的反應器,該方法可以二個以上的線圈實行之,且使用較多的頂上線圈可提供更精確的結果。藉由該控制器90可配置磁場,以改變晶圓表面上的電漿離子密度分佈,而接著影響蝕刻速率分佈。
第一步驟為,在來自頂上線圈60,65的任何校正磁場不存在之時,測量晶圓表面上的蝕刻速率分佈。下一步驟為,判定電漿離子密度分佈的改變,其令蝕刻速率分佈更為均勻。最後的步驟為,判定可產生期望的電漿離子密度分佈之改變的磁場。提供這樣的磁場,則可以由習知的靜電磁場方程式計算得到產生該磁場所須之頂上線圈60,65中的電流大小及方向。
我們發現了一個計算的方式,可利用磁場、電漿上之頂上線圈60,65之磁場所施加的壓力(亦稱為磁壓)。在下文中會再討論之。在電漿上的磁壓會產生電漿離子密度分佈的改變。電漿離子密度分佈的改變會產生晶圓表面上之蝕刻速率分佈的正比之改變,這是可以直接觀察到的。因此,晶圓表面上的電漿離子密度分佈與蝕刻速率分佈至少會具有粗略相關的比例係數。
開始之時,在施加頂上線圈60,65所產生的磁場之前,會先測量晶圓表面之蝕刻速率的空間分佈。由此,可判定蝕刻速率分佈的期望改變(俾以達成均勻分佈)。接著,各頂上線圈60,65所產生之磁場的空間分佈(其係該室內之位置及線圈中之電流的函數)可由各線圈的幾何形狀分析判定出來。接著,藉由施加習知的電流組到該等線圈,且接著測量晶圓表面之蝕刻速率分佈的最終改變值,可推演出一線性比例係數,其中磁場的(其來自晶圓表面上的所有線圈)向量之和與晶圓表面之蝕刻速率分佈的改變有關。(該比例係數大致上係電漿之自然壓力的函數,且直至約500mT室壓以上仍適用。)因此,給予蝕刻速率分佈的期望改變或校正(以為了達到較佳的均勻性)即可(以下文中即將敘述的方式)發現必要的磁場,且可由此而使用先前分析判定出來的磁場空間分佈函數,來推導出對應的線圈電流。
可使用多種方式來建立對於蝕刻速率分佈之不均勻度的期望校正。例如,由均勻或平均的蝕刻速率減去晶圓表面的二維蝕刻速率分佈,可產生一「差別」分佈。欲以該方法校正的蝕刻速率分佈之不均勻度,係反應器室中多樣因素的結果,包括電容耦合之來源電源的不均勻施加、不均勻的處理氣體分佈、以及不均勻的電漿離子密度分佈。在上述方法中,可藉由磁壓來改變電漿離子密度分佈,而校正不均勻性。
亦可利用以下方法,來建立經過校正的電漿分佈,其在某個期望觀點中係不均勻。在這個狀況中,待實行的校正即,未校正的或周圍電漿離子密度分佈,與期望分佈(其本身是不均勻的)間之差。因此,該方法對於欲令該電漿密度分佈更為均勻或具有選定之特殊密度分佈樣態(未必是均勻的),是很有幫助的。
此刻,參照第9圖來描述用以實行上述方法的一系列步驟。
第一步(第9圖之方塊910)係針對各頂上線圈60,65,分析地判定晶圓表面之磁場的表示式,其係晶圓表面之線圈及徑向位置之電流的函數。使用圓柱座標,對於第i個線圈,表示法可寫成Bi (r,z=晶圓,Ii )。可使用必歐-沙伐定律(Biot-Savart law)而以極直接的方式來判定之。
下一步驟(第9圖之方塊920)會在無電流流經該等頂上線圈60,65之時實行。在這個步驟中,可測得電漿離子密度在晶圓表面上的空間分佈。該空間分佈可寫成n(r,z=晶圓)。在這個步驟中,可藉由測量測試晶圓表面的蝕刻速率分佈,而間接測量電漿離子密度分佈。熟習該項技藝的工作者,可由蝕刻速率分佈輕鬆地推導出電漿離子密度分佈。
接著,在方塊930的步驟中,可判定對於在上一步驟中測得之電漿離子密度空間分佈函數n(r,z=晶圓)的校正c(r)。可以任何適當方法來定義該校正c(r)。例如,其可定義為最大值n(r,z=晶圓)m a x 減去n(r,z=晶圓)。依此方式,將c(r)加入n(r,z=晶圓),可獲得經校正的分佈,其平均振幅等於n(r)m a x 。當然,校正函數c(r)可以不同方式定義而產生不同的均勻振幅。或者,如上文的簡潔敘述般,若期望的分佈是不均勻的,則其校正為期望之分佈與n(r,z=晶圓)間之差。
下一步驟(方塊940)為,針對各頂上線圈60,65來選擇一測試電流Ii ,且施加該電流予適當的線圈,且測量所得的電漿離子分佈,其中該所得的電漿離子分可寫成n(r,z=晶圓)t e s t 。藉由減去當磁場存在及不存在之時所測得的離子分佈,即可獲得離子分佈的改變△n(r):△n(r)n(r,z=晶圓)-n(r,z=晶圓)t e s t
下一步驟(方塊950)為,計算一比例係數S,其中壓力(其係磁場所施加,即磁壓)梯度與離子分佈的改變△n(r)有關。將該磁壓除以△n(r),即可執行這個計算。可根據磁流體動力學之方程式,而針對各線圈來個別計算第i個線圈之磁場B(r,z=晶圓,Ii )的磁壓梯度:▽r P-▽r [B(r,z=晶圓,Ii )2 /2μ0 ]其中下標r表示徑向分量。因此,針對各線圈所個別獲得的結果,可加總在一起。因此,總磁壓梯度為:-▽ri [B(r,z=晶圓,Ii )2 /2μ0 ]}因此,該比例係數S為:S={-▽ri [B(r,z=晶圓,Ii )2 /2μ0 ]}}/△n(r)
在不同的r值時可實行該除法操作,且可求得其結果的平均值,以獲得比例形式的S。此外,該比例係數S可以是R的函數,且以適宜的方式使用。
在方塊950之步驟中所發現的比例係數S,為判定磁壓與所得離子分佈改變的線圈電流Ii 之間的連結。特別的是,給定一組線圈電流Ii ,則可藉由乘上磁壓而計算出對應的離子分佈n(r)之變化,其中該磁壓由該組Ii 而藉由比例係數S來判定:△n(r)={-▽ri [B(r,z=晶圓,Ii )2 /2μ0 ]}}/S
這個事實可提供基礎予下一步驟(方塊960),其中一電腦(例如,微處理器91)可使用上述方程式來搜尋一組線圈電流Ii ,其可產生最接近預先指定或期望的電漿離子密度分佈之改變△n(r)的最佳近似值。在這個狀況中,期望的改變等於在方塊930之步驟中所計算的校正函數c(r)。即,電腦會搜尋可滿足以下條件的一組線圈電流Ii :{-▽ri [B(r,z=晶圓,Ii )2 /2μ0 ]}}=c(r)S該搜尋之實行可藉由習知的最佳化技術,其涵括(例如)急遽遞減法。熟習該項技藝者可輕易地實行此類的技術,故不需在此贅述。
藉由搜尋而發現的該組線圈電流Ii 的大小與極性,會接著傳送到該控制器90,其接著會施加該等電流於個別線圈60,65。
第10圖比較了磁壓(實線)與所量得的電漿離子分佈之改變(虛線),其係晶圓表面之徑向位置的函數。如上述般,該磁壓係頂上線圈之磁場的平方之梯度。第10圖指出了,磁壓與離子密度分佈的改變之間有相當的關聯性。
第11圖至第14圖繪示了該方法的應用。第11圖繪示晶圓表面的蝕刻速率空間分佈之不均勻度或標準差(縱軸)如何隨著頂上線圈中之一者的線圈電流改變。在無線圈電流時,標準差約為12%,及第12圖中顯示了離子分佈為中央較高。
在約17安培的線圈電流時,可達到約3%的最低不均勻度。這表示約4倍的改進(即,蝕刻速率分佈的標準差盪12%至3%)。第13A圖顯示了蝕刻速率分佈的實際值或測量值,而第13B圖顯示了使用第9圖的技術時所預測的蝕刻速率分佈。
在35安培的高線圈電流時,蝕刻速率標準差約為14%。第14A圖顯示了蝕刻速率空間分佈的測量值,而第14B圖顯示了分佈的預測值。
請再參閱第13A圖,所獲得的最均勻離子分佈顯然不是最平坦的,且事實上其形狀為碗形,在靠近周圍時係成凹面,而靠近中央時則會凸面。在使用較多的獨立頂上線圈(例如,三個或更多)時,電流之最佳值的施加可帶來較大解晰度及較佳均勻度的結果。因此,該反應器不受限於僅具有二線圈的狀況。該反應器應可以使用低於二個或至少二個的頂上線圈來進行實作,以獲得不同的結果。
可應用相同的方法,以控制頂蓋表面的電漿離子密度分佈或蝕刻速率分佈。這樣的措施在(例如)反應器室淨化操作期間是有用的。第15圖繪示第9圖之方法的態樣,其中離子密度(或蝕刻速率)之空間分佈的均勻度可最佳化。第15圖的步驟(即方塊910’,920’,930’,940’,950’及960’)與第9圖的步驟(即方塊910,920,930,940,950及960)是相同的,除了第15圖的步驟在頂蓋平面上實行,而非在晶圓平面:第一步驟(第15圖之方塊910’)為,針對各頂上線圈60,65,分析地判定頂蓋表面之磁場的表示式,其係晶圓表面之線圈及徑向位置之電流的函數。使用圓柱座標,對於第i個線圈,其表示法可寫成Bi (r,z=頂蓋,Ii )。可由簡單靜電磁場方程式判定之,且其不僅是線圈電流Ii 及頂蓋表面之徑向位置r的函數,而且還是某些常數的函數,例如,線圈半徑及線圈與頂蓋內部表面之間的距離,z=頂蓋。
下一步驟(第15圖之方塊920’)會在無電流流經該等頂上線圈60,65之時實行。在這個步驟中,可測得電漿離子密度在頂蓋表面上的空間分佈。該空間分佈可寫成n(r,z=頂蓋)。在這個步驟中,可藉由習用探針或其他的間接技術,而測量出電漿離子密度分佈。
接著,在方塊930’的步驟中,可判定對於在上一步驟中測得之電漿離子密度空間分佈函數n(r,z=頂蓋)的校正c’(r)。(應注意的是,此處所用的上標’,係為了分辨第15圖與上述之第9圖的數值,在本文中並不代表導函數。)可以任何適當方法來定義該校正c’(r)。例如,可定義為最大值n(r,z=頂蓋)m a x 減去n(r,z=頂蓋)。依此方式,將c’(r)加入n(r,z=頂蓋),可獲得經校正的分佈,其均勻振幅等於n(r)m a x 。當然,校正函數c’(r)可以不同方式定義,而產生不同的均勻振幅。亦,若期望特殊的不均勻分佈,則其校正為未經校正或周圍的電漿分佈n(r,z=頂蓋)與期望的分佈之間之差。因此,該方法可用來建立期望的電漿離子分佈(其具有特殊的不均勻樣態)或建立均勻的電漿離子密度分佈。
下一步驟(方塊940’)為,針對各頂上線圈60,65來選擇一測試電流Ii,且施加該電流予適當的線圈,且測量所得的電漿離子分佈,其中該所得的電漿離子分佈可寫成n(r,z=頂蓋)t e s t 。藉由減去當磁場存在及不存在之時所測得的離子分佈,即可獲得離子分佈的改變△n(r):△n’(r)n(r,z=頂蓋)-n(r,z=頂蓋)t e s t
下一步驟(方塊950’)為,計算一比例係數S’,其中壓力(其係磁場所施加,即磁壓)梯度與離子分佈的改變△’n(r)有關。將該磁壓除以△n’(r),即可執行這個計算。可根據磁流體動力學之方程式,而針對各線圈來個別計算第i個線圈之磁場B(r,z=頂蓋,Ii )的磁壓梯度:▽r P-▽r [B(r,z=頂蓋,Ii )2 /2μ0 ]其中下標r表示徑向分量。因此,針對各線圈所個別獲得的結果,可加總在一起。因此,總磁壓梯度為:-▽ri [B(r,z=頂蓋,Ii )2 /2μ0 ]}
因此,該比例係數S為:S’={-▽ri [B(r,z=頂蓋,Ii )2 /2μ0 ]}}/△n’(r)
在方塊950’之步驟中所發現的比例係數S’,為判定磁壓與所得離子分佈改變的線圈電流Ii 之間的連結。特別的是,給定一組線圈電流Ii ,則可藉由乘上磁壓而計算出對應的離子分佈n’(r)之變化,其中該磁壓由該組Ii 而藉由比例係數S’來判定:△n’(r)={-▽ri [B(r,z=頂蓋,Ii )2 /2μ0 ]}}/S’
這個事實可提供基礎予下一步驟(方塊960’),其中一電腦(例如,微處理器91)可使用上述方程式,來搜尋一組線圈電流Ii ,其可產生最接近預先指定或期望的電漿離子密度分佈之改變△n’(r)的最佳近似值。在這個狀況中,期望的改變等於在方塊930’之步驟中所計算的校正函數c’(r)。即,電腦會搜尋可滿足以下條件的一組線圈電流Ii :{-▽ri [B(r,z=頂蓋,Ii )2 /2μ0 ]}}=c’(r)S’
該搜尋之實行可藉由習知的最佳化技術,其涵括(例如)急遽遞減法。熟習該項技藝者可輕易地實行此類的技術,故不需在此贅述。
藉由搜尋所發現的該組線圈電流Ii 之大小及極性會接著傳送至該控制器90,其會接著施加該等電流至個別線圈60,65。
經由唯一頂上線圈時,該裝置可用以令晶圓處或頂蓋處的電漿離子分佈均勻性最佳化,但無法同時達成該二處的最佳化。經由至少二頂上線圈(例如,頂上線圈60及65)時,電漿離子分佈均勻性可同時在晶圓處及頂蓋處達到幾近最佳化。
使用該等頂上線圈來引導電漿:
我們已發現可以一方式選擇線圈電流Ii ,俾以引導電漿朝向該頂蓋及/或側壁移動,或引導電漿朝向該晶圓表面移動。亦可使用與第9圖之方法相似的方式,來選擇該線圈電流Ii ,俾以增進頂蓋表面處之電漿密度分佈的均勻性。因此,可在晶圓處理期間集中電漿,及接著在淨化期間令電漿集中於頂蓋及/或側壁。因此,藉由將電漿集中在該頂蓋,即可縮短淨化時間。
在一範例中,會藉由控制器90施加-17.5安培之電流於該內線圈60及施加+12.5安培之電流於該外線圈65,而引導電漿前往該反應器室的側壁。第16圖繪示反應器室內部之徑向部份,其係由零半徑往該室周圍而沿著橫軸延伸,及由該晶圓表面往該頂蓋而沿著縱軸延伸。當藉由控制器90施加-17.5安培之電流於該內線圈60,及+12.5安培之電流於該外線圈65,而引導電漿朝向該室內側壁前進之時,第16圖的小型箭頭代表磁場在該室內不同位置的大小及方向。第17圖繪示晶圓表面之磁場的平方對應梯度,其係徑向位置的函數。
在另一範例中,會藉由控制器90施加-12.5安培之電流於該內線圈60及施加+5安培之電流於該外線圈65,而引導電漿前往該反應器室的頂部。第18圖繪示反應器室內部之徑向部份,其係由零半徑往該室周圍而沿著橫軸延伸,及由該晶圓表面往該頂蓋而沿著縱軸延伸。當藉由控制器90施加-12.5安培之電流於該內線圈60,及+5安培之電流於該外線圈65,而引導電漿朝向該室內側壁前進之時,第18圖的小型箭頭代表磁場在該室內不同位置的大小及方向。第19圖繪示晶圓表面之磁場的平方對應梯度,其係徑向位置的函數。
在另一範例中,會藉由控制器90施加-25安培之電流於該內線圈60及施加+2.75安培之電流於該外線圈65,而引導電漿沿著由該頂蓋中央往該側壁的磁場方向移動。第20圖繪示反應器室內部之徑向部份,其係由零半徑往該室周圍而沿著橫軸延伸,及由該晶圓表面往該頂蓋而沿著縱軸延伸。當藉由控制器90施加-25安培之電流於該內線圈60,及+2.5安培之電流於該外線圈65,而引導電漿朝向該室內側壁前進之時,第20圖的小型箭頭代表磁場在該室內不同位置的大小及方向。第21圖繪示晶圓表面之磁場的平方對應梯度,其係徑向位置的函數。
第17圖顯示出,當引導電漿前往邊緣時,電漿的高正磁壓會施加在靠近該反應器室的邊緣。第19圖顯示出,當引導電漿前往該頂蓋的邊緣時,電漿的低磁壓會施加在靠近該反應器室的邊緣。第21圖顯示出,當磁場線由該頂蓋往邊緣延伸之時,低負壓會出現在該反應器室的邊緣。
因此,可選擇頂上線圈50,65中的電流,以引導電漿前向該反應器室中的不同位置(其需要淨化,例如其頂蓋及側壁)。或者,電漿可集中在更靠近晶圓之處。為了將電漿引導至該晶圓處或該頂蓋處,或為了根據某引導比值SR而將電漿分配於該晶圓與該頂蓋之間,則可實行第22圖中所繪示的方法。
參照第22圖,第一步驟(第22圖之方塊2210)為定義該室內之磁場的分析模型,其係頂上線圈(例如,該對線圈60,65)中之所有線圈電流的函數。熟習該項技藝者可使用靜電磁場方程式,輕易地完成該定義,在此不需另加贅述。該磁場係來自各線圈之個別磁場的總和。個別磁場中的各者係以下各者的函數:個別線圈的直徑、各線圈的位置、線圈中之電流及該室內之位置。因此,第i個線圈所產生的磁場可寫成:B(x,y,z,Ii )是故總磁場為:Σi {B(x,y,z,Ii )}
下一步驟(方塊2220)為選擇一組磁場,其中該組磁場可滿足一組期望的處理條件。例如,為了引導電漿前往該頂蓋,會選擇可對該電漿產生磁壓而將該電漿推往該頂蓋之一磁場,如第18圖之範例所繪示般。為了將電漿引導為朝向側壁前進,可選擇對該電漿流生一磁壓而將該電漿推往周圍之一磁場,如第16圖所繪示般。
對於方塊2220之步驟中所定義的各磁場(其可滿足特殊條件)而言,一電腦會為了在方塊2210之步驟所定義的模型而搜尋一組線圈電流,其中該組線圈電流可產生期望的磁場。這是方塊2230之下一步驟。在方塊2230之步驟中所發現的各組電流,會與記憶位置中的對應條件之名稱一起進行儲存,其中該記憶位置與對應的處理條件相關(第22圖之方塊2240)。當選擇一特殊的處理條件(例如,將電漿引導至該頂蓋)之時,該微處理器91會接著由對應之記憶體位置,取得該組電流值(方塊2250),及接著令該等對應電流施加於合適的線圈(方塊2260)。
第23圖顯示如何將微處理器91程式化以回應使用者之輸入。首先做一判定:該處理是否包括晶圓表面的蝕刻(方塊2310)及無論該程序是否包括淨化(蝕刻)該頂蓋(方塊2320)。若僅該晶圓將進行蝕刻,則會引導該電漿往該晶圓前進(方塊2330),及該晶圓表面的電漿分佈均勻性會使用第9圖的方法而達到最佳(方塊2350)。若該頂蓋進行淨化且同時該晶圓必須進行蝕刻,則該電漿密度會分配在該頂蓋與該晶圓之間(方塊2360),且如同第9圖般,晶圓表面處的電漿密度均勻性會最佳化,及如同第15圖般,該頂蓋處者會最佳化(方塊2370)。若僅該頂蓋將進行淨化,則會引導該電漿往該頂蓋前進(方塊2380),且該頂蓋處的電漿密度均勻性會最佳化(方塊2390)。
與VHF頂上電極一起使用:
第24圖繪示該內部及外部線圈60,65與一電容耦合之反應器組合在一起的方法,該反應器具有經由一固定調諧短線而連接至一VHF電漿來源發電機之一頂上電極。此類的反應器見於美國專利申請案序號10/028,922(申請日2001/12/19,發明人Daniel Hoffman等人,名稱“Plasma Reactor with Overhead RF Electrode Tuned to the Plasma”,讓渡予本發明受讓人),該文獻以引用的方式併入本文中。
參照第24圖,一電漿反應器包括一反應器室100,其底部之晶圓支架105會支撐一半導體晶圓110。在示範性實作中,一製程工具組包括一導電或半導電環115,其藉由一介電環120而支撐在一接地室主體127上。該室100之頂部被一碟狀頂上導電電極125所界定,該電極125藉由一介電密封墊130而被支撐距離該接地室主體127上之晶圓110上方一溝隙長度。在一實作中,該晶圓支架105可依垂直方向移動,是故該溝隙長度可改變。在其他的實作中,該溝隙長度可以是固定的預設長度。頂上電極125可以是使用類金屬材料(例如,矽或碳化矽)來覆蓋在其內表面上之金屬(例如,鋁),或其本身可以是類金屬材料。一RF發電機150可施加RF電力於該電極125。來自該發電機150的RF電力,會經由一同軸電纜162且進入一同軸短線135而產生耦合,其中該同軸電纜162匹配至該發電機150,且該同軸短線135連接至該電極125。該短線135具有一特徵阻抗,並且提供在該電極125與該同軸電纜162或與該RF發電機150的輸出之間一共振頻率,這在下文中會做完整的描述。該室的主體會連接至該RF發電機150的RF回傳(RF地線)。由該頂上電極125至RF地線的RF路徑,會因介電密封墊120的電容量及因介電密封墊130的電容量而產生影響。該晶圓支架105,晶圓110及該製程工具組之導傳或半導電環115,會針對施加於該電極125的RF電力,而提供主要的RF回傳路徑。
在第1A圖的狀況中,該內線圈60會小於該外線圈65的直徑之一半,且所處平面與該室的距離大於與該外部線圈的距離。該外線圈65位於或靠近該電極125頂部的平面上,而該內線圈60則恰位於該電極125的上方。如第1圖中的狀況般,可藉由電漿引導控制器90來管理該等線圈60,65的電流供應70,75,而控制該等線圈60,65中的直流電流。
在一示範狀況中,關於RF回傳或地線而測量的頂上電極組件126(其包括有該電極125、該製程工具組115,120及該介電密封墊130)之電容值係180微微法拉。該電極組件電容值會因該電極面積、該溝隙長度(即晶圓支架與頂上電極間之距離)而受影響,及因會影響雜散電容的因素(特別是密封墊130及介電環120的介電值)而受影響,這會依次因所用之材料的介電常數及厚度而受影響。更為常見的是,該(未註明數量的)電極組件126的電容值,會如下述般,等於或幾乎等於在特定來源電源頻率、電漿密度及操作壓力時電漿之負電容的大小(為一複數)。
許多影響上述關係的因素,大部份都因以下事實而預設:反應器的執行所需之電漿製程需求,晶圓尺寸,及欲在晶圓上均勻實行之處理的需求。因此,該電漿電容值係電漿密度及來源電源頻率的函數,而該電極電容值係晶圓支架至電極之溝隙(高度),電極直徑,及該組件之絕緣體介電值等的函數。電漿密度,操作壓力,溝隙及電極直徑,必須滿足該反應器欲執行之電漿製程的需求。特別的是,離子密度必須界於某一範圍以內。例如,矽及介電電漿蝕刻程序大致上需要界定在109 至101 2 離子/立方公分的電漿離子密度之範圍內。該晶圓電極溝隙會針對8吋晶圓而提供最適之電漿離子分佈均勻性,例如若該溝隙為約2吋時。該電極直徑較佳為至少與該晶圓之直徑一般大(若未大於該直徑的話)。同樣地,針對典型蝕刻及其他的電漿製程,操作壓力亦具有實際的範圍。
然而現今已發現,仍可選擇其他的因素,以達成上述的較佳關係,特別是針對頂上電極組件126之來源頻率的選擇及電容值的選擇。在上述加諸於電極的尺寸限制及加諸於電漿的限制(例如,密度範圍)中,若選擇該來源電源頻率為一VHF頻率,且若適當地選擇電極組件126之絕緣零件的介電值時,則該電極電容值可與電漿的負電容大小匹配。這樣的選擇可在來源電源頻率與電漿電極共振頻率之間,達成或幾乎達成一匹配。
因此,在一示範狀況中,對於8吋晶圓而言,該頂上電極直徑約為11吋,該溝隙約為2吋,該電漿密度及操作壓力典型上為如上述般用於蝕刻製程,該VHF來源電源頻率係210MHz(雖然其他的VHF頻率亦是同等有效的),及該來源電源頻率,該電漿電極共振頻率,及該短線共振頻率,皆可匹配或幾乎匹配。
更特別的是,該三頻率會彼此稍有偏差,該來源電源頻率係210MHz,該電極電漿共振頻率係約200MHz,及該短線頻率係約220MHz,俾以達成有助於降低系統Q之調諧的效果。系統Q此類的降低令該反應器效能較不易因該室內之條件改變而被影響,是故整體製程會大為穩定,且可在較寬的製程適用範圍中實行整體製程。
現行的較佳模式具有的室及墊座直徑,其是適用以適應以下各者:12吋直徑的晶圓,約1.25吋的晶圓至頂蓋溝隙,及162MHz的VHF來源電源頻率(而非上述的210MHz)。
該同軸短線135係一特別地配置的設計,其可再促進整體系統的穩定度、其寬大的製程適用範圍性能、及其他許多有用的優點。其包括一內部圓柱狀導體140及一外部同心圓柱狀導體145。一絕緣體147(以第24圖之陰影斜線所標示者)會填塞在該內部與外部導體140,145之間的空間,該絕緣體147具有(例如)其值為1的相對介電常數。該內部及外部導體140,145可以由(例如)塗有鎳的鋁所形成。在一示範狀況中,該外部導體145的直徑約為4吋,及該內部導體140的直徑約為1.5吋。該短線的特徵阻抗可藉由內部及外部導體140,145的半徑及該絕緣體147的介電常數來判定。上述狀況的短線135具有65的特徵阻抗。更為普遍的是,該短線特徵阻抗會高出來源電源輸出阻抗約20%至40%,及較佳為約30%。該短線135具有約29吋的軸長(220MHz的半波長),俾以在220MHz左右具有共振,以大致上匹配,而稍微由210MHz之VHF來源電源頻率產生偏移。
一分接頭160設置於沿著該短線135之軸向長度的特定點之處,用以供應來自該RF發電器150的RF電力予該短線135,下文將詳述之。該發電器150的RF電源端150b及RF回傳端150a會在該短線135上的分接頭160之處,分別連接到該內部及外部的同軸短線導體140,145。經由一發電器至短線的同軸電纜162可依習知方式完成該等連接,其中該同軸電纜162具有可與發電器150之輸出阻抗(典型上為50)匹配的特徵阻抗。該短線135之遠端135a處的終止導體165,可令該內部及外部導體140,146一起產生短路,是故該短線135在其遠端135a會產生短路。在該短線135的近端135b(未短路的一端),該外部導體145會經由一環狀導體外殼或支架175而連接至該室主體,而該內部導體140會經由一導體圓柱體或支架176而連接至該電極125中央。一介電環180會夾在該導體圓柱體176與該電極125之間,且分離該二者。
該內部導體140可提供多用途的導線管,例如,用於處理氣體及冷卻劑。與典型的電漿反應器不同的是,該特徵之主要優點為,該氣體管線170及該冷卻劑管線173不會跨越很大的電位差。因此,為此目的其可由金屬、較便宜且可信度更高的材料所製成,來建構該導體140。該金屬氣體管線170將氣體出口172饋入或相鄰於該頂上電極125,而該金屬冷卻劑管線173則將冷卻劑通道或護罩174饋入該頂上電極125內。
藉此,可藉由該特殊配置的短線匹配來提供一主動且共振的阻抗變換,其中該短線匹配位於該RF發電器150、與該頂上電極組件126及處理電漿負載之間,而將反射功率降到最低,且可提供極寬的阻抗匹配空間,其可適應該負載阻抗的寬廣變化。是以,可以提供寬廣的處理視窗及製程彈性,以及先前使用電力無法獲得的效能,這皆在將典型阻抗匹配裝置所須減到最小時或避免該裝置所須之時發生。如上述,該短線共振頻率亦會由理想匹配偏移,以進一步加強整體系統Q,系統穩定度,及製程適用範圍與多程序耐受力。
令電極電漿共振頻率與VHF來源電源頻率產生匹配:
如上文之概述,一主要特徵為,配置頂上電極組件126,以為了與電極電漿共振頻率達成共振,及為了令該來源電源頻率與該電極電漿頻率達成匹配(或接近匹配)。該電極組件126具有一優勢容抗,而該電漿電抗係頻率,電漿密度與其他參數的複合函數。(下文將會更詳細地描述,會就電抗而分析一電漿,其中電抗是一個涵括想像範疇之複和函數且大致上對應於負電容相對應。)藉由該電極組件126的電抗及該電漿的電抗,可判定該電極電漿共振頻率(類似於藉由電容器及電感器的電抗所判定的電容器/電感器共振電路之共振頻率)。因此,該電極電漿共振頻率不一定是來源電源頻率,取決於該電漿密度。因此,這個問題即在給定電漿密度及電極尺寸之特殊範圍的實際限制之拘束時,去找出電極電漿共振頻率會等於或幾近等於該來源電源頻率時之電漿電抗的來源電源頻率。這個問題甚至會更形困難,因為電漿密度(其將影響電漿電抗)及電極尺寸(其將影響電極的電容值)必須符合某些製程限制。更具體的是,對於介電及導體的電漿蝕刻程序而言,電漿密度應在109 至101 2 離子/立方公分的範圍內,這亦限制了電漿電抗。再者,針對處理8吋直徑之晶圓而言,可藉由(例如)約2吋的晶圓至電極之溝隙或高度,及晶圓直徑等級或更大的電極直徑,來實現更均勻的電漿離子密度分佈,而這亦是對電極電容值的一項限制。在另一方面而言,不同的溝隙會用在12吋直徑的晶圓。
因此,藉由令該電極電容量匹配於(或幾乎匹配於)該電漿之負電容量的強度,該電極電漿共振頻率與來源電源頻率至少可達到幾乎匹配。對於上述的一般導體與介電蝕刻處理條件(即,109 -101 2 離子/立方公分的電漿密度,2吋的間隙及約11吋等級的電極直徑)而言,若該電源頻率係VHF頻率,則該匹配係可行。其他的條件(例如,不同的晶圓直徑,不同的電漿密度等)應指定一不同的頻率範圍,於是在完成該反應器的特徵時,可達成這樣的匹配。在下文中亦將提及,在包括介電與金屬電漿蝕刻及化學氣相沉積的數個主要應用中,於用以處理8吋晶圓的有利電漿處理條件之下,在具有上文曾提及之電漿密度的典型工作範例中,該電漿之電容量係在-50與-400微微法拉第之間。在一示範狀況中,該頂上電極組件126的電容量應藉由使用直徑為11吋的電極、約2吋的溝隙長度(電極至柱腳之間隔),而針對介電常數為9且厚度為1吋等級的密封墊130,與針對介電常數為4且厚度等級為10公厘的介電環120,來分別選擇一介電材料,來匹配於該負電漿電容量的強度。
該電極組件126與電漿的組合會以一電極-電漿共振之頻率產生共振,其中該電極-電漿共振頻率至少幾近於匹配施加於該電極125的來源電源頻率,假設其電容值的匹配皆如上述般。我們發現對於較有利的蝕刻電漿處理方法,環境及電漿而言,該電極-電漿共振頻率及來源電源頻率皆可匹配於或幾近匹配於VHF頻率;且發現到實作這樣的頻率匹配或幾近匹配是有極有助益的。在一示範狀況中,與上述之電漿負電容值相對應的電極-電漿共振頻率,幾近於200MHz,如下文詳述般。該來源電源頻率為210MHz的幾近匹配,其中該來源電源頻率會稍微高於該電極-電漿共振頻率,俾以實現下文所述的其他優點。
除了其他事物以外,該電漿電容值亦為電漿電子密度的函數。這有關於維持在大致上為109 至101 2 離子/立方公分之範圍所需的電漿離子密度,俾以提供良好的電漿處理條件。密度,與來源電源頻率及其他參數,皆可判定電漿負電容值,因此,該密度之選擇會因使電漿處理條件最佳化之需求而受限,這在下文會詳細描述。但是,該頂上電極組件的電容值會因許多的物理因素而受影響,例如,溝隙長度(電極125與晶圓間之距離)、電極125的面積、對於介電密封墊130之介電耗損正切的範圍、位於電極125與接地室主體127之間的介電密封墊130之介電常數的選擇、對於製程工具組之介電密封墊130的介電常數之選擇、及介電密封墊130與120的厚度及介電環180之厚度及介電常數。這可允許該電極組件電容值經由上述選擇及其他會影響頂上電極電容值的物理因素,而做出些許調整。我們已發現,這個調整的範圍足以達成令該頂上電極組件電容值與該負電漿電容值之大小產生匹配的必要程度。特別的是,會為了提供期望的介電常數及結果介電值,而選擇用於密封墊130及環120的介電材料及大小。儘管某些影響電極電容值的相同物理因素(特別是溝隙長度)會因下列實例而規定或受限,仍可接著令該電極電容值與該電漿電容值達成匹配,該等實例係:操縱較大直徑之晶圓的需求,伴隨著在晶圓整個直徑上之電漿離子密度的良好均勻分佈而進行,及對離子密度及離子能量的良好控制。
針對電漿電容值及頂上電極電容值的匹配而給定上述的範圍,在一來源電源頻率為210MHz時,該電極-電漿共振頻率為幾近於200MHz。
依此方式選擇電極組件126之電容值,且接著令所得之電極-電漿共振頻率與來源電源頻率達成匹配的大優點為,電極與電漿靠近來源電源頻率的共振頻率可提供較寬的阻抗匹配及較寬的製程適用範圍、及接著對於製程條件改變之較大免疫力、與因此較大的效能穩定性。整個處理系統會較不易因操作條件的變動(例如,電漿阻抗的改變)而受影響,及因此可具有較大範圍之製程適用性,而更增可靠程度。如下文將討論的,可藉由該電極-電漿共振頻率與該來源電源頻率之間小幅地偏移,來進一步強化該優點。
第25圖繪示該內部及該外部線圈60,65與一電容耦合之反應器組合的方法,該反應器具有經由一固定調諧短線而連接至一VHF電漿來源發電器之一頂上電極,且具有MERIE電磁鐵環繞於周圍。此類的反應器見於美國專利申請案序號10/028,922(申請日2001/12/19,發明人Daniel Hoffman等人,名稱“Plasma Reactor with Overhead RF Electrode Tuned to the Plasma”,讓渡予本發明受讓人),該文獻以引用的方式併入本文中。
參照第25圖,一VHF電容耦合之電漿反應器包括在第1A圖之反應器中所發現的以下元件:一反應器室100,其底部之晶圓支架105會支撐一半導體晶圓110。在示範性狀況中,一製程工具組由一半導電環或導電環115組成,該環115藉由一介電環120而支撐在一接地室主體127上。該室100之頂部被一碟狀頂上鋁電極125所界定,該電極125藉由一介電密封墊130被支撐為距離該接地室主體127上之晶圓110上方的溝隙長度。頂上電極125亦可以是使用類金屬材料(例如,矽或碳化矽)來覆蓋在其內表面上之金屬(例如,鋁),或其本身可以是類金屬材料。一RF發電機150可施加RF電力於該電極125。來自該發電機150的RF電力,會經由一同軸電纜162且進入一同軸短線135而產生耦合,該同軸電纜162會匹配至該發電機150,該同軸短線135則連接至該電極125。該短線135具有一特徵阻抗、一共振頻率,且在該電極125與該同軸電纜162/RF發電機150之間提供一阻抗匹配,這在下文中會做完整的描述。該室的主體會連接至該RF發電機150的RF回傳(RF地線)。由該頂上電極125至RF地線的RF路徑,會因製程工作組的介電環120及介電密封墊130的電容量而受影響。該晶圓支架105,晶圓110及該製程工具組之半導電環(或導傳)115,會針對施加於該電極125的RF電力,而提供主要的RF回傳路徑。
在第1A圖的狀況中,該內線圈60會小於該外線圈65的直徑之一半,且所處平面與該室的距離大於與該外部線圈的距離。該外線圈65位於或靠近該電極125頂部的平面上,而該內線圈60則恰位於該電極125的上方。如第1圖中的狀況般,可藉由電漿引導控制器90來管理該等線圈60,65的電流供應70,75,而控制該等線圈60,65中的直流電流。
藉由引用一組MERIE電磁鐵902,即可達成改良的電漿密度分佈均勻性,其中該組MERIE電磁鐵902係以一定距離環繞該晶圓支架墊座的周圍,且位於該反應器室的外側(如第7圖及第8圖所示般)。該MERIE磁鐵用以大致在該晶圓支架墊座的表面上方,製造一緩慢繞著該圓柱狀室之對稱軸旋轉的磁場。在一狀況中,該特徵可藉由多個MERIE磁鐵902來實現,其中該等MERIE磁鐵902具有對著個別軸而繞之電磁繞捲線圈,該個別軸係相切於該晶圓支架墊座圓周。在這個狀況中,一MERIE電流控制器904可控制流往各MERIE磁鐵的個別電流。一循環磁場會藉由控制器904提供流往個別磁性繞捲線圈之各者的個別交流電流,而在工作部件支架所在平面產生,該個別交流電流具有相同頻率但相位偏移為90°(或360°除以MERIE磁鐵個數之度數的相位偏移)。在另一狀況中,可藉由支持所有MERIE磁鐵的支持框架1020(虛線),來實現旋轉磁場的特徵,其中該等MERIE磁鐵藉由一轉子1025(虛線)而繞著對稱軸而旋轉。在該另一狀況中,該等MERIE磁鐵為永久磁鐵。
亦可設置第二陣列的MERIE磁鐵906(以虛線顯示),其中該等MERIE磁鐵906以一致的距離環繞該工作部件或晶圓支架墊座,但位於較第一組之MERIE磁鐵902更高的平面上。該兩組磁鐵位於靠近該工作部件支架所在平面的個別平面中。
該控制器910會施加一低頻(0.5-10 Hz)的交流電流到各電磁鐵902,906,施加到相鄰磁鐵之電流的相位會如上述般地偏移90°。這個結果是產生會以該交流電流的低頻率,繞著工作部件支架之對稱軸進行旋轉的磁場。該磁場可令電漿受靠近該工作部件表面的磁場吸引,且繞著該磁場而環行。這會攪動電漿,因此其密度分佈會變得更為均勻。結果,反應器的效能會大幅提升,因為在晶圓的整個表面上可獲得更均勻的蝕刻效果。
頂上電極與氣體分佈板的組合:
我們期望能由頂上頂蓋饋入該處理氣體,以增進該反應器室內的氣體分佈均勻性。因此,在第24圖及第25圖之狀況中的該頂上電極125,可以是氣體分佈噴頭,且因此在其面對該工作部件支架105的底部會具有較多的氣體注入部份或小孔300。在一示範狀況中,該等孔洞的直徑在0.01與0.03吋之間,且其中心會以約3/8吋的距離均勻相隔。
該頂上電極/氣體分佈板125(下文中稱作為氣體分佈板125)對電弧有較佳的抗性。這可歸因於一電弧抑制特製件的使用,該特製件由各開口或孔洞300的中央排除處理氣體及/或電漿。該電弧抑制特製件係位於該等孔洞300中央的一組中央片狀物或盤狀物302,其分別以圓柱狀指狀物或細棒303的一端支撐,如同第26圖的剖面圖及第27圖的放大剖面圖所示般。在典型的氣體分佈板內的起弧放電,易於發生在靠近該氣體注入孔的中央。因此將該中央片狀物302設置於各孔洞300的中央,可避免處理氣體到各孔洞300的中央,及因此而降低了起弧放電發生的可能性。如第28圖的平面圖所示般,該孔洞300之中央片狀物302的引用,可將非圓形的開口或孔洞300轉變為環狀開口。
參照第29A圖,具有改良起弧抑制的氣體分佈板125,會構成一遮蓋1402及一基座1404。該基座1404係一圓盤板1406,其具有穿透而形成的多個氣體注入開口,該圓盤板1406由一內部肩狀側壁1410的環狀壁1408環繞之。該遮蓋1402亦可以是一圓盤板。該碟狀物302係該圓柱狀指狀物303一端的切面,該指狀物303附著於該遮蓋1402的底面上且由其向下延伸。該遮蓋1402的外緣可擱在該基座1404的肩狀側壁1410,以在該遮蓋1402與該基座1404之間形成一氣體歧管1414(第26圖)。處理氣體會由該遮蓋1402中央的氣體入口1416流入該歧管1414。
接觸該反應器室之處理氣體或電漿的部份氣體分佈板125,可由外層塗有半導體製程相容材料(例如,碳化矽)的金屬(例如,鋁)所形成。在該範例中,除了該遮蓋1402的頂部表面以外,氣體分佈板的所有表面皆覆蓋以一層的碳化矽塗層1502,如第29B圖的部份放大剖面圖所示般。第30圖中,該遮蓋1402的鋁製頂部表面與一溫控構件1520接觸,該溫控構件1520可以是水冷式的,其藉由內有因一熱交換器1524而產生循環之冷卻劑的多個水套1522而進行冷卻,是故該氣體分佈板125的導熱鋁材料會具有受控制的溫度。或者,如第31圖所示般,該等水套位於該氣體分佈板125內。
然而,對碳化矽塗層1502而言,為了具有相同的受控溫度,必須在碳化矽塗層與鋁之間具有一導熱黏著劑。否則,碳化矽塗層的溫度會不受控制地升降。為了達成該氣體分佈板125的鋁材料與碳化矽塗層間之良好熱傳導,會在該鋁製的氣體分佈板與碳化矽塗層1502之間形成一聚合物黏著層1504,如第29A圖所示般。第29A圖顯示,聚合物黏著層1504位於該碳化矽塗層1502與鋁基座1404之間。該聚合物黏著層可在鋁與碳化矽塗層1502之間提供良好的導熱性,是故可藉由該熱交換器1524控制該塗層1502的溫度。
第32圖,第33圖及第34圖繪示修改第29A圖的氣體分佈板125以提供雙區氣體流控的方法。這樣的特徵可用以藉由選擇補助的處理氣體分佈,來幫助校正蝕刻速率或沈積速率的空間分佈,其中該空間分佈可能是中央較高或中央較低的。具體的是,一環狀分隔或壁1602可將該氣體歧管1414分為一中央歧管1414a及一外部歧管1414b。除了饋入中央歧管1414a的中央饋氣管1416以外,位於氣體分佈板125的中央及周圍之間的另一饋氣管1418,亦會饋入外部歧管1414b。一雙區控制器1610會分配來自一處理氣體供應1612的氣體,該處理氣體供應1612位於內部與外部饋氣管之間。第35圖繪示氣閥1610的實作,其中一連通導片1618會控制流入氣體分佈板的內部及外部歧管1414a,1414b之氣體的相對量。人工智慧的流量控制器1640可管理該導片1618的位置。在第36圖所繪示的另一實作中,一對氣閥1651,1652可針對該室內的個別徑向區域,來執行個別的氣流控制。
第37圖繪示一狀況,其中氣體分佈板125具有三個氣流區,該歧管1414會藉由內部及外部環狀隔間1604,1606,而分隔為三歧管1414a,1414b及1414c。三個個別饋氣管1416,1418,1420可提供流入個別歧管1414a,b,c的氣體。
儘管本說明書至此所描述的多樣狀況為具有一對的頂上線圈60,65,第37圖顯示二個以上的頂上線圈的存在。誠然,第37圖的狀況會繪示為具有三個同心的頂上線圈或線圈60,64,65。藉由增加獨立控制的頂上線圈之數目,可增加解晰度,其中解析度校正了處理的不均勻度。
第34圖及第37圖的多區氣體分佈板享有之優點為,可靈活控制工作部件之內部及外部處理區之間的氣體分配。然而,自定氣體的另一方式是,藉由在不同半徑的氣體分佈板125設置不同大小的氣體注入孔,而不停地執行以上方法。例如,若該反應器傾向於展現中央較高的空間蝕刻速率分佈,則藉由在中央使用較小的氣體注入孔300及在周圍附近使用較大者,而在該室中央應供應較少的氣體且在周圍則供應較多的氣體。這樣的氣體分佈板繪示於第38圖的平面圖中。對於中央較低的蝕刻分佈,可利用第39圖所繪示的相反孔洞設置。
第9圖之反應器中的電漿引導:
參照第11圖至第14圖的上述之電漿引導,可在第9圖的狀況中執行。藉由施加-13安培的電流於內線圈60及+1.4安培的電流於外線圈65,可產生指向側壁的磁場。藉由施加-13安培的電流於內線圈60及+5.2安培的電流於外線圈65,可產生指向頂蓋或電極125之周圍的磁場。藉由施加-13安培的電流於內線圈60及+9.2安培的電流於外線圈65,可在側壁處產生強烈的磁場。我們發現在淨化期間,藉由以上述的方式施加指向該頂蓋或電極125周圍的磁場,可提升該室表面的蝕刻速率達40%。
線圈結構:
上述狀況已參照內部及外部線圈60,65完成描述,然亦可利用更多的線圈。例如,第40圖的狀況具有五個頂上線圈4060,4062,4064,4066,4068,各者自身的電流會藉由控制器90而分離地控制。該等線圈4060,4062,4064,4066,4068可位於該頂蓋125上方的相同高度(如第40圖中者),或位於不同高度處。第41圖繪示頂上線圈60,65位於相同高度的狀況。在第41圖中,各線圈60,65的繞捲線圈會在垂直及徑向兩方向堆疊。第42圖及第43圖繪示不同的狀況,其中該等線圈60,65具有往垂直方向及往徑向方向延伸的繞捲線圈。
如先前在本文中參照第1A圖中所討論的,在電漿上用以校正不均勻分佈的磁壓,係與磁場的平方梯度之徑向分量成正比。因此,最有效的措施為利用具有大徑向梯度的磁場,例如,尖端形的磁場。如上述般,尖端形磁場較強的效率會降低某磁壓量所需的磁場強度,藉以降低或消除與強磁場相關的裝置損壞。第44圖繪示之狀況為,藉由分別位於該室上下及下方的一對線圈4420,4440,而產生完全成為尖端形的磁場。在頂線圈4420及底線圈4440中的電流係分別為順時鐘及逆時鐘的。第45圖係由該對線圈4420,4440所產生之完全尖端形磁場的磁場線樣態之簡化圖示。
第46圖繪示的狀況為,會利用習用MERIE反應器4650的四個電磁鐵4610,4620,4630,4640,來產生第45圖中完全成為尖端形的磁場。控制各電磁鐵4610,4620,4630,4640中之電流的電流控制器4660係被程式化,以施加以相同方向(例如,順時鐘)在所有電磁鐵4610,4620,4630,4640中流動的直流電流,如第46圖中之箭頭所指示般。依此方式,頂部導體4610a,4620a,4630a,4640a中的直流電流會形成一順時鐘的電流迴路,底部導體4610b,4620b,4630b,4640b中的直流電流會形成一逆時鐘的電流迴路,而在該陣列的各角落之處,相鄰電磁鐵之垂直導體(例如,該對垂直導體4620c及4630c)中的電流會取消晶圓表面上另一個磁場。淨效應為,與第44圖之狀況類似的,分別在該室的頂部及底部產生順時鐘及逆時鐘的電流迴路,具有與第45圖中繪示之完全尖端形磁場相同的結果。第46圖的反應器可在以下三模式中之任一者下操作:磁壓模式,其中會產生尖端形磁場;正弦波模式,其中會以九十度的相位差,施加四個正弦波電流於四電磁鐵4610,4620 4630,4640,以在晶圓表面上產生緩慢旋轉的磁場;可配置之磁場(configurable magnetic field,CMF)模式,其中該四電磁鐵4610,4620,4630,4640會歸類為相鄰對的相對組,一對具有直流電流及相對之對具有反向直流電流,以產生大致上平直的磁場線,其以關於四磁鐵4610,4620,4630,4640方向的對角線方向而在該晶圓表面上延伸。這樣的歸類會因電流的切換而旋轉,是故磁場會依四個對角線方向而旋轉。該等方向的時間順序繪示在第47A圖,第47B圖,第47C圖及第47D圖中。
在第47A圖中,該等電磁鐵4610,4620具有正的直流電流,而該等電磁鐵4630,4640則具有負的直流電流,及所得的平均磁場方向大致上為,由圖式的左上角往右下角之方向。在第47B圖中,會切換該類群,是故該等電磁鐵4620,4630會具有正電流,而該等電磁鐵4640,4610則具有負電流,及平均磁場為以90°順時鐘旋轉。第47C圖及第47D圖會完成這個循環。藉由所施加的正及負直流電的強度差,可判定磁場強度之線,且其可藉由依需求而將該控制器4560程式化來進行調整。
第9圖之方法可在CMF模式下使用,以準確地選擇該四電磁鐵4610,4620,4630,4640的直流電流,以產生針對不均勻之蝕刻速率或電漿離子密度分佈的最佳校正。應用第9圖之方法於第47A圖至第47D圖的CMF模式之時,各電磁鐵的線圈或多個線圈4610,4620,4630,4640會取代頂上線圈60,65,及第9圖的所有步驟會根據該取代而執行。唯一的差別為,來自各線圈之磁場的計算會計算為經過與第47A圖至第47D圖對應之四個時間週期的平均值。
第48圖繪示包括一特殊格柵4810的反應器,該格柵4810嵌入該抽吸環狀物。該格柵4810由一半導體材料(例如,碳化矽)所形成或由導體材料(例如,鋁)所形成,且具有多個開口4820以允許氣體由該室內經由該抽吸環狀物而抽出。該特殊格柵4810會將電漿由該抽吸環狀物排出,提供所需的保護及製程控制。為此目的,在該徑向平面中之各開口4820內部之間的距離,不應大於該電漿鞘厚度的兩倍。依此方式,若電漿無法穿越該格柵4810,則會非常困難。這會降低或消除在該抽吸環狀物內,電漿與該室表面的反相影響。
第49圖及第50圖繪示一體成形的可移除室內襯裡4910,其與第48圖的電漿侷限格柵4810合併。該襯裡4910會覆蓋部份的反應器室,該部份係下墊該電極125且下襯該晶圓110之區域的徑向外側。因此,該襯裡4910包括一上方水平部份4920(其覆蓋該室頂蓋的外側周圍),一垂直部份4930(其覆蓋該室側壁),及一下方水平部份4940(其包括該電漿侷限格柵4819且覆蓋該抽吸環狀物及與該晶圓110相鄰的環狀物表面)。在一狀況中,該等部份4920,4930,4940中之各者會一起形成為一個整體的碳化矽物4950。該襯裡4910更包括一鋁基座4960,其位於該碳化矽物4950的下方水平部份4940且黏結於其上。該鋁基座4960包括一對向下延伸且較長且細的環狀軌4962,4964,其提供該晶圓支架墊座105下方之室的接地架構元件良好的導電性。
該反應器具有多個溫控元件4972,4974,其與向下延伸之環狀軌4962,4964具有熱接觸,且該反應器亦具有一溫控元件4976,其亦與該垂直側面部份4930具有熱接觸。各溫控元件4972,4974,4976包括冷卻裝置(其包括冷卻劑通道)及加熱裝置(其包括一電熱器)。我們期望可以維持該襯裡4910在夠高的溫度(例如,高至120℉),以最小化或避免聚合物或氟碳化物沈積在該襯裡4910的內表面上。
該襯裡4910可強化製程穩定性,因為該襯裡4910會提供良好的地線回傳路徑。這歸因於一事實,即該碳化矽物4950之內表面的電位是均勻的(該內表面包括該上方水平部份4920,該垂直部份4930及該下方水平部份4940的向內表面)。因此,該襯裡4910會在所有的向內表面提供均勻的RF回傳路徑,以供電力由頂上電極125或由該晶圓墊座105的傳送。其優點之一為,當電漿起伏而令該RF回傳電流分佈集中在該襯裡4910之內表面的不同部份時,呈現在該電流的阻抗會維持極穩定。這個特徵可促進製程穩定性。
第51圖繪示第7圖之狀況的改良,其中該等頂上螺線管60,65會界定與該MERIE磁鐵92,94,96,98之方波樣態對稱之一方波樣態,且特別適用於方形半導體或介電工作部件4910(例如,微影罩幕)的均勻處理。
第52圖繪示第24圖之反應器的態樣,其中該晶圓支架墊座105可上下移動。除了用以控制電漿離子徑向分佈的二頂上線圈60,65以外,在該晶圓支架墊座105之平面下方仍有一底部線圈5210。此外,在該室周圍亦有一外部線圈5220。該外部頂上線圈65及底部線圈5210可令反向直流電流在該室內形成完全的尖端磁場。
此刻,已一併完成對該等頂上線圈60,65與具有頂上頂蓋之反應器的描述(其中該頂蓋作為一頂上來源電源電極及氣體分佈板二者使用),然而該頂蓋亦可以是非氣體分佈板的類型,其處理氣體則以另一習用方式(例如,經由側壁)注入。此外,該等線圈60,65可用於一反應器,其中該來源電源非藉由一頂蓋電極而產生電容耦合。亦,用於該頂上電極的阻抗匹配元件已經被描述為一固定元件,例如一同軸調諧短線。然而,該阻抗匹配元件亦可以是任何合適的或習用的阻抗匹配裝置,例如,習用的動態阻抗匹配電路。
三磁鐵之三模式的電漿分佈控制:
在電漿處理中,例如在電漿強化反應性離子蝕刻中,該磁場會用以增進該半導體晶圓之蝕刻速率徑向分佈的均勻性。在大部份的狀況中,電漿離子密度在晶圓中央會較高,而在晶圓的其他地方會較低,是故蝕刻速率傾向於在晶圓中央較高而在晶圓周圍較低。磁場可藉由內部及外部磁鐵60,65產生,以改變該電漿離子密度的徑向分佈。典型上,期望的效果為降低中央的電漿離子密度及增加晶圓周圍的電漿離子密度。該內部及外部電磁鐵(第1B圖)可用以達成電漿離子密度分佈均勻性的此類改良。一起產生的磁場可藉由分解為二分量來進行分析,例如徑向分量Br (其通量線係與該晶圓平面平行)及軸向分量Bz (其通量線係垂直於該晶圓平面)。該磁場的徑向分量Br 最有助於改變或校正電漿離子密度的徑向分佈(例如,達成蝕刻程序中之蝕刻速率或CVD程序中之沈積的均勻徑向分佈)。然而,僅使用內部及外部電磁鐵60,65對於徑向分量Br 的改變,必定會以徑向分量Br 之改變所規定的方式來改變磁場的軸向分量Bz 。例如,Br 的提升典型上會造成Bz 不可避免的提升。Bz 如此的提升並不受歡迎,也不是我們所期望的。我們發現了對於軸向分量Bz 的控制與降低晶圓上之裝置損壞(例如,歸因於電荷累積,高電場,高誘導電流或電壓),有可緊密的關聯性。在許多狀況中,我們偏好可將該徑向分量Br 最佳化(例如,提升)至所期望的任何程度,而同時亦將軸向分量Bz 減到最小。
參照第53A圖,會藉由該晶圓平面下方的底部電磁鐵401,而增強第1B圖之內部及外部電磁鐵60,65。該控制器90所管理的直流電流供應403,會提供直流電流於該底部電磁鐵401,而該控制器90所管理的電流供應70,75,則會供應電流於該內部及外部的電磁鐵60,65。儘管第53A圖之各電磁鐵60,65,401由單一導體繞捲線圈所組成之時,其亦可反而由複數個垂直設置的繞捲線圈所組成,如第53B圖所繪示般。第54圖繪示該三電磁鐵60,65,401設置於第24圖之反應器中的方法。如在本文中稍早曾提及的,第24圖之反應器具有一頂上VHF電極,其中該頂上VHF電極經由一固定阻抗匹配元件而以電極與電漿共振的頻率藉由VHF電漿來源電源進行驅動。
該等磁鐵60,65,401可用以產生以下三類磁場中任何一者(或其組合):(1)一螺線管磁場(第55A圖),其中Br 及Bz 兩者在晶圓20表面皆很強。此類之螺線管磁場的產生可藉由施加電流於三電磁鐵60,65,401中之唯一者。第56A圖繪示電流施加於外側電磁鐵65,以產生螺線管磁場的範例。(2)一尖端磁場(第55B圖),其中在晶圓表面上,僅Br 是強的,而Bz 是弱的或其值為零。此類之尖端磁場的產生可藉由從底部電磁鐵401及內部或外部電磁鐵60,65中任一者,產生相等且反向的磁場。第56B圖繪示施加反向電流於該底部及外部電磁鐵401,65,以產生尖端磁場的範例。在這個範例中,假設各磁鐵65,401中的繞捲線圈以相同方式(順時鐘或逆時鐘方向)捲繞。然而,在較佳實施例中,可以相反方向繞捲,其狀況所施加電流之極性可由第56圖之繪示適當地修正。(3)一鏡像磁場(第55C圖),其產生可藉由在底部電磁鐵401及內部或外部電磁鐵60,65中任一者中,產生相等且同向的磁場。第56C圖繪示施加相等的電流於該底部及外部電磁鐵401,65,而產生鏡像磁場的範例。
第57A圖,第57B圖及第58A圖,第58B圖比較了尖端及鏡像磁場的徑向及軸向磁場分量Br (r)及Bz (r),與螺線管磁場的徑向及軸向磁場分量Br (r)及Bz (r),皆在第53A圖之反應器中的晶圓20平面處測量。第57A圖比較了螺線管與尖端磁場的徑向分量Br (r),而第57B圖比較了螺線管與尖端磁場的軸向分量Bz (r)。第58A圖比較了螺線管與鏡像磁場的徑向分量Br(r),而第58B圖比較了螺線管與鏡像磁場的軸向分量Bz (r)。螺線管與尖端磁場的徑向分量在需要時可製成幾乎相同(第57A圖),而尖端磁場的軸向分量可幾近於(但不真的是)零,或遠小於螺線管磁場的軸向分量(第57B圖)。鏡像磁場的徑向分量在需要時可製成幾近於零(第58A圖),或遠小於螺線管磁場的徑向分量。鏡像磁場的軸向分量可製成幾乎與螺線管磁場的軸向分量相同(第58B圖)。
由第57A圖及第58A圖可見,徑向磁場對於校正中央較高之電漿離子分佈顯得較為理想,因為徑向磁場會由晶圓中央增加到晶圓周圍的最大強度。這可從第59圖之圖表曲線中所繪示的資料得到確認,其中標示有以電漿離子飽和電流(縱軸)所代表的電漿離子密度,其係晶圓表面之半徑(橫軸)的函數。曲線標示的「零電流」與零磁場對應,且針對中央較高的電漿離子分佈顯示了最不理想的配置。針對中央較高之電漿離子分佈的較佳校正為,由外部電磁鐵65中之5安培或10安培所產生的二螺線管磁場(分別標示以「5A螺線管」及「10A螺線管」)。根據第59圖的圖表曲線可知,就是螺線管磁場最能令晶圓由中央至邊緣增加。
第60圖係藉由在不同磁場中測量蝕刻速率所獲得之資料的圖表曲線,該蝕刻速率係200公厘矽晶圓的晶圓表面上之徑向位置的函數。該鏡像磁場會產生蝕刻速率分佈的最佳均勻性或最低誤偏差(約1.7%的部份偏差),其中部份偏差的定義為將標準差除以晶圓之平均蝕刻速率。次佳的均勻性由使用螺線管磁場獲得,該磁場產生約2%的部份偏差。尖端磁場(標示以「100%尖端」者)僅是第三順位,其部份偏差7.9%。然而,該晶圓上之元件損壞(歸因於電荷累積,放電或局部高電流或電壓條件)的測量會產生相反的結果,其中最均勻的狀況(鏡像磁場)具有最大的元件損壞,及第二均勻的狀況(螺線管磁場)具有第二嚴重的元件損壞,而尖端磁場則幾乎不造成損壞。這些結果會在下文參照第61圖討論。
上述結果支持了我們的發現,即,磁場之軸向分量Bz (r)相對於徑向分量Br (r)的控制,緊密連結到改良晶圓上之元件損壞的結果。尖端磁場產生了極輕微或無的元件損壞。然而,我們認為第57A圖及第58A圖所示之徑向分量Br (r)的狀態,會隨著半徑的增加,會維持較佳的期望,即較軸向分量Bz (r)維持更佳的均勻性。因此,可實行以下方法:調整尖端磁場,是故晶圓邊緣的徑向分量Br 在第60圖之螺線管磁場中會是相同的,其產出了良好的結果(即,在晶圓邊緣的磁場強度為22高斯)。接著,尖端磁場的強度會增加(當Bz 降低或降為零時會增加Br (r)),直至獲得的均勻性結果接近使用螺線管時所獲得之理想結果。我們發現這需要不斷增加尖端磁場的強度,直至晶圓邊緣的Br 由22高斯增加到32高斯(或約160%)。這會造成第60圖之圖表曲線中,以曲線標示之「尖端160%」的蝕刻速率分佈。在此刻,蝕刻速率的部份偏差會降到2.4%。儘管大幅增加尖端磁場的強度,該尖端磁場仍會繼續造成輕微或無的元件損壞。
上文之結論概述在第61圖的表格中。左行表示磁場類型,且以高斯針對該磁場之晶圓中央的Bz 及晶圓邊緣的Br 進行列表。中間行列舉的是蝕刻速率對應的部份偏差(不均勻性),及右行提供元件損壞的估計(良或劣),且列舉出誘導之元件電流(千分之一安培)及電壓(伏特)。第61圖的表格顯示了使用螺線管及鏡像磁場時所獲得的良好均勻性及差勁元件損壞結果,及使用尖端磁場時所獲得的差勁均勻性及良好元件損壞結果,該尖端磁場在晶圓邊緣具有之Br 與螺線管磁場的Br 相匹配。表格的最後一列顯示當使用尖端磁場(而實際上不存在其他磁場)時所獲得的良好均勻性及良好元件損壞結果,增加到其先前狀況的160%。
上述措施係促進第62圖所繪示的方法。第一步驟(第62圖之方塊415)為尋找一螺線管磁場強度,其中在該強度時蝕刻速率徑向分佈之不均勻度已降到最低。這對應於第60圖的螺線管磁場,其在晶圓邊緣具有22高斯的徑向分量強度。其實際值會因特殊程序而有所變化。所選擇之半徑(例如,晶圓邊緣)的徑向分量值會記錄下來。接著,建立一尖端磁場,而其他磁場則闕如或者可忽略,該尖端磁場在所選擇的半徑處具有與方塊417之步驟中所記錄者相同的徑向分量磁場強度(方塊419)。最後,增加尖端磁場強度,直至蝕刻速率徑向分佈的不均勻性降到最低(方塊421)。該步驟對應至尖端徑向分量由22高斯增加至32高斯,雖然該等值會因所實行的程序而有所變化。
第62圖之方法中之尖端磁場的建立會使用其他的電磁鐵65及底部電磁鐵401。一旦建立起期望的徑向分量Br (r),根據第62圖,就得藉由施加極小的電流到內部電磁鐵60,而進行進一步的微調或校正。可選擇內部電磁鐵之電流,以進一步增進均勻性或控制或降低軸向分量Bz (r),以改進元件損壞的結果(即,降低元件損壞)。這個措施會在第63圖之方法中實作,其中第一步驟(方塊423)為使用(例如)第62圖的方法,來建立期望的徑向分量強度Br (r)。接著,藉由供應極小的電流於內部電磁鐵60,而使條件最佳化(以再增進均勻性或消除Bz )(第63圖的方塊425)。
在第64圖所繪示之程序的修改中,會使用內部及外部磁鐵60,65來建立一期望之磁場(例如,理想的Br (r)),而底部磁鐵則是不起作用的(第64圖之方塊431)。接著,在第64圖之方塊433中會對該磁場進行微調(例如,在需要時增加Br ),其藉由增加流徑內部電磁鐵401的電流,直至獲得期望的結果。在某些實施例中,所增進的電漿離子密度分佈之均勻性的獲得,可藉由實際加入來自於內部磁鐵60的極小量之軸向磁場Bz ,而不會導致無法承受的元件損壞。
在實行第64圖的程序時,用於內部及外部電磁鐵60,65的期望直流電流值組之發現,係為了將針對蝕刻速率分佈的不均勻性降到最低。其完成可藉由測量蝕刻速率徑向分佈,其中該徑向分佈之獲得可在其他電磁鐵具有零電流時,針對內部及外部電磁鐵60,65中之一者的各電流值。例如,第65圖之圖表曲線所含有之曲線,代表以所選範圍內(0安培至25安培)之不同直流電流值,供應於內部磁鐵60時的蝕刻速率徑向分佈資料。第66圖之圖表曲線所含有之曲線,代表以所選範圍內(0安培至25安培)之不同直流電流值,供應於外部磁鐵65時的蝕刻速率徑向分佈資料。來自於第65圖及第66圖的不同對之蝕刻速率分佈曲線會疊加,以針對某一對的內部及外部磁鐵電流值Ii ,Io ,來模擬組合的蝕刻速率分佈,直至許多對或所有可能對的磁鐵電流值已並列,且因重疊而獲得對應對蝕刻速率徑向分佈E(r)I i I o 。接著,會處理各蝕刻速率分佈,以計算對應的不均勻度(例如,先前在本文中曾定義的部份偏差D)。這可產生一組的偏差D(Ii ,Io ),代表了第67圖中繪示的單一表面。該表面或方程式可使用習用技術來檢視,以發現令部份偏差D(第67圖之縱軸)降到最低之Ii ,Io 值或該值之組。這些值為藉由控制器為了用於內部及外部磁鐵電流而選擇。
上述措施可以第68圖所繪示之方法實作。首先,底部磁鐵電流會設定為零(方塊435)。針對不同的內部及外部之磁鐵電流,來測量蝕刻徑向分佈,以分別獲得一組分佈值E(r)Ii (方塊437)及一組分佈值E(r)Io (方塊439)。該二分佈值的對應對會重疊,以形成不同的蝕刻速率分佈值E(r)I i I o (方塊441),且由其可計算出對應的偏差D(Ii ,Io )(方塊443)。該組偏差D(Ii ,Io )可藉由一表面來表示(第67圖),由該表面搜尋該組(Ii ,Io )之值,其可得到最小的部份偏差D(方塊445)。
檢視第67圖的立體表面D(Ii ,Io ),展現出一細長低谷(以虛線標明),其對應一系列或一列的連續最適對(Ii ,Io ),其D值(縱軸)係最小。該低谷可藉由習用搜尋來發現。為了使第三磁鐵(即,底部磁鐵401)的使用最佳化,各最適對(Ii ,Io )會與連續的底部磁鐵電流值Ib (皆在預設範圍內)結合,及三電流(Ii ,Io ,Ib )之各組合會施加於反應器,且測量各蝕刻偏差。第68圖之方塊447的步驟是最後的操作。該結果會經修改(方塊449),以產生一組偏差值D(Ii ,Io ,Ib )。該組結果的表示,會藉由針對將D值最小化之該組值(Ii ,Io ,Ib )來使用習用技術,而搜尋四維表面(方塊451)。這個最小化可為了僅使用二磁鐵之方塊445的步驟中,所獲得之最小化提供改良。最終的最適值或(Ii ,Io ,Ib )等多個值,在製造晶圓之處理期間,為了最佳製程均勻性,會應用在個別電磁鐵60,65,401。
第68圖的製程概述如下:起先,該三磁鐵中僅一對磁鐵發揮作用,例如,內部及外部磁鐵60,65。該磁鐵對會接著視為一體,且令第三磁鐵(例如,底部磁鐵410)發揮作用,是故三個同時使用的磁鐵可達最佳化。然而,有三個可行的順序,其中三磁鐵皆發揮作用。一者為第68圖的範例。在第二順序中,發揮作用的起先那對磁鐵係外部磁鐵65及底部磁鐵401,及第三磁鐵係內部磁鐵60。在第三順序中,發揮作用的起先那對磁鐵為內部磁鐵60及底部磁鐵410,及第三磁鐵係外部磁鐵65。
第69圖繪示該製程的第二態樣,其中起先的磁鐵對係該外部磁鐵65及該底部磁鐵401,及該第三磁鐵係內部磁鐵60。在第69圖的第一步驟中,內部磁鐵電流會設定為零(方塊435-1)。蝕刻速率徑向分佈會在不同的底部磁鐵電流時測量,以獲得一組分佈值E(r)1 b (方塊437-1),及在不同的外部磁鐵電流時測量,可獲得一組分佈值E(r)I o (方塊439-1)。該二對應之對的分佈值會重疊,以形成不同的蝕刻速率分佈值E(r)I b I o (方塊441-1),且由其可計算出對應的偏差D(Ib ,Io )(方塊443-1)。該組偏差D(Ib ,Io )可藉由一表面來表示(與第67圖者類似),由該表面搜尋該組(Ib ,Io )之值,其可得到最小的部份偏差D(方塊445-1)。
為了令第三磁鐵(即,底部磁鐵401)的使用最佳化,最適對(Ii ,Io )各者會與連續的底部磁鐵電流值Ib (皆在預設範圍內)結合,及三電流(Ii ,Io ,Ib )之各組合會施加於反應器,且測量各蝕刻偏差。第69圖之方塊447-1的步驟是最後的操作。該結果會經修改(方塊449-1),以產生一組偏差值D(Ii ,Io ,Ib )。該組結果會藉由一矩陣(或四維表面)來表示,其中會針對將偏差或部份偏差D值最小化的該組值(Ii ,Io ,Ib ),來使用習用技術搜尋該矩陣。根據最後之值的組,會建立施加於三磁鐵60,65,401的電流。
第70圖之流程圖繪示用以使用三電磁鐵60,65,401來達成均勻之電漿或蝕刻速率分佈的方法。首先,當無電流施加到電磁鐵60,65,401時,先測量名義的(未經校正的)蝕刻速率分佈ER(r)(方塊461)。接著,在許多不同的內部線圈電流Ii 值時,會測量Ii 所產生的蝕刻速率之徑向分佈,即△ER(r,Ii )(方塊463)。在許多不同的外部線圈電流Io 值時,測會量Io 所產生之蝕刻速率徑向分佈的改變,即△ER(r,Io )(方塊465)。在許多不同的外部線圈電流Ib 值時,會測量Ib所產生之蝕刻速率徑向分佈的改變,即△ER(r,Ib )(方塊467)。接著,可針對不同的Ii ,Io ,及Ib 值的各組合,可計算蝕刻速率分佈(方塊469):ER(r,Ii ,Io ,Ib )=ER(r)+△ER(r,Ii )+△ER(r,Io )+△ER(r,Ib )。在方塊471中會計算各分佈值的不均勻性或偏差或部份偏差D(Ii ,Io ,Ib )。該矩陣D(Ii ,Io ,Ib )會進行修改,以提供平滑函數,其接著會搜尋(方塊473)以求得該組或多組之值(Ii ,Io ,Ib ),其適用於最佳的D。因此,所發現的最適直流電流組(Ii ,Io ,Ib ),會施加在該三磁鐵60,65,401(方塊475)。
第71A圖至第71E圖以圖表繪示各蝕刻速率分佈值ER(r,Ii ,Io ,Ib )中單一者的計算輔導範例。第71A圖之曲線繪示表面之蝕刻分佈ER(r)。因施加5安培的直流電流於內部電磁鐵60,而產生之名義分佈的改變△ER(r,Ii )會繪示在第71B圖。因施加1安培的直流電流於外部電磁鐵65,而產生之名義分佈的改變△ER(r,Io )會繪示在第71C圖。因施加2安培的直流電流於底部電磁鐵,而產生之名義分佈的改變△ER(r,Ib )會繪示在第71D圖。第71A圖至第71D圖之蝕刻速率分佈值的總和繪示在第71E圖,且係蝕刻速率分佈值ER(r,Ii =5,Io =1,Ib =2)。
判定該三磁鐵之最適電流(Ii ,Io ,Ib )的另一方法為,在許多組合的(Ii ,Io ,Ib )值之下,直接測量蝕刻速率分佈值ER(r,Ii ,Io ,Ib )。這個措施需要大量的測量,且可取代第70圖的方塊461至469之步驟。因此,一旦已測量了足夠數量的不同ER(r,Ii ,Io ,Ib ),即可執行第70圖的方塊471,473及475之步驟。
在上述製程中,可參照在反應器中發生蝕刻之晶圓的蝕刻速率之徑向分佈,來判定均勻性。然而,更普遍而言,製程均勻性可定義為在任何製程中,包括蝕刻製程或沈積製程,晶圓表面之電漿離子密度分佈的徑向分佈之均勻性。在一蝕刻反應器中,電漿離子密度分佈可由晶圓表面所量得的蝕刻速率徑向分佈推導而得,該晶圓在實行電漿強化反應性離子蝕刻程序的反應器中進行處理。
該反應器已藉由特別參照較佳實施例的方式來進行細節說明,應了解在不違反該反應器之本質精神及範圍之下,可針對該反應器做變化及改良。
5...圓柱狀側壁
10...頂蓋
11...阻抗匹配元件
12...VHF信號產生器
15...支架墊座
20...工作部件
25...氣體供應
30...真空泵
40...RF發電器
45...匹配電路
60...內線圈
64...線圈
65...外線圈
70...直流電流供應
75...直流電流供應
76...直流電流供應
82a...電位計
82b...電位計
84a...開關
84b...開關
90...控制器
91...微處理器
92...MERIE電磁鐵
94...MERIE電磁鐵
96...MERIE電磁鐵
98...MERIE電磁鐵
99...MERIE電流控制器
100...反應器室
105...晶圓支架
110...晶圓
115...導體或半導體環
120...介電環
125...導體電極
126...電極組件
127...反應器主體
130...介電密封墊
135...同軸短線
135a...同軸遠端
135b...同軸近端
140...內部圓柱狀導體
145...外部圓柱狀導體
147...絕緣體
150...RF發電器
150a...RF回傳端
150b...RF電源端
160...同軸分接頭
162...同軸電纜
165...終止導體
170...氣體管線
172...氣體入口
173...冷卻劑管線
174...冷卻劑通道
175...導體外殼
176...導體圓柱體
180...介電環
300...氣體注入小孔
302...中央片狀物
303...圓柱狀指狀物
401...底部電磁鐵
403...直流電壓供應
415...第62圖之第一步驟
417...第62圖之第二步驟
419...第62圖之第三步驟
421...第62圖之第四步驟
423...第63圖之第一步驟
425...第63圖之第二步驟
431...第64圖之第一步驟
433...第64圖之第二步驟
435...第68圖之第一步驟
437...第68圖之第二步驟
439...第68圖之第三步驟
441...第68圖之第四步驟
443...第68圖之第五步驟
445...第68圖之第六步驟
447...第68圖之第七步驟
449...第68圖之第八步驟
451...第68圖之第九步驟
435-1...第69圖之第一步驟
437-1...第69圖之第二步驟
439-1...第69圖之第三步驟
441-1...第69圖之第四步驟
443-1...第69圖之第五步驟
445-1...第69圖之第六步驟
447-1...第69圖之第七步驟
449-1...第69圖之第八步驟
451-1...第69圖之第九步驟
461...第70圖之第一步驟
463...第70圖之第二步驟
465...第70圖之第三步驟
467...第70圖之第四步驟
469...第70圖之第五步驟
471...第70圖之第六步驟
472...第70圖之第七步驟
475...第70圖之第八步驟
902...MERIE磁鐵
904...MERIE磁鐵控制器
910...分析判定方塊
901'...分析判定方塊
920...測量離子密度
920'...測量離子密度
930...判定校正
930'...判定校正
940...施加測試電流且測量
940'...施加測試電流且測量
950...判定比例係數
950'...判定比例係數
960...搜尋線圈電流
960'...搜尋線圈電流
1020...支架框架
1025...轉子
1402...遮蓋
1404...基座
1406...圓盤板
1408...環狀壁
1410...肩狀側壁
1414...歧管
1414a...中央歧管
1414b...外側歧管
1414c...外側歧管
1416...饋體管
1418...饋氣管
1420...饋氣管
2210...定義分析模型
2220...選擇磁場
2230...搜尋模型
2240...儲存電流
2250...選擇電流值
2260...施加電流值
2310...晶圓面的蝕刻
2320...淨化頂蓋
2330...引導電漿朝向晶圓前進
2350...校正電漿的不均勻性
2360...引導電漿朝向晶圓及頂蓋前進
2370...校正電漿的不均勻性
2380...引導電漿朝向頂蓋前進
2390...校正電漿的不均勻性
1502...碳化矽塗層
1504...聚合物黏著層
1520...溫控構件
1522...水套
1524...熱交換器
1602...環狀分隔
1604...內部分隔
1606...外部分隔
1610...雙區控制器
1612...氣體供應
1618...連通導管
1640...流量控制器
1651...氣閥
1652...氣閥
4060...線圈
4062...線圈
4064...線圈
4066...線圈
4068...線圈
4420...線圈
4440...線圈
4610...電磁鐵
4610a...頂部導體
4610b...底部導體
4620...電磁體
4620a...頂部導體
4620b...底部導體
4620c...垂直導體
4630...電磁鐵
4630a...頂磁導體
4630b...底磁導體
4630d...垂直導體
4640...電磁鐵
4640a...頂部導體
4640b...底部導體
4650...MERIE反應器
4660...電流控制器
4810...格柵
4820...開口
4910...反應器室內襯
4920...上方水平部份
4930...垂直部份
4940...下方水平部份
4950...整體物
4960...基座
4962...環狀軌
4964...環狀軌
4972...溫控元件
4974...溫控元件
4976...溫控元件
5210...底部線圈
5220...外部線圈
第1A圖,第1B圖及第1C圖繪示具有頂上VHF電極及頂上線圈的電漿反應器,其用以控制電漿離子均勻性。
第2圖繪示用以控制第1圖之頂上線圈的示範裝置。
第3A圖及第3B圖係第1圖之頂上線圈的磁場強度的圖形表示。
第4A圖,第4B圖,第4C圖及第4D圖係在第1圖之反應器的各模式操作下的圖表曲線,其中晶圓表面之蝕刻速率(縱軸)係徑向位置(橫軸)的函數。
第5A圖,第5B圖,第5C圖及第5D圖係在第1圖之反應器的第四模式操作下的圖表曲線,其中晶圓表面之蝕刻速率(縱軸)係徑向位置(橫軸)的函數。
第6圖之圖表曲線繪示蝕刻速率,其係磁場之函數。
第7圖及第8圖繪示第1A圖中具有MERIE磁鐵的反應器。
第9圖繪示操作第1A圖之反應器的方法。
第10圖之圖表曲線繪示在第1A圖之反應器的磁性壓力的比較範例,其中離子或電子密度係晶圓表面上之徑向位置的函數。
第11圖之圖表曲線繪示蝕刻速率的不均勻性,其係線圈電流之函數。
第12圖繪示在第11圖之範例中的零線圈電流的徑向離子分佈。
第13A圖及第13B圖比較了第11圖之範例中,約11安培之線圈電流處的量測與預測之蝕刻速率分佈。
第14A圖及第14B圖比較了第11圖之範例中,約35安培之線圈電流處的量測與預測之蝕刻速率分佈。
第15圖繪示操作第1A圖之反應器的另一方法。
第16圖繪示在與第1A圖對應之反應器中,所獲得之磁場分佈。
第17圖繪示晶圓面上,第16圖之磁場平方梯度。
第18圖繪示在對第1A圖對應之反應器中,所獲得的另一磁場分佈。
第19圖繪示晶圓面上,第18圖之磁場平方梯度。
第20圖繪示在對第1A圖對應之反應器中,所獲得的再另一磁場分佈。
第21圖繪示晶圓面上,第20圖之磁場平方梯度。
第22圖繪示操作第1A圖之反應器的再另一方法。
第23圖繪示用以控制第1A圖之反應器的示範微控制器之操作。
第24圖繪示一電漿反應器,其包括第1A圖之反應器所含有之特徵。
第25圖繪示另一電漿反應器,其包括第1A圖之反應器所含有之特徵。
第26圖,第27圖,第28圖,第29A圖及第29B圖繪示用於第1A圖,第24圖及第25圖之反應器的氣體分佈板。
第30圖及第31圖繪示如同第26圖之氣體分佈板的熱控制特徵。
第32圖及第33圖繪示對應至第26圖之氣體分佈板,其具有雙區氣體流控。
第34圖繪示對應至第1A圖之電漿反應器,其具有雙區氣體分佈板。
第35圖及第36圖繪示示範之雙區氣流控制器。
第37圖繪示對應至第34圖之電漿反應器,其具有用以控制電漿離子分佈的頂上線圈。
第38圖及第39圖繪示第26圖之氣體分佈板中的不同氣體注入孔之態樣,其分別用以製造中央較低或中央較高的氣流分佈。
第40圖,第41圖,第42圖,及第43圖繪示不同的頂上線圈配置,其用以控制電漿離子分佈。
第44圖及第45圖繪示對應至第1A圖之電漿反應器,其中位於該反應器室上方及下方的較高及較低磁線圈取代了頂上線圈,以產生第45圖中最常見的尖端形磁場。
第46圖繪示可配置之磁場(CMF)線圈取代第44圖之較高及較低線圈的方法,該CMF線圈在以產生第45圖之尖端形磁場的方式下操作。
第47A圖至第47D圖繪示第46圖之CMF線圈為了產生期望之磁場配置的操作模式。
第48圖,第49圖及第50圖繪示第1A圖之反應器中的環狀有孔平面,其用以避免該電漿離子進入該反應器之抽運環狀區域。
第51圖繪示第1A圖之反應器的矩形態樣,其用以處理矩形的工作部件。
第52繪示對應至第1A圖的反應器,其具有矩形工作部件的支架墊座。
第53A圖及第53B圖繪示利用二個頂上線圈及一個襯底線圈以控制電漿離子分佈的不同實施例。
第54圖繪示本發明之實施例。
第55A圖至第55C圖繪示在對應至第54圖反應器之三個模式之晶圓平面的三個磁場。
第56A圖至第56C圖分別繪示對應至第55A圖至第55C圖所施加的電磁直流電流。
第57A圖及第57B圖之圖表曲線分別比較了第54圖反應器之尖端模式及螺線管模式的徑向分量及軸向分量。
第58A圖及第58B圖之圖表曲線分別比較了第54圖反應器之螺線管及鏡像模式的徑向分量及軸向分量。
第59圖係針對第54圖反應器之不同模式的電漿離子徑向分佈的圖表曲線(得自離子飽和電流)。
第60圖之圖表曲線係蝕刻速率,其係第54圖反應器之不同磁場或模式之半徑的函數。
第61圖繪示一表格,其特徵為在不同磁場或模式下的蝕刻速率不均勻性及裝置損壞。
第62圖繪示用以針對三磁鐵中之至少二者來判定最大直流線圈電流的基本程序。
第63圖繪示一額外程序,其可遵照用以針對三磁鐵全體來判定直流電流之第62圖的程序。
第64圖繪示第63圖之另一程序。
第65圖之圖表曲線繪示根據一磁鐵的第一檢查,針對不同磁場強度的蝕刻速率之徑向分佈。
第66圖之圖表曲線繪示根據另一磁鐵的第二檢查,針對不同磁場強度的蝕刻速率之徑向分佈。
第67圖之圖表曲線繪示由第65圖及第66圖之分佈所建構出來的數學分佈函數。
第68圖繪示用以判定最大電磁直流電流的程序。
第69圖繪示用以判定最大電磁直流電流的另一程序。
第70圖繪示用以判定最大電磁直流電流的再另一程序。
第71A圖至第71E圖繪示在第70圖之程序的相繼步驟中,所獲的的蝕刻速率分佈圖。
40‧‧‧RF發電器
45‧‧‧匹配電路
60‧‧‧內線圈
65‧‧‧外線圈
100‧‧‧反應器室
105‧‧‧晶圓支架
110‧‧‧晶圓
115‧‧‧導體或半導體環
120‧‧‧介電環
125‧‧‧導體電極
126‧‧‧電極組件
127‧‧‧反應器主體
130‧‧‧介電密封墊
135‧‧‧同軸短線
135a‧‧‧同軸遠端
135b‧‧‧同軸近端
140‧‧‧內部圓柱狀導體
145‧‧‧外部圓柱狀導體
147‧‧‧絕緣體
150‧‧‧RF發電器
150a‧‧‧RF回傳端
150b‧‧‧RF電源端
160‧‧‧同軸分接頭
162‧‧‧同軸電纜
165‧‧‧終止導體
170‧‧‧氣體管線
172‧‧‧氣體入口
173‧‧‧冷卻劑管線
174‧‧‧冷卻劑通道
175‧‧‧導體外殼
176‧‧‧導體圓柱體
180‧‧‧介電環

Claims (13)

  1. 一種用在一電漿反應器中,改良電漿離子密度分佈之均勻性之方法,該電漿反應器具有一位於一第一平面之外界環狀內部電磁鐵、一位於一第二平面且直徑大於該內部電磁鐵之外界環狀外部電磁鐵、及一位於一第三平面之外界環狀底部電磁鐵,該第一平面、第二平面覆蓋一工作部件支架表面,且該第三平面位於一工作部件支架表面下方,該方法至少包含以下步驟:由該底部電磁鐵與該內部及該外部電磁鐵中之一者,在該工作部件支架表面產生一徑向磁場,其磁場強度會相對於該工作部件支架表面中央之電漿離子密度,而增加靠近該工作部件支架表面周圍之電漿離子密度;藉由使用該內部及外部電磁鐵中之另一者,來產生一額外之磁場分量,以再增加該周圍處之電漿離子密度;其中該額外磁場分量包括該工作部件支架表面之一軸向磁場且該軸向磁場之強度低於該工作部件支架表面之徑向磁場。
  2. 如申請專利範圍第1項所述之方法,更包含以下步驟:在處理一生產工作部件之前,尋找一螺線管磁場,其產生期望之電漿離子密度徑向分佈之均勻度,及判定該螺線管磁場之徑向分量;及 其中產生該徑向磁場之步驟至少包含:增加高於該螺線管磁場之該徑向分量強度之該徑向磁場,直至電漿離子密度之徑向分佈均勻性至少幾近於到達由該螺線管磁場所產生之該期望均勻性。
  3. 一種用在一電漿反應器中,控制電漿離子密度分佈之方法,該電漿反應器具有一位於一第一平面之外界環狀內部電磁鐵、一位於一第二平面且直徑大於該內部電磁鐵之外界環狀外部電磁鐵、及一位於一第三平面之外界環狀底部電磁鐵,該第一平面、第二平面覆蓋一工作部件支架表面,且該第三平面位於該工作部件支架表面下方,該方法至少包含以下步驟:由該底部電磁鐵與該內部及該外部電磁鐵中之一者,在該工作部件支架表面產生一徑向磁場,其磁場強度會相對於該工作部件支架表面中央之電漿離子密度,而增加靠近該工作部件支架表面周圍之電漿離子密度;及由該內部及外部電磁鐵中之另一者,在該工作部件支架表面產生一軸向磁場,其最有一最小強度,而足以維持電漿離子密度的更均勻之徑向分佈。
  4. 如申請專利範圍第3項所述之方法,其中電漿離子密度係由生產晶圓上之蝕刻速率徑向分佈來判定,該生產晶圓係在該工作部件支架表面進行處理。
  5. 一種用在一電漿反應器中,控制電漿離子密度分佈之方法,該電漿反應器具有一位於一第一平面之外界環狀內部電磁鐵、一位於一第二平面且直徑大於該內部電磁鐵之外界環狀外部電磁鐵、及位於一第三平面之外界環狀底部電磁鐵,該第一平面與第二平面覆蓋一工作部件支架表面,且該第三平面位於該工作部件支架表面下方,該方法至少包含以下步驟:尋找一組直流電流對,其施加於該內部、外部及底部磁鐵中之一對,其傾向於令電漿離子密度分佈之不均勻性降到最低;針對該組直流電流對中之每一直流電流對,尋找一直流電流,其施加於該內部、外部及底部電磁鐵中之另一者,其傾向於令電漿離子密度分佈之不均勻性降到最低,俾以建立一組直流電流三元組,其中該直流電流三元組係對應於該內部、外部及底部之磁鐵;及施加該組直流電流三元組中之一者於該內部、外部及底部磁鐵;中該內部、外部及底部電磁鐵中之該對,至少包含該底部電磁鐵與該內部及外部電磁鐵中之一者,藉此,該電磁鐵對會在該工作部件支架表面處建立一主要徑向磁場,及該另一磁鐵則建立一較弱之軸向磁場。
  6. 如申請專利範圍第5項所述之方法,其中該內部、外部 及底部電磁鐵中之該對至少包含該底部電磁鐵與該外部電磁鐵,及該另一電磁鐵至少包含該內部電磁鐵。
  7. 如申請專利範圍第5項所述之方法,其中可由半導體晶圓上之蝕刻速率徑向分佈之測量值,推導出電漿離子密度分佈,該半導體晶圓在該工作部件支架表面處進行處理。
  8. 一種用在一電漿反應器中,控制電漿離子密度分佈之方法,該電漿反應器具有一位於一第一平面之外界環狀內部電磁鐵、一位於一第二平面且直徑大於該內部電磁鐵之外界環狀外部電磁鐵、及一位於一第三平面之外界環狀底部電磁鐵,其中該第一平面與第二平面覆蓋一工作部件支架表面,且該第三平面位於該工作部件支架表面下方,該方法至少包含以下步驟:判定該工作部件支架表面處之未經校正的電漿離子密度分佈;判定電漿離子密度分佈之改變,其為個別施加於該內部、外部及底部電磁鐵中之各者的直流電流之函數;對該內部、外部及底部電磁鐵施加不同組合之直流電流,以將該等函數重疊在該未經校正之電漿分佈,以獲得複數個試驗之電漿離子密度分佈;搜尋該等試驗之電漿離子密度分佈以找出具有電漿離子密度分佈高均勻度之至少一者,及判定對應於該 者之最適電流組;及施加該最適電流組於該內部、外部及底部電磁鐵之各者。
  9. 如申請專利範圍第8項所述之方法,其中判定電漿離子密度分佈之步驟至少包含:由半導體晶圓上之蝕刻速率分佈之測量值,推論出該電漿離子密度分佈,該半導體晶圓在該工作部件支架表面上進行處理。
  10. 一種用以處理一反應器室內之一工作部件支架表面上之一工作部件的電漿反應器,其至少包含:一外界環狀內部電磁鐵,位於覆蓋該工作部件支架表面之一第一平面中;一外界環狀外部電磁鐵,位於覆蓋該工作部件支架表面之一第二平面中且具有大於該內部電磁鐵之直徑;一外界環狀底部電磁鐵,位於該工作部件支架表面下方之一第三平面中;一處理器,控制施加於該內部、外部及底部電磁鐵中之各者的直流電流;及一記憶體,該處理器可存取該記憶體,其儲存用於該內部、外部及底部電磁鐵中之各者的直流電流值,該等電流藉由一程序來判定,其中該程序至少包含以下步驟:尋找一組直流電流對,其施加於該內部、外部 及底部磁鐵中之一對,其傾向於令電漿離子密度分佈之不均勻性降到最低;針對該組直流電流對中之每一直流電流對,尋找施加於該內部、外部及底部電磁鐵中之另一者的直流電流,其傾向於令電漿離子密度分佈之不均勻性降到最低,俾以建立一組直流電流三元組,其中該直流電流三元組對應於該內部、外部及底部磁鐵。
  11. 如申請專利範圍第10項所述之反應器,其中可由晶圓上之蝕刻速率分佈之測量值,推導出電漿離子密度分佈,該晶圓在該工作部件支架表面處進行處理。
  12. 一種用以處理位於一反應器室內之一工作部件支架表面上之一工作部件的電漿反應器,其至少包含:一外界環狀內部電磁鐵,位於覆蓋該工作部件支架表面之一第一平面中;一外界環狀外部電磁鐵,位於覆蓋該工作部件支架表面之一第二平面中且具有大於該內部電磁鐵之直徑;一外界環狀底部電磁鐵,位於該工作部件支架表面下方之一第三平面中;一處理器,控制施加於該內部、外部及底部電磁鐵中之各者的直流電流;及一記憶體,該處理器可存取該記憶體,其儲存用於該內部、外部及底部電磁鐵中之各者的直流電流值,該 等電流藉由一程序來判定,其中該程序至少包含以下步驟:判定該工作部件支架表面處之未經校正的電漿離子密度分佈;判定電漿離子密度分佈之改變,其為個別施加於該內部、外部及底部電磁鐵中之各者的直流電流之函數;對該內部、外部及底部電磁鐵施加不同組合之直流電流,以將該等函數重疊於該未經校正之電漿分佈,以獲得複數個試驗之電漿離子密度分佈;及搜尋該試驗之電漿離子密度分佈以找出具有電漿離子密度分佈高均勻度之至少一者,及判定對應於該者之最適電流組。
  13. 如申請專利範圍第12項所述之反應器,其中可由晶圓上之蝕刻速率分佈之測量值,推導出電漿離子密度分佈,該晶圓在該工作部件支架表面處進行處理。
TW094144158A 2005-01-28 2005-12-13 用於電漿均勻性與減少元件損壞之對於尖端、螺線管與鏡像場具有最少直流電線圈的電漿反應器 TWI388243B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/046,656 US8617351B2 (en) 2002-07-09 2005-01-28 Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction

Publications (2)

Publication Number Publication Date
TW200628022A TW200628022A (en) 2006-08-01
TWI388243B true TWI388243B (zh) 2013-03-01

Family

ID=36282827

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094144158A TWI388243B (zh) 2005-01-28 2005-12-13 用於電漿均勻性與減少元件損壞之對於尖端、螺線管與鏡像場具有最少直流電線圈的電漿反應器

Country Status (6)

Country Link
US (1) US8617351B2 (zh)
EP (1) EP1686612A1 (zh)
JP (1) JP4769586B2 (zh)
KR (1) KR100853577B1 (zh)
CN (1) CN1812683B (zh)
TW (1) TWI388243B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI596646B (zh) * 2015-09-10 2017-08-21 台灣積體電路製造股份有限公司 離子收集器、電漿系統的控制方法以及使用電漿處理基板的方法
TWI808628B (zh) * 2021-10-29 2023-07-11 日商國際電氣股份有限公司 基板處理裝置、半導體裝置之製造方法及程式

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
JP4009087B2 (ja) * 2001-07-06 2007-11-14 アプライド マテリアルズ インコーポレイテッド 半導体製造装置における磁気発生装置、半導体製造装置および磁場強度制御方法
US7374636B2 (en) * 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7458335B1 (en) 2002-10-10 2008-12-02 Applied Materials, Inc. Uniform magnetically enhanced reactive ion etching using nested electromagnetic coils
US7422654B2 (en) 2003-02-14 2008-09-09 Applied Materials, Inc. Method and apparatus for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US7883633B2 (en) * 2003-02-14 2011-02-08 Applied Materials, Inc. Method for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US8048328B2 (en) * 2003-02-14 2011-11-01 Applied Materials, Inc. Method for shaping a magnetic field in a magnetic field-enhanced plasma reactor
CN100362619C (zh) 2005-08-05 2008-01-16 中微半导体设备(上海)有限公司 真空反应室的射频匹配耦合网络及其配置方法
US7432210B2 (en) * 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
US20080110567A1 (en) * 2006-11-15 2008-05-15 Miller Matthew L Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
KR100823302B1 (ko) * 2006-12-08 2008-04-17 주식회사 테스 플라즈마 처리 장치
KR100978754B1 (ko) * 2008-04-03 2010-08-30 주식회사 테스 플라즈마 처리 장치
US20080277064A1 (en) * 2006-12-08 2008-11-13 Tes Co., Ltd. Plasma processing apparatus
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
US8123902B2 (en) * 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
KR100941070B1 (ko) * 2007-05-10 2010-02-09 세메스 주식회사 플라즈마를 이용하여 기판을 처리하는 장치
CN101904227A (zh) * 2007-12-20 2010-12-01 株式会社爱发科 等离子体源机构及成膜装置
RU2503159C2 (ru) 2009-02-04 2013-12-27 Дженерал Фьюжен, Инк. Устройство для сжатия плазмы и способ сжатия плазмы
JP2010232476A (ja) * 2009-03-27 2010-10-14 Tokyo Electron Ltd プラズマ処理装置
KR101708077B1 (ko) * 2009-06-30 2017-02-17 램 리써치 코포레이션 프로세싱 챔버의 예측 예방 보전을 위한 방법 및 장치
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
JP5723130B2 (ja) * 2010-09-28 2015-05-27 東京エレクトロン株式会社 プラズマ処理装置
TW202230814A (zh) 2011-05-05 2022-08-01 日商半導體能源研究所股份有限公司 半導體裝置及其製造方法
CN103163438A (zh) * 2011-12-12 2013-06-19 中国科学技术大学 一种微放电器性能测试装置及方法
US9111722B2 (en) * 2012-04-24 2015-08-18 Applied Materials, Inc. Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator
US9082591B2 (en) * 2012-04-24 2015-07-14 Applied Materials, Inc. Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator
WO2014036155A1 (en) * 2012-08-28 2014-03-06 Jh Quantum Tehcnology, Inc. Material processor with plasma generator
US9082589B2 (en) * 2012-10-09 2015-07-14 Novellus Systems, Inc. Hybrid impedance matching for inductively coupled plasma system
CN103972012A (zh) * 2013-01-25 2014-08-06 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室及具有它的等离子体设备
CN103151235B (zh) * 2013-02-20 2016-01-27 上海华力微电子有限公司 一种提高刻蚀均匀性的装置
US9328420B2 (en) * 2013-03-14 2016-05-03 Sunedison Semiconductor Limited (Uen201334164H) Gas distribution plate for chemical vapor deposition systems and methods of using same
KR102298032B1 (ko) * 2013-09-30 2021-09-02 어플라이드 머티어리얼스, 인코포레이티드 고 주파수 무선 주파수에 대한 전극 임피던스를 튜닝하고 저 주파수 무선 주파수를 접지로 종단하기 위한 장치 및 방법
US10410889B2 (en) 2014-07-25 2019-09-10 Applied Materials, Inc. Systems and methods for electrical and magnetic uniformity and skew tuning in plasma processing reactors
KR102365286B1 (ko) * 2014-08-19 2022-02-18 제너럴 퓨전 아이엔씨. 플라스마 자기장을 제어하기 위한 시스템 및 방법
US10249479B2 (en) * 2015-01-30 2019-04-02 Applied Materials, Inc. Magnet configurations for radial uniformity tuning of ICP plasmas
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
CN105161411B (zh) * 2015-07-09 2018-01-05 江苏德尔森传感器科技有限公司 可实现定位加工的传感器单晶硅刻蚀装置
KR102487342B1 (ko) 2016-06-14 2023-01-13 삼성전자주식회사 정전척 어셈블리 및 이를 구비하는 플라즈마 처리장치
US10811144B2 (en) 2017-11-06 2020-10-20 General Fusion Inc. System and method for plasma generation and compression
CN109994355B (zh) 2017-12-29 2021-11-02 中微半导体设备(上海)股份有限公司 一种具有低频射频功率分布调节功能的等离子反应器
JP2019145397A (ja) 2018-02-22 2019-08-29 東芝メモリ株式会社 半導体製造装置および半導体装置の製造方法
CN111613513A (zh) * 2020-07-07 2020-09-01 大连理工大学 一种等离子体刻蚀装置及方法
JP2022049494A (ja) * 2020-09-16 2022-03-29 キオクシア株式会社 半導体製造装置
US11515150B2 (en) * 2020-10-22 2022-11-29 Applied Materials, Inc. Hardmask tuning by electrode adjustment
US20220415630A1 (en) * 2021-06-25 2022-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Device for adjusting position of chamber and plasma process chamber including the same for semiconductor manufacturing

Family Cites Families (242)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US76482A (en) * 1868-04-07 John j
US2967926A (en) * 1958-03-10 1961-01-10 Union Carbide Corp Testing process and apparatus
US2951960A (en) 1959-03-24 1960-09-06 Tung Sol Electric Inc Gaseous discharge device
FR1402020A (fr) 1964-04-27 1965-06-11 Csf Perfectionnements aux sources d'ions
US3555615A (en) * 1968-08-08 1971-01-19 Usm Corp Rotational moulding machines
US3610986A (en) 1970-05-01 1971-10-05 Union Carbide Corp Electron beam source including a pilot nonthermionic, electron source
US4293794A (en) 1980-04-01 1981-10-06 Kapetanakos Christos A Generation of intense, high-energy ion pulses by magnetic compression of ion rings
CA1159012A (en) 1980-05-02 1983-12-20 Seitaro Matsuo Plasma deposition apparatus
JPS5779621A (en) 1980-11-05 1982-05-18 Mitsubishi Electric Corp Plasma processing device
US4458180A (en) * 1982-02-18 1984-07-03 Elscint Ltd. Plasma electron source for cold-cathode discharge device or the like
US4570106A (en) * 1982-02-18 1986-02-11 Elscint, Inc. Plasma electron source for cold-cathode discharge device or the like
JPS59175125A (ja) * 1983-03-24 1984-10-03 Toshiba Corp ドライエツチング装置
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4665489A (en) 1984-03-15 1987-05-12 Kabushiki Kaisha Meidensha Unmanned vehicle control system and method
US4665487A (en) 1984-05-25 1987-05-12 Kabushiki Kaisha Meidensha Unmanned vehicle control system and method
US5120466A (en) * 1984-07-13 1992-06-09 Canon Kabushiki Kaisha Fluid crystal device
US4552639A (en) 1984-07-20 1985-11-12 Varian Associates, Inc. Magnetron sputter etching system
JPS6134177A (ja) * 1984-07-25 1986-02-18 Tokuda Seisakusho Ltd マグネツト駆動装置
DE3580953D1 (de) * 1984-08-31 1991-01-31 Anelva Corp Entladungsvorrichtung.
KR900005347B1 (ko) 1984-09-19 1990-07-27 가부시기가이샤 히다찌세이사꾸쇼 플라즈마 처리장치
US4668365A (en) * 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
US4668338A (en) * 1985-12-30 1987-05-26 Applied Materials, Inc. Magnetron-enhanced plasma etching process
US4859908A (en) 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
JPS6393881A (ja) 1986-10-08 1988-04-25 Anelva Corp プラズマ処理装置
US5215619A (en) * 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5006760A (en) * 1987-01-09 1991-04-09 Motorola, Inc. Capacitive feed for plasma reactor
DE3708716C2 (de) * 1987-03-18 1993-11-04 Hans Prof Dr Rer Nat Oechsner Hochfrequenz-ionenquelle
US4947085A (en) 1987-03-27 1990-08-07 Mitsubishi Denki Kabushiki Kaisha Plasma processor
US4973883A (en) 1987-05-01 1990-11-27 Semiconductor Energy Laborator Co., Ltd. Plasma processing apparatus with a lisitano coil
US4740268A (en) * 1987-05-04 1988-04-26 Motorola Inc. Magnetically enhanced plasma system
US4888518A (en) 1987-11-16 1989-12-19 Itt Corporation Gas circulation apparatus for ceramic electron tubes
US5053678A (en) 1988-03-16 1991-10-01 Hitachi, Ltd. Microwave ion source
US5115167A (en) * 1988-04-05 1992-05-19 Mitsubishi Denki Kabushiki Kaisha Plasma processor
JP2566648B2 (ja) * 1988-05-23 1996-12-25 日本電信電話株式会社 プラズマエッチング装置
EP0343500B1 (en) * 1988-05-23 1994-01-19 Nippon Telegraph And Telephone Corporation Plasma etching apparatus
US5055853A (en) 1988-10-03 1991-10-08 Garnier Robert C Magnetic frill generator
US5107170A (en) * 1988-10-18 1992-04-21 Nissin Electric Co., Ltd. Ion source having auxillary ion chamber
US5089083A (en) * 1989-04-25 1992-02-18 Tokyo Electron Limited Plasma etching method
US5225024A (en) * 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
JPH02298024A (ja) 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
DE3923661A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung fuer die anpassung der impedanz einer plasmastrecke an einen hochfrequenzgenerator
US5032202A (en) * 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing
US5210466A (en) 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
US5223457A (en) * 1989-10-03 1993-06-29 Applied Materials, Inc. High-frequency semiconductor wafer processing method using a negative self-bias
US5300460A (en) * 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US5081398A (en) * 1989-10-20 1992-01-14 Board Of Trustees Operating Michigan State University Resonant radio frequency wave coupler apparatus using higher modes
US5252194A (en) 1990-01-26 1993-10-12 Varian Associates, Inc. Rotating sputtering apparatus for selected erosion
JPH04901A (ja) 1990-04-18 1992-01-06 Mitsubishi Electric Corp プラズマ装置の高周波給電方法及び装置
KR930004713B1 (ko) * 1990-06-18 1993-06-03 삼성전자 주식회사 변조방식을 이용한 플라즈마 발생장치 및 방법
EP0463408A3 (en) * 1990-06-22 1992-07-08 Hauzer Techno Coating Europe Bv Plasma accelerator with closed electron drift
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5079481A (en) * 1990-08-02 1992-01-07 Texas Instruments Incorporated Plasma-assisted processing magneton with magnetic field adjustment
US5304279A (en) * 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5274306A (en) 1990-08-31 1993-12-28 Kaufman & Robinson, Inc. Capacitively coupled radiofrequency plasma source
JP2598336B2 (ja) * 1990-09-21 1997-04-09 株式会社日立製作所 プラズマ処理装置
US5376211A (en) 1990-09-29 1994-12-27 Tokyo Electron Limited Magnetron plasma processing apparatus and processing method
FR2667980A1 (fr) 1990-10-12 1992-04-17 Sodern Source d'electrons presentant un dispositif de retention de matieres.
US5208512A (en) * 1990-10-16 1993-05-04 International Business Machines Corporation Scanned electron cyclotron resonance plasma source
US5246532A (en) 1990-10-26 1993-09-21 Mitsubishi Denki Kabushiki Kaisha Plasma processing apparatus
JP2501948B2 (ja) * 1990-10-26 1996-05-29 三菱電機株式会社 プラズマ処理方法及びプラズマ処理装置
US5195045A (en) * 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
EP0577667B1 (en) * 1991-03-25 1998-07-22 Commonwealth Scientific And Industrial Research Organisation Arc source macroparticle filter
CA2102201A1 (en) * 1991-05-21 1992-11-22 Ebrahim Ghanbari Cluster tool soft etch module and ecr plasma generator therefor
US5432315A (en) * 1991-05-31 1995-07-11 Hitachi, Ltd. Plasma process apparatus including ground electrode with protection film
DE4118973C2 (de) 1991-06-08 1999-02-04 Fraunhofer Ges Forschung Vorrichtung zur plasmaunterstützten Bearbeitung von Substraten und Verwendung dieser Vorrichtung
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
JPH0521391A (ja) * 1991-07-12 1993-01-29 Sumitomo Metal Ind Ltd マイクロ波プラズマ装置
US5198725A (en) * 1991-07-12 1993-03-30 Lam Research Corporation Method of producing flat ecr layer in microwave plasma device and apparatus therefor
KR0184675B1 (ko) * 1991-07-24 1999-04-15 이노우에 쥰이치 챔버내의 전극에 있어서의 실제의 rf파워를 검출 및 제어 가능한 플라즈마 처리장치
US5308417A (en) * 1991-09-12 1994-05-03 Applied Materials, Inc. Uniformity for magnetically enhanced plasma chambers
US5849136A (en) 1991-10-11 1998-12-15 Applied Materials, Inc. High frequency semiconductor wafer processing apparatus and method
US5279669A (en) * 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5175472A (en) 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
JP2621728B2 (ja) * 1992-02-21 1997-06-18 株式会社日立製作所 スパッタリング方法及びその装置
US5444207A (en) 1992-03-26 1995-08-22 Kabushiki Kaisha Toshiba Plasma generating device and surface processing device and method for processing wafers in a uniform magnetic field
KR930021034A (ko) 1992-03-31 1993-10-20 다니이 아끼오 플라즈마발생방법 및 그 발생장치
KR0127663B1 (ko) 1992-04-17 1998-04-01 모리시타 요이찌 플라즈마발생장치 및 플라즈마발생방법
US5273610A (en) 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5325019A (en) * 1992-08-21 1994-06-28 Sematech, Inc. Control of plasma process by use of harmonic frequency components of voltage and current
JP2972477B2 (ja) * 1993-01-27 1999-11-08 日本電気株式会社 Rf・ecrプラズマエッチング装置
FR2701797B1 (fr) * 1993-02-18 1995-03-31 Commissariat Energie Atomique Coupleur de transfert d'une puissance micro-onde vers une nappe de plasma et source micro-onde linéaire pour le traitement de surfaces par plasma .
US5537004A (en) * 1993-03-06 1996-07-16 Tokyo Electron Limited Low frequency electron cyclotron resonance plasma processor
TW249313B (zh) 1993-03-06 1995-06-11 Tokyo Electron Co
JP3236111B2 (ja) * 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5534108A (en) * 1993-05-28 1996-07-09 Applied Materials, Inc. Method and apparatus for altering magnetic coil current to produce etch uniformity in a magnetic field-enhanced plasma reactor
CA2126731A1 (en) * 1993-07-12 1995-01-13 Frank Jansen Hollow cathode array and method of cleaning sheet stock therewith
KR0170456B1 (ko) * 1993-07-16 1999-03-30 세끼사와 다까시 반도체 장치 및 그 제조방법
EP0719447B1 (en) 1993-09-17 1998-07-22 Isis Innovation Limited Rf plasma reactor
US5849372A (en) 1993-09-17 1998-12-15 Isis Innovation Limited RF plasma reactor and methods of generating RF plasma
US5565382A (en) 1993-10-12 1996-10-15 Applied Materials, Inc. Process for forming tungsten silicide on semiconductor wafer using dichlorosilane gas
KR100276736B1 (ko) 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5467013A (en) 1993-12-07 1995-11-14 Sematech, Inc. Radio frequency monitor for semiconductor process control
US5463525A (en) 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
EP0661728B1 (en) * 1993-12-28 1997-06-11 Shin-Etsu Chemical Co., Ltd. Dipole ring magnet for use in magnetron sputtering or magnetron etching
JP3279038B2 (ja) 1994-01-31 2002-04-30 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
JP3365067B2 (ja) 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
JP3124204B2 (ja) * 1994-02-28 2001-01-15 株式会社東芝 プラズマ処理装置
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
US5506475A (en) * 1994-03-22 1996-04-09 Martin Marietta Energy Systems, Inc. Microwave electron cyclotron electron resonance (ECR) ion source with a large, uniformly distributed, axially symmetric, ECR plasma volume
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5685914A (en) 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US5798029A (en) 1994-04-22 1998-08-25 Applied Materials, Inc. Target for sputtering equipment
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
EP1722403B1 (en) 1994-06-15 2012-07-25 Seiko Epson Corporation Fabrication method for a thin film semiconductor device
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
AU2003195A (en) 1994-06-21 1996-01-04 Boc Group, Inc., The Improved power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5503676A (en) 1994-09-19 1996-04-02 Lam Research Corporation Apparatus and method for magnetron in-situ cleaning of plasma reaction chamber
US5945008A (en) 1994-09-29 1999-08-31 Sony Corporation Method and apparatus for plasma control
IT1269413B (it) * 1994-10-21 1997-04-01 Proel Tecnologie Spa Sorgente di plasma a radiofrequenza
US5576629A (en) 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
JP2956494B2 (ja) * 1994-10-26 1999-10-04 住友金属工業株式会社 プラズマ処理装置
JPH08167588A (ja) * 1994-12-12 1996-06-25 Sony Corp プラズマ処理装置及びプラズマモニタリング装置
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5576600A (en) 1994-12-23 1996-11-19 Dynatenn, Inc. Broad high current ion source
US5792376A (en) 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
JP3778299B2 (ja) * 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5674321A (en) * 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
JP3595608B2 (ja) * 1995-05-30 2004-12-02 アネルバ株式会社 真空処理装置、真空処理装置における真空容器内面堆積膜除去方法及び真空処理装置における真空容器内面膜堆積均一化方法
JP3169337B2 (ja) * 1995-05-30 2001-05-21 キヤノン株式会社 光起電力素子及びその製造方法
JP3208044B2 (ja) 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US5997962A (en) 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5659276A (en) 1995-07-12 1997-08-19 Shin-Etsu Chemical Co., Ltd. Magnetic field generator for magnetron plasma
JPH0945624A (ja) 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5907221A (en) * 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
US6089182A (en) 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
US5718795A (en) * 1995-08-21 1998-02-17 Applied Materials, Inc. Radial magnetic field enhancement for plasma processing
KR100226366B1 (ko) 1995-08-23 1999-10-15 아끼구사 나오유끼 플라즈마장치 및 플라즈마 처리방법
JPH09106898A (ja) 1995-10-09 1997-04-22 Anelva Corp プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法
US5965034A (en) 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
TW303480B (en) * 1996-01-24 1997-04-21 Applied Materials Inc Magnetically confined plasma reactor for processing a semiconductor wafer
US6095084A (en) 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5783102A (en) * 1996-02-05 1998-07-21 International Business Machines Corporation Negative ion deductive source for etching high aspect ratio structures
US5907220A (en) * 1996-03-13 1999-05-25 Applied Materials, Inc. Magnetron for low pressure full face erosion
US5942074A (en) 1996-03-29 1999-08-24 Lam Research Corporation Single-piece gas director for plasma reactors
JP3238082B2 (ja) 1996-05-16 2001-12-10 シャープ株式会社 電子デバイス製造装置
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US6000360A (en) 1996-07-03 1999-12-14 Tokyo Electron Limited Plasma processing apparatus
US5885358A (en) 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5770922A (en) * 1996-07-22 1998-06-23 Eni Technologies, Inc. Baseband V-I probe
US5866986A (en) 1996-08-05 1999-02-02 Integrated Electronic Innovations, Inc. Microwave gas phase plasma source
TW351825B (en) * 1996-09-12 1999-02-01 Tokyo Electron Ltd Plasma process device
JPH10134996A (ja) 1996-10-31 1998-05-22 Nec Corp プラズマ処理装置
US6294026B1 (en) 1996-11-26 2001-09-25 Siemens Aktiengesellschaft Distribution plate for a reaction chamber with multiple gas inlets and separate mass flow control loops
US6113731A (en) 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6152071A (en) 1996-12-11 2000-11-28 Canon Kabushiki Kaisha High-frequency introducing means, plasma treatment apparatus, and plasma treatment method
US5824607A (en) 1997-02-06 1998-10-20 Applied Materials, Inc. Plasma confinement for an inductively coupled plasma reactor
US6599399B2 (en) 1997-03-07 2003-07-29 Applied Materials, Inc. Sputtering method to generate ionized metal plasma using electron beams and magnetic field
EP0979097B1 (en) 1997-03-15 2005-11-02 Nakamura, Toshikazu Hgf for treating acute renal failure
JP3650248B2 (ja) 1997-03-19 2005-05-18 東京エレクトロン株式会社 プラズマ処理装置
JP3582287B2 (ja) 1997-03-26 2004-10-27 株式会社日立製作所 エッチング装置
TW376547B (en) 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US5880034A (en) 1997-04-29 1999-03-09 Princeton University Reduction of semiconductor structure damage during reactive ion etching
JPH1116893A (ja) * 1997-06-25 1999-01-22 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
US6155200A (en) 1997-07-08 2000-12-05 Tokyo Electron Limited ECR plasma generator and an ECR system using the generator
US6110395A (en) 1997-08-26 2000-08-29 Trikon Technologies, Inc. Method and structure for controlling plasma uniformity
US5902461A (en) * 1997-09-03 1999-05-11 Applied Materials, Inc. Apparatus and method for enhancing uniformity of a metal film formed on a substrate with the aid of an inductively coupled plasma
JP2929284B2 (ja) 1997-09-10 1999-08-03 株式会社アドテック 高周波プラズマ処理装置のためのインピーダンス整合及び電力制御システム
US6076482A (en) * 1997-09-20 2000-06-20 Applied Materials, Inc. Thin film processing plasma reactor chamber with radially upward sloping ceiling for promoting radially outward diffusion
US6291999B1 (en) 1997-09-30 2001-09-18 Daihen Corp. Plasma monitoring apparatus
US5971591A (en) 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
US5876576A (en) * 1997-10-27 1999-03-02 Applied Materials, Inc. Apparatus for sputtering magnetic target materials
US6051151A (en) * 1997-11-12 2000-04-18 International Business Machines Corporation Apparatus and method of producing a negative ion plasma
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6251216B1 (en) 1997-12-17 2001-06-26 Matsushita Electronics Corporation Apparatus and method for plasma processing
US5929717A (en) 1998-01-09 1999-07-27 Lam Research Corporation Method of and apparatus for minimizing plasma instability in an RF processor
US6015476A (en) * 1998-02-05 2000-01-18 Applied Materials, Inc. Plasma reactor magnet with independently controllable parallel axial current-carrying elements
US6449568B1 (en) 1998-02-27 2002-09-10 Eni Technology, Inc. Voltage-current sensor with high matching directivity
US6164240A (en) * 1998-03-24 2000-12-26 Applied Materials, Inc. Semiconductor wafer processor, plasma generating apparatus, magnetic field generator, and method of generating a magnetic field
US6085688A (en) * 1998-03-27 2000-07-11 Applied Materials, Inc. Method and apparatus for improving processing and reducing charge damage in an inductively coupled plasma reactor
US6123862A (en) 1998-04-24 2000-09-26 Micron Technology, Inc. Method of forming high aspect ratio apertures
US6106663A (en) 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
JP2000021871A (ja) 1998-06-30 2000-01-21 Tokyo Electron Ltd プラズマ処理方法
JP3375302B2 (ja) * 1998-07-29 2003-02-10 東京エレクトロン株式会社 マグネトロンプラズマ処理装置および処理方法
US6545580B2 (en) * 1998-09-09 2003-04-08 Veeco Instruments, Inc. Electromagnetic field generator and method of operation
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
FI105612B (fi) 1998-10-23 2000-09-15 Nokia Networks Oy Menetelmä ja kytkentä vaihevirheen korjaamiseksi tehovahvistimen linearisointisilmukassa
JP3818561B2 (ja) 1998-10-29 2006-09-06 エルジー フィリップス エルシーディー カンパニー リミテッド シリコン酸化膜の成膜方法および薄膜トランジスタの製造方法
US6300227B1 (en) 1998-12-01 2001-10-09 Silicon Genesis Corporation Enhanced plasma mode and system for plasma immersion ion implantation
US6213050B1 (en) 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
US6579421B1 (en) * 1999-01-07 2003-06-17 Applied Materials, Inc. Transverse magnetic field for ionized sputter deposition
JP2000245005A (ja) 1999-02-18 2000-09-08 Toshiba Corp 車両駆動制御装置
US6188564B1 (en) 1999-03-31 2001-02-13 Lam Research Corporation Method and apparatus for compensating non-uniform wafer processing in plasma processing chamber
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3374796B2 (ja) 1999-08-06 2003-02-10 松下電器産業株式会社 プラズマ処理方法及び装置
US6262538B1 (en) 1999-08-26 2001-07-17 International Business Machines Corporation High density plasma tool with adjustable uniformity and stochastic electron heating for reduced gas cracking
JP3892996B2 (ja) 1999-09-02 2007-03-14 東京エレクトロン株式会社 マグネトロンプラズマ処理装置
JP2001074049A (ja) 1999-09-07 2001-03-23 Ebara Corp 磁気軸受装置
US6610184B2 (en) 2001-11-14 2003-08-26 Applied Materials, Inc. Magnet array in conjunction with rotating magnetron for plasma sputtering
US6341574B1 (en) * 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
EP1230664B1 (en) * 1999-11-15 2008-05-07 Lam Research Corporation Processing systems
JP2001156044A (ja) 1999-11-26 2001-06-08 Tokyo Electron Ltd 処理装置及び処理方法
US6432259B1 (en) 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
DE60133159T2 (de) 2000-01-12 2009-03-19 Tokyo Electron Ltd. Vakuumbehandlungsanlage
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6451177B1 (en) 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6251242B1 (en) * 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6451703B1 (en) 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6853141B2 (en) * 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US7030335B2 (en) 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6528751B1 (en) * 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
JP2003529926A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
US6507155B1 (en) 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US6296747B1 (en) 2000-06-22 2001-10-02 Applied Materials, Inc. Baffled perforated shield in a plasma sputtering reactor
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6627050B2 (en) 2000-07-28 2003-09-30 Applied Materials, Inc. Method and apparatus for depositing a tantalum-containing layer on a substrate
JP3565774B2 (ja) 2000-09-12 2004-09-15 株式会社日立製作所 プラズマ処理装置及び処理方法
US6716302B2 (en) * 2000-11-01 2004-04-06 Applied Materials Inc. Dielectric etch chamber with expanded process window
CN1328766C (zh) * 2001-01-22 2007-07-25 东京毅力科创株式会社 处理装置和处理方法
JP3987686B2 (ja) 2001-02-02 2007-10-10 ジーイー・メディカル・システムズ・グローバル・テクノロジー・カンパニー・エルエルシー 静磁界補正方法およびmri装置
US6673199B1 (en) * 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
US20020139477A1 (en) 2001-03-30 2002-10-03 Lam Research Corporation Plasma processing method and apparatus with control of plasma excitation power
US6663754B2 (en) 2001-04-13 2003-12-16 Applied Materials, Inc. Tubular magnet as center pole in unbalanced sputtering magnetron
JP3843880B2 (ja) 2001-05-31 2006-11-08 株式会社デンソー ガス濃度センサのヒータ制御装置
JP4009087B2 (ja) * 2001-07-06 2007-11-14 アプライド マテリアルズ インコーポレイテッド 半導体製造装置における磁気発生装置、半導体製造装置および磁場強度制御方法
US6674241B2 (en) 2001-07-24 2004-01-06 Tokyo Electron Limited Plasma processing apparatus and method of controlling chemistry
US6491801B1 (en) 2001-08-07 2002-12-10 Applied Materials, Inc. Auxiliary vertical magnet outside a nested unbalanced magnetron
US6495009B1 (en) 2001-08-07 2002-12-17 Applied Materials, Inc. Auxiliary in-plane magnet inside a nested unbalanced magnetron
US6805770B1 (en) 2001-08-30 2004-10-19 Oster Magnetics, Inc. Technique for improving uniformity of magnetic fields that rotate or oscillate about an axis
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US6652712B2 (en) 2001-12-19 2003-11-25 Applied Materials, Inc Inductive antenna for a plasma reactor producing reduced fluorine dissociation
US6761804B2 (en) 2002-02-11 2004-07-13 Applied Materials, Inc. Inverted magnetron
US6521082B1 (en) * 2002-04-16 2003-02-18 Applied Materials Inc. Magnetically enhanced plasma apparatus and method with enhanced plasma uniformity and enhanced ion energy control
US6715900B2 (en) 2002-05-17 2004-04-06 A L Lightech, Inc. Light source arrangement
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
AU2003270496A1 (en) 2002-09-09 2004-03-29 Oster Magnetics, Inc. Apparatus for manipulating magnetic fields
US7458335B1 (en) 2002-10-10 2008-12-02 Applied Materials, Inc. Uniform magnetically enhanced reactive ion etching using nested electromagnetic coils
US7422654B2 (en) * 2003-02-14 2008-09-09 Applied Materials, Inc. Method and apparatus for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US20090250432A1 (en) 2008-04-07 2009-10-08 Hoffman Daniel J Method of controlling plasma distribution uniformity by time-weighted superposition of different solenoid fields

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI596646B (zh) * 2015-09-10 2017-08-21 台灣積體電路製造股份有限公司 離子收集器、電漿系統的控制方法以及使用電漿處理基板的方法
US10553411B2 (en) 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
US11581169B2 (en) 2015-09-10 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
TWI808628B (zh) * 2021-10-29 2023-07-11 日商國際電氣股份有限公司 基板處理裝置、半導體裝置之製造方法及程式

Also Published As

Publication number Publication date
TW200628022A (en) 2006-08-01
KR20060087451A (ko) 2006-08-02
KR100853577B1 (ko) 2008-08-21
CN1812683A (zh) 2006-08-02
JP4769586B2 (ja) 2011-09-07
EP1686612A1 (en) 2006-08-02
CN1812683B (zh) 2013-07-17
JP2006237590A (ja) 2006-09-07
US20050167051A1 (en) 2005-08-04
US8617351B2 (en) 2013-12-31

Similar Documents

Publication Publication Date Title
TWI388243B (zh) 用於電漿均勻性與減少元件損壞之對於尖端、螺線管與鏡像場具有最少直流電線圈的電漿反應器
JP4387299B2 (ja) 磁気プラズマ制御を伴う容量結合プラズマリアクタ
KR100882757B1 (ko) 균일한 플라즈마 반경방향 분포를 갖는 용량 결합된 플라즈마 리액터
US7955986B2 (en) Capacitively coupled plasma reactor with magnetic plasma control
US6095084A (en) High density plasma process chamber
JP4236294B2 (ja) 上部にソレノイドアンテナを有する電磁結合rfプラズマリアクター
TWI376731B (en) Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US8299391B2 (en) Field enhanced inductively coupled plasma (Fe-ICP) reactor
CA2386078C (en) Uniform gas distribution in large area plasma source
US20040163766A1 (en) Charged particle source and operation thereof
KR19980032909A (ko) 원뿔형 돔과 유도성으로 연결된 평행판 플라즈마 반응기
JP2005528790A (ja) プラズマエッチングリアクタ用のカソードペデスタル
JP7264576B2 (ja) 製造プロセスにおける超局所化及びプラズマ均一性制御

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees