KR100853577B1 - 플라즈마 균일성 및 장치 손상 감소를 위해 첨단, 솔레노이드 및 미러 자기장들을 위한 최소 d.c. 코일들을 구비한 플라즈마 반응기 - Google Patents

플라즈마 균일성 및 장치 손상 감소를 위해 첨단, 솔레노이드 및 미러 자기장들을 위한 최소 d.c. 코일들을 구비한 플라즈마 반응기 Download PDF

Info

Publication number
KR100853577B1
KR100853577B1 KR1020060008686A KR20060008686A KR100853577B1 KR 100853577 B1 KR100853577 B1 KR 100853577B1 KR 1020060008686 A KR1020060008686 A KR 1020060008686A KR 20060008686 A KR20060008686 A KR 20060008686A KR 100853577 B1 KR100853577 B1 KR 100853577B1
Authority
KR
South Korea
Prior art keywords
plasma
magnetic field
workpiece support
support surface
distribution
Prior art date
Application number
KR1020060008686A
Other languages
English (en)
Other versions
KR20060087451A (ko
Inventor
다니엘 제이. 호프만
로저 에이. 린드리
마이클 씨. 쿠트니
마틴 제이. 사리나스
하미드 에프. 타바소리
케이지 호리오카
더글라스 에이. 주니어. 부치버거
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060087451A publication Critical patent/KR20060087451A/ko
Application granted granted Critical
Publication of KR100853577B1 publication Critical patent/KR100853577B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • EFIXED CONSTRUCTIONS
    • E01CONSTRUCTION OF ROADS, RAILWAYS, OR BRIDGES
    • E01CCONSTRUCTION OF, OR SURFACES FOR, ROADS, SPORTS GROUNDS, OR THE LIKE; MACHINES OR AUXILIARY TOOLS FOR CONSTRUCTION OR REPAIR
    • E01C19/00Machines, tools or auxiliary devices for preparing or distributing paving materials, for working the placed materials, or for forming, consolidating, or finishing the paving
    • E01C19/12Machines, tools or auxiliary devices for preparing or distributing paving materials, for working the placed materials, or for forming, consolidating, or finishing the paving for distributing granular or liquid materials
    • E01C19/16Machines, tools or auxiliary devices for preparing or distributing paving materials, for working the placed materials, or for forming, consolidating, or finishing the paving for distributing granular or liquid materials for applying or spreading liquid materials, e.g. bitumen slurries
    • E01C19/17Application by spraying or throwing
    • E01C19/178Elements or attachments for spreading-out or smoothing-down the applied material, e.g. brushes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • EFIXED CONSTRUCTIONS
    • E01CONSTRUCTION OF ROADS, RAILWAYS, OR BRIDGES
    • E01CCONSTRUCTION OF, OR SURFACES FOR, ROADS, SPORTS GROUNDS, OR THE LIKE; MACHINES OR AUXILIARY TOOLS FOR CONSTRUCTION OR REPAIR
    • E01C11/00Details of pavings
    • E01C11/24Methods or arrangements for preventing slipperiness or protecting against influences of the weather

Abstract

워크피스를 처리하는 플라즈마 반응기는 측벽 및 천장으로 한정된 진공 챔버, 및 상기 챔버 내에 워크피스 지지면을 구비하고 상기 천장에 대면하며 캐소드 전극을 포함하는 워크피스 지지 페데스탈을 포함한다. RF 전력 생성기는 캐소드 전극에 접속된다. 플라즈마 분포는 워크피스 지지면 위에 있는 제 1평면에 위치한 외부 환상 내부 전자석, 워크피스 지지면 위에 있는 제 2평면에 위치하며 내부 전자석보다 큰 직경을 가진 외부 환상 외부 전자석, 및 워크피스 지지면 아래에 있는 제 3평면에 위치한 외부 환상 하부 전자석에 의하여 제어된다. D.C. 전류 공급원들은 내부, 외부, 하부 전자석들의 각각의 전자석들에 접속된다.

Description

플라즈마 균일성 및 장치 손상 감소를 위해 첨단, 솔레노이드 및 미러 자기장들을 위한 최소 D.C. 코일들을 구비한 플라즈마 반응기{PLASMA REACTOR WITH MINIMAL D.C. COILS FOR CUSP, SOLENOID AND MIRROR FIELDS FOR PLASMA UNIFORMITY AND DEVICE DAMAGE REDUCTION}
도 1A, 도 1B 및 도 1C는 플라즈마 이온 균일성을 제어하기 위하여 오버헤드 VHF 전극 및 오버헤드 코일들을 구비한 플라즈마 반응기를 도시한다
도 2는 도 1의 오버헤드 코일들을 제어하는 전형적인 장치를 도시한다.
도 3A 및 도 3B는 도 1의 오버헤드 코일들의 자기장에 대한 그래픽 표현을 도시하며, 도 3C는 상기 자기장의 공간 표현을 도시한다.
도 4A, 도 4B, 도 4C 및 도 4D는 도 1의 반응기의 다양한 동작 모드들의 방사상 위치(수평축)에 대한 함수로써 웨이퍼 표면상에서의 에칭비(수직축)의 그래프들이다.
도 5A, 5B, 5C, 및 5D는 도 1의 반응기의 추가 동작 모드들의 방사상 위치(수평축)에 대한 함수로써 웨이퍼 표면상의 에칭비(수직축)의 그래프들이다.
도 6은 자기장의 함수로써 에칭비를 도시한 그래프이다.
도 7 및 도 8은 MERIE 자석들을 구비한 도 1A의 반응기를 도시한다.
도 9는 도 1A의 반응기를 동작시키는 방법을 도시한다.
도 10은 도 1A의 반응기의 웨이퍼 표면 상의 방사상 위치에 대한 함수들로써 자기 압력 및 이온 또는 전자밀도의 비교 예를 기술한 그래프이다.
도 11은 코일 전류의 함수로써 에칭비 비-균일성을 도시한 그래프.
도 12는 도 11의 예에서 0A의 코일 전류에서의 방사상 이온 분포를 도시한다.
도 13A 및 도 13B는 도 11의 예에서 약 11A의 코일 전류에서 측정된 에칭비 분포와 예측된 에칭비 분포를 비교한다.
도 14A 및 도 14B는 도 11의 예에서 약 35A의 코일 전류에서 측정된 에칭비 분포와 예측된 에칭비 분포를 비교한다.
도 15는 도 1A의 반응기를 동작시키는 다른 방법을 도시한다.
도 16은 도 1A에 대응하는 반응기에서 획득된 자기장 분포를 도시한다.
도 17은 웨이퍼 평면에서 도 16의 자기장의 제곱의 기울기(gradient)를 도시한다.
도 18은 도 1A에 대응하는 반응기에서 획득된 다른 자기장 분포를 도시한다.
도 19는 웨이퍼 평면에서 도 18의 자기장의 제곱의 기울기를 도시한다.
도 20은 도 1A에 대응하는 반응기에서 획득된 또 다른 자기장 분포를 도시한다.
도 21은 웨이퍼 평면에서 도 20의 자기장의 제곱의 기울기를 도시한다.
도 22는 도 1A의 반응기를 동작시키는 또 다른 방법을 도시한다.
도 23은 도 1A의 반응기를 제어하기 위한 예시적인 마이크로제어기 동작을 도시한다.
도 24은 도 1A의 반응기에 포함된 특징들을 포함하는 플라즈마 반응기를 도시한다.
도 25는 도 1A의 반응기에 포함된 특징들을 포함하는 다른 플라즈마 반응기를 도시한다.
도 26, 도 27, 도 28, 도 29A, 및 도 29B는 도 1A, 도 24 및 도 25의 반응기용 가스 분배 플레이트를 도시한다.
도 30 및 도 31은 도 26의 가스 분배 플레이트와 같은 가스 분배 플레이트에서 열적 제어 특징들을 도시한다.
도 32 및 도 33은 이중 영역 가스 흐름 제어를 구비한 도 26에 상응하는 가스 분배 플레이트를 도시한다.
도 34는 이중 영역 가스 분배 플레이트를 구비한 도 1A에 상응하는 플라즈마 반응기를 도시한다.
도 35 및 도 36은 예시적인 이중 영역 가스 흐름 제어기들을 도시한다.
도 37은 플라즈마 이온 분포를 제어하기 위한 3개의 오버헤드 코일들을 구비한 도 34에 상응하는 플라즈마 반응기를 도시한다.
도 38 및 도 39는 중앙에서 낮거나 또는 중앙에서 높은 가스 흐름 분포들을 생성하기 위한 도 26의 가스 분배 플레이트의 상이한 가스 주입 홀 패턴들을 도시한다.
도 40, 도 41, 도 42 및 도 43은 플라즈마 이온 분포를 제어하기 위한 오버헤드 코일들의 상이한 배치들을 도시한다.
도 44 및 도 45는 도 1A에 대응하는 플라즈마 반응기를 도시하는데, 여기서 오버헤드 코일들은 도 45에 최상으로 도시된 첨단형 자기장을 생성하기 위하여 반응기 챔버의 위와 아래에 위치하는 상부 및 하부 자기 코일들에 의하여 대체된다.
도 46은 도 44의 상부 및 하부 코일들이 도 45의 첨단형 자기장을 생성하기 위한 방식으로 동작되는 구성가능 자기장(CMF) 코일들로 대체되는 방법을 도시한다.
도 47A 내지 47D는 희망하는 자기장 구성을 생성하기 위하여 도 46의 CMF 코일들의 동작 모드를 도시한다.
도 48, 도 49 및 도 50은 플라즈마 이온들이 반응기의 펌핑 고리에 들어가는 것을 방지하기 위한 도 1A의 반응기의 환상 개구 플레이트를 도시한다.
도 51은 장방형 워크피스를 처리하기 위한 도 1A의 반응기의 장방형 버전을 도시한다.
도 52는 신축가능한 워크피스 지지부 페데스탈을 구비한 도 1A에 상응하는 반응기를 도시한다.
도 53A 및 도 53B는 플라즈마 이온 분포를 제어하기 위하여 두 개의 오버헤드 코일 및 하나의 하부 코일을 사용하는 다른 실시예들을 도시한다.
도 54는 본 발명의 일 실시예를 도시한다.
도 55A 내지 도 55C는 도 54의 반응기의 3가지 모드들에 상응하는 웨이퍼 평면에서의 3개의 자기장을 도시한다.
도 56A 내지 도 56C는 도 55A 내지 도 55C에 각각 대응하는, 인가된 전자석 D.C. 전류들을 도시한다.
도 57A 및 도 57B는 도 54의 반응기의 첨단 모드 및 솔레노이드 모드 각각에 대해 방사상 성분 및 축 성분의 분포들을 비교하는 그래프들이다.
도 58A 및 도 58B는 도 54의 반응기의 솔레노이드 모드 및 미러 모드 각각에 대해 방사상 성분 및 축 성분을 비교하는 그래프들이다.
도 59는 도 54의 반응기의 서로 다른 모드들에 대한 플라즈마 이온 방사상 분포(이온 포화 전류로부터 추론됨) 그래프이다.
도 60은 도 54의 반응기의 서로 다른 자기장들 또는 모드들에 대한 반경의 함수로써 에칭비의 그래프이다.
도 61은 에칭비 불균일성 및 장치 손상에 의하여 서로 다른 자기장들 또는 모드들을 특징지우는 테이블을 도시한다.
도 62는 3개의 자석들 중 적어도 2개의 자석에 대한 최적 D.C. 코일 전류들을 결정하기 위한 기본 프로세스를 도시한다.
도 63은 모든 3개의 자석들에 대한 D.C. 전류들을 결정하기 위한 도 62의 프로세스를 뒤따르는 추가 프로세스를 도시한다.
도 64는 도 63의 프로세스에 대한 대안을 도시한다.
도 65는 하나의 자석을 사용하는 제 1 탐색에 따라서 서로 다른 자기장 강도들에 대한 에칭비 방사상 분포를 도시한 그래프이다.
도 66은 다른 자석을 사용하는 제 2 탐색에 따라서 서로 다른 자기장 강도들에 대한 에칭비 방사상 분포를 도시한 그래프이다.
도 67은 도 65 및 도 66의 분포들로부터 구성된 수학적 분포 함수를 도시한다.
도 68은 최적 전자석 D.C. 전류들을 결정하는 일 프로세스를 도시한다.
도 69는 최적 전자석 D.C. 전류들을 결정하는 다른 프로세스를 도시한다.
도 70은 최적 전자석 D.C. 전류들을 결정하는 또 다른 프로세스를 도시한다.
도 71A 내지 도 71E는 도 70의 프로세스의 연속적인 단계들에서 획득된 에칭비 분포들을 도시한다.
본 출원은 "자기 플라즈마 제어를 수행하는 용량성 결합 플라즈마 반응기"라는 명칭으로 2004년 5월 7일에 Daniel Hoffman등에 의하여 출원된 미국출원번호 제10/841,116호의 일부 계속 출원이며, 이 출원(10/841,116)은 "자기 플라즈마 제어를 수행하는 용량성 결합 플라즈마 반응기"라는 명칭으로 2002년 7월 9일에 Daniel Hoffman에 의하여 출원된 미국출원번호 제10/192,271호의 분할출원이며, 이들 출원들은 본원 양수인에게 양도되었다.
용량성 결합 플라즈마 반응기들은 높은 종횡비를 갖는 반도체 마이크로전자 구조들을 제조할 때 사용된다. 이러한 구조들은 전형적으로 반도체 기판상에 형성된 하나 이상의 박막들을 통해 좁고 깊은 개구부들을 가진다. 용량성 결합 플라즈마 반응기들은 상기 장치들을 제조할 때 유전체 에칭 공정들, 금속 에칭 공정들, 화학기상증착 등을 포함하는 다양한 형태의 공정에서 사용된다. 또한 이러한 반응기들은 포토리소그라피 마스크 및 반도체 평판 디스플레이를 제조하는데 사용된다. 이러한 응용들은 적정 공정들을 강화하거나 또는 활성화하기 위하여 플라즈마 이온들에 좌우된다. 반도체 워크피스의 표면상의 플라즈마 이온 밀도는 공정 파라미터들에 영향을 미치며 높은 종횡비 마이크로전자 구조들의 제조시에 특히 중요하다. 사실상, 높은 종횡비 마이크로전자 집적회로들을 제조할 때의 문제점은 워크피스 표면 전반에 걸친 플라즈마 이온 밀도의 불균일성이 불균일한 증착비 또는 에칭비들로 인하여 처리 결함을 유발할 수 있다는 점이다.
전형적인 용량성 결합 반응기는 반응기 챔버 내에 웨이퍼 지지 페데스탈 및 웨이퍼 지지부 위에 있는 천장을 구비한다. 천장은 공정 가스를 챔버 내로 분사하는 가스 분배 플레이트를 포함할 수 있다. RF 전력 소스는 웨이퍼 지지부 위에서 플라즈마를 점화(break)시키고 유지하기 위하여 웨이퍼 지지부 및 천장 또는 벽 전반에 걸쳐 공급된다. 챔버는 일반적으로 실린더형이며, 천장 및 웨이퍼 지지부는 원형 또는 동축형이며, 실린더형 챔버는 균일한 처리를 강화한다. 그럼에도 불구하고, 이러한 반응기들은 불균일 플라즈마 밀도 분포들을 가진다. 전형적으로, 플라즈마 이온들의 방사상 밀도 분포는 웨이퍼 지지부의 중심에서 높고 주변 근처에서 낮으며, 이는 중요한 문제이다. 웨이퍼 또는 워크피스 표면에서의 처리 균일성을 개선하고 상기 문제점을 적어도 부분적으로 극복하기 위하여 플라즈마 이온 밀도 분포를 제어하기 위한 다양한 방법들이 사용되었다.
다양한 방법들 중 한 방법은 반응기 챔버의 측면 둘레에 원주로 이격된 자기 코일들의 세트를 제공하는 것이며, 이러한 코일 모두는 챔버의 중앙과 대면하고 이다. 비교적 낮은 주파수의 정현파 전류가 각각의 코일에 공급되며, 인접 코일들의 정현파 전류들은 웨이퍼 지지부 위에 저속 회전 자기장을 발생시키기 위하여 위상이 오프셋 된다. 이러한 특징은 웨이퍼 지지부 위의 플라즈마 이온 밀도의 방사상 분포를 개선하는 경향이 있다. 이러한 방법이 반응성 이온 에칭시에 사용될 때, 반응성 이온 에칭은 자기적으로 강화된 반응성 이온 에칭(magnetically enhanced reactive ion etching; MERIE)라 칭한다. 이러한 방법에는 특정 제한들이 있다. 특히, 자기장의 강도는 자기장의 강도와 관련된 반도체 워크피스 상의 마이크로전자 구조들에 대한 장치 손상을 방지하기 위하여 제한될 필요성이 있을 수 있다. 강도는 자기장 강도의 변화율과 관련된 챔버 아킹을 방지하기 위하여 제한되어야 한다. 결과적으로, 전체 MERIE 자기장은 실질적으로 감소될 필요가 있을 수 있으며, 이에 따라 플라즈마 이온 밀도 균일성 제어시 실질적인 제한들에 직면할 수 있다.
다른 방법은 구성가능 자기장(configurable magnetic fields; CMF)라 칭하며 앞서 언급된 동일한 원주로 이격된 코일들을 사용한다. 그러나, CMF에서, 코일들은 워크피스 지지부의 평면에 걸쳐 연장하는 자기장을 한 측에서 다른 측으로 제공하도록 동작된다. 더욱이, 자기장은 방사형인 시간평균 자기장을 생성하기 위하여 웨이퍼 지지부의 축에 대하여 회전한다. 이는 4개의 나란한 코일들을 구비한 반응기의 경우에, 인접 코일들의 한 쌍에 한 D.C. 전류를 공급하고, 인접 코일들의 반대 쌍에 다른 (또는 반대) D.C. 전류를 공급함으로써 달성된다. 코일들은 상기 패턴을 회전시키도록 스위칭되며, 따라서 앞서 언급된 바와 같이 자기장이 회전한다. 이러한 방법은 CMF 자기장들의 갑작스러운 스위칭으로 인한 챔버 또는 웨이퍼 아킹 문제에 취약하며, 이에 따라 자기장 강도는 제한되어야만 한다. 결과적으로, 일부 응용들에서, 자기장은 반응기에서 생성된 플라즈마 이온 밀도 불균일성을 보상하기에 충분하지 않을 수 있다.
본 발명의 목적은 플라즈마 이온 밀도 분포 불균일성을 더 효율적으로 보상하고(이에 따라서 자기장 강도는 감소될 수 있음), 자기장에서 시간변동이 미세하게 일어나거나 또는 일어나지 않는 방법을 제공하는데 있다.
워크피스를 처리하기 위한 플라즈마 반응기는 측벽 및 천장으로 한정된 진공챔버, 및 챔버 내에 워크피스 지지부 표면을 구비하고 천장과 대면하며 캐소드 전극을 구비한 워크피스 지지부 페데스탈을 포함한다. RF 전력 생성기는 캐소드 전극에 연결된다. 플라즈마 분포는 워크피스 지지부 표면 위에 있는 제 1 평면의 외부 환상 내부 전자석, 워크피스 지지부 표면 위에 있으며 내부 전자석보다 큰 직경을 가진 제 2 평면의 외부 환상 외부 전자석, 및 워크피스 지지부 표면 아래에 있는 제 3 평면의 외부 환상 하부 전자석에 의하여 제어된다. D.C. 전류 공급원들은 내부, 외부 및 하부 전자석들의 각각에 접속된다. 워크피스 지지부 페데스탈 및 내부, 외부 및 하부 자석들은 일반적으로 동축일 수 있다. 일 실시예에서, 제 1 평면은 제 2 평면 위에 배치되며, 제 1 및 제 2 평면들은 제 3평면 위에 배치되며, 제 1, 제 2 및 제 3 평면들은 워크피스 지지부 표면과 평행하다.
반응기는 내부, 외부 및 하부 D.C. 전류 공급원들로부터 D.C. 전류들을 제어하는 프로세서를 포함할 수 있다. 프로세서는 3가지 모드들, 즉
D.C. 전류들이 내부 및 외부 전자석들 중 하나와 하부 전자석이 워크피스 지지부 표면에서 동일 크기, 반대 방향 자기장들을 생성하도록 하는 첨단(cusp) 모드;
D.C. 전류들이 외부 및 외부 전자석들 중 하나와 하부 전자석이 워크피스 지지부 표면에서 동일 크기, 동일 방향 자기장들을 생성하도록 하는 미러 모드; 및
D.C. 전류가 전자석들 중 하나의 전자석이 워크피스 지지부 표면에서 방사상 자기장과 축 자기장 모두를 생성하도록 하는 솔레노이드 모드에서 동작할 수 있으며, 동시에 상기 3가지 모드에서 동작할 수 있다.
프로세서는 웨이퍼에서 축 자기장들을 제어(예컨대, 감소)함으로써 장치 손상 결과들을 개선하면서 웨이퍼에서 방사상 자기장들로 플라즈마 이온 밀도 분포 균일성을 개선하기 위하여 3개의 자석에 대한 D.C. 전류들의 최상의 결합을 찾도록 프로그래밍될 수 있다.
특정 플라즈마 반응기에서 나타나는 플라즈마 이온 밀도 분포는 챔버 압력, 가스 혼합물, 가스 확산, 및 소스 전력 방사 패턴의 함수이다. 본 반응기에서, 이러한 분포는 처리 균일성을 개선하도록 미리 결정되었던, 선택된 또는 이상적인 분포에 근사하도록 자기적으로 변경된다. 자기적으로 변경 또는 보정된 플라즈마 이온 밀도 분포는 웨이퍼 또는 워크피스의 표면 전반에 걸친 처리 균일성을 개선시킨다. 이러한 목적을 위하여, 자기적으로 보정된 플라즈마 분포는 사용자에 의해 결정된 필요성에 따라 균일하지 않을 수도 있고, 또는 균일할 수도 있다. 여기서는 평균 자기장 강도가 분포를 적정 분포로 변경시키기 위하여 플라즈마에 압력을 가하는 효율성이 개선될 수 있다는 것이 발견되었다. 이러한 현저한 결과는 상기 발견에 따라서 자기장 기울기의 방사상 성분을 증가시킴으로써 달성될 수 있다. 방사상 방향은 실린더형 챔버의 대칭축에 대하여 이루어지는 것으로 이해된다. 따라서, 필요한 것은 큰 방사상 기울기 및 다른 방향들에서의 작은 자기장 강도를 갖는 자기장 구성이다. 이러한 자기장은 실린더형 반응기 챔버의 축과 일치하는 대칭축을 가진 첨단형상이다. 첨단형 자기장을 생성하는 한 방법은 실린더형 챔버의 위와 아래에 코일들을 제공하고 이 코일들을 통해 반대 방향들로 D.C. 전류들을 흐르게 하는 것이다.
챔버 설계에 따르면, 웨이퍼 페데스탈 아래에 코일을 제공하는 것은 실용적이지 않으며, 이에 따라 제 1 경우에 상부 코일은 이들 목적에 충분하다. 더욱이, 필요한 것은 첨단형 자기장이 주어진 플라즈마 반응기 챔버에 고유한 플라즈마 이온 분포("주위" 플라즈마 이온 분포)를 정확하게 제어 또는 변경하기 위하여 구성 또는 조절가능하게 하는 것이다. 다른 용량성 결합 반응기들에 제공된 플라즈마 이온 분포가 광범위하게 변할 수 있기 때문에, 이러한 조절가능성은 임부의 경우에 필수적일 수 있다. 자기장 기울기의 방사상 성분은 주위 분포를 적정 분포로 변경하도록 선택된다. 예컨대, 만일 적정 분포가 균일한 분포이면, 공급된 자기장은 자기장의 부재시에 반응기에 나타난 플라즈마 이온 밀도의 방사상 분포의 불균일성을 방지하도록 선택된다. 이러한 경우에, 예컨대 만일 반응기가 플라즈마 이온 밀도의 중심에서 높은 분포를 가지는 경향이 있으면, 자기장 기울기는 웨이퍼 지지부 페데스탈의 중심 위에서는 플라즈마 밀도를 유지하고 주변 근처에서 플라즈마 밀도를 강화하여 균일성을 달성하도록 선택된다.
이러한 첨단형 자기장의 조절가능성은 본 발명의 발견에 따라서 제 1 코일과 다른(예컨대 작은) 직경의 하나 이상의 제 2 오버헤드 코일을 제공함으로써 달성된다. 각각의 코일들에서의 D.C. 전류들은 개별적으로 조절가능하여, 임의의 주위 플라즈마 이온 분포를 가상으로 임의의 적정 플라즈마 이온 분포에 근사하도록 변경하는 높은 융통성 방식으로 첨단형 자기장의 구성을 허용한다. 이러한 자기장 구성의 선택은 중심에서 높거나 또는 중심에서 낮은 플라즈마 이온 밀도 분포들을 수정하도록 설계될 수 있다.
실현될 수 있는 하나의 장점은 다음과 같이 두 가지이며, 즉 첨단형 자기장은 자기장 강도(앞서 언급됨)에 비해 큰 방사상 기울기를 가지며 이에 따라 플라즈마에 교정 압력을 가하는데 매우 효율적이며, 또한 시간에 대하여 자기장이 일정하기 때문에 아킹을 발생시키는 경향이 작으며 이에 따라 필요에 따른 훨씬 큰 교정 커패시티의 경우에도 다소 강한 자기장이 사용될 수 있다. 이후에 기술되는 바와 같이, 상기 특징은 높은 챔버 압력에서 큰 도움이 될 수 있다.
도 1A는 조절가능 첨단형 자기장을 제공할 수 있는 용량성 결합 플라즈마 반응기를 도시한다. 도 1A의 반응기는 실린더형 측벽(5), 가스 분배 플레이트인 천장(10), 및 반도체 워크피스(20)를 고정하는 웨이퍼 지지 페데스탈(15)을 포함한다. 천장(10) 또는 가스 분배 플레이트는 애노드로 사용되기 위해 도전 성질을 가질 수도 있고 또는 플레이트에 부착된 애노드를 구비할 수도 있다. 천장(10) 또는 가스 분배 플레이트는 전형적으로 알루미늄으로 만들어지며, 챔버와 대면하는 내부 표면에 내부 가스 매니폴드 및 가스 주입 구멍들을 구비한다. 공정 가스 공급기(25)는 가스 분배 플레이트(10)에 공정 가스를 공급한다. 진공 펌프(30)는 반응기 챔버의 압력을 제어한다. 반응기 챔버에서 플라즈마를 점화하고 유지하는 플라즈마 소스 전력은, 임피던스 매칭 회로(45)를 통해 웨이퍼 지지 페데스탈(15)에 연결된 RF 생성기(40)에 의하여 생성되며, 웨이퍼 지지 페데스탈은 RF 전극으로 사용된다. (도전 물질로 형성된 천장(10)일 수 있는) 애노드는 RF 접지에 연결되며, 따라서 카운터 전극으로 기능한다. 이러한 반응기는, 통상적으로 중심에서 높은, 불균일 플라즈마 이온 밀도 분포를 가지는 경향이 있다.
도 1B는 천장(10)이 도 1A에서와 같이 접지에 직접 연결되기보다는, RF 임피던스 매칭 엘리먼트(11)(단지 개략적으로 기술됨)를 통해 플라즈마 소스 전력을 공급하는 VHF 신호 생성기(12)에 연결되는 것으로 도시한다. 이러한 경우에, RF 생성기(40)는 반도체 웨이퍼 또는 워크피스(20) 상의 RF 바이어스를 제어한다(RF 임피던스 매칭 엘리먼트(11)는 예컨대 동축 튜닝 스터브 또는 스트립 라인 회로와 같은 고정 튜닝 엘리먼트일 수 있다). 이러한 특징은 이후에 더 상세히 논의된다.
플라즈마 이온 밀도의 분포를 제어하기 위하여, 유도성 코일들의 세트는 천장(10) 위에 제공된다. 도 1A의 경우에, 코일들의 세트는 실린더형 챔버와 동축인 내부 코일(60) 및 외부 코일(65)을 포함하며, 각각의 코일은 도체인 단일 권선을 구성한다. 도 1A에서는 권선들(60, 65)이 단일 선회(single turn)인 것으로 도시되지만, 예컨대 도 1B에 도시된 바와 같이 권선들(60, 65)은 각각 수직으로 배열된 다수 선회(plural turns)로 구성될 수 있다. 또는, 도 1C에 도시된 바와 같이, 권선들(60, 65)은 수직과 수평으로 연장될 수 있다. 도 1A의 경우에, 내부 코일(60)은 외부 코일(65)보다 천장(10) 위로 더 멀리 배치되다. 그러나, 다른 경우에, 이러한 구조는 역전될 수 있거나, 또는 두 개의 코일(60, 65)은 천장(10) 위로 동일한 높이를 가질 수 있다.
도 1A 및 도 1B의 경우에, 제어기(90)는 코일들(60, 65) 각각의 코일에 연결된 각각의 독립 D.C. 전력 공급기(70, 75)를 제어함으로써 각각의 오버헤드 코일들(60, 65)에 흐르는 전류들의 진폭 및 극성을 결정한다. 이제 도 2를 참조하면, 제어기(90)가 제어기(90)를 통해 전류를 공급하는 D.C. 전류 공급기(76)로부터 코일들(60, 65)로 흐르는 D.C. 전류들을 관리하는 경우가 기술되며, 제어기(90)는 각각의 코일(60, 65)에 연결된다. 어느 한 경우에, 제어기(90)는 서로 다른 극성과 진폭을 가진 D.C. 전류가 서로 다른 코일들(60, 65)에 흐르도록 할 수 있다. 도 2의 경우에, 제어기(90)는 각각의 코일들(60, 65)에 공급되는 D.C. 전류를 조절하는 한 쌍의 전위차계(82a, 82b), 및 각각의 코일들(60, 65)에 공급되는 D.C. 전류의 극성을 독립적으로 결정하는 한 쌍의 갠지스형 스위치(82a, 82b)를 포함한다. 마이크로프로세서(91)와 같은 프로그램가능 장치가 전위차계들(82a, 82b) 및 갠지스형 스위치들(84a, 84b)를 지능적으로 관리하기 위하여 제어기(90)에 포함될 수 있다.
내부 코일(60)이 외부 코일(65)보다 더 높이 천장(10) 위에 배치되는, 도 1A, 도 1B 및 도 1C에 기술된 두 개의 코일들(60, 65)의 구조는 임의의 장점들을 제공한다. 특히, 어느 한 코일에 의하여 제공된 자기장 기울기의 방사상 성분은, 최소한 개략적으로, 코일의 반경에 비례하고 코일로부터의 축 방향 변위에 반비례한다. 따라서, 내부 및 외부 코일들(60, 65)은 서로 다른 크기와 변위로 인하여 서로 다른 역할을 수행할 것이다. 외부 코일(65)은 큰 반경과 웨이퍼(20)에 더 가깝다는 점으로 인하여 웨이퍼(20)의 전체 표면에 현저한 반면에, 내부 코일(60)은 웨이퍼 중심 근처에 더 큰 영향을 줄 수 있고 자기장을 정밀하게 조절 또는 조작하기 위한 트림 코일로 간주될 수 있다. 다른 구조들은 서로 다른 반경을 가지고 플라즈마로부터 서로 다른 변위들로 배치된 서로 다른 코일들에 의하여 서로 다른 제어를 수행할 수 있다. 임의의 작용 예를 참조로 이후에 논의되겠지만, 주위 플라즈마 이온 밀도 분포에 대한 서로 다른 변화들은 각각의 오버헤드 코일들(60, 65)에서 흐르는 전류들의 서로 다른 진폭뿐만 아니라, 서로 다른 오버헤드 코일들로 흐르는 전류 흐름의 서로 다른 극성 및 방향을 선택함으로써 달성된다.
도 3A는, 도 1A의 경우에 웨이퍼(20) 상의 반경 위치의 함수로써 내부 코일(60)에 의해 생성된 자기장의 방사상 성분(실선)과 방위각(점선) 성분들을 도시한다. 도 3B는 웨이퍼(20)의 반경 위치의 함수로써 외부 코일(65)에 의하여 생성된 자기장의 방사상 성분(실선)과 방위각(점선) 성분들을 도시한다. 도 3A 및 도 3B에 도시된 데이터는 웨이퍼(20)의 직경이 200mm인 구현에서 얻어지며, 내부 코일(60)의 직경은 12인치이고 플라즈마에서 약 10인치 위에 배치되며, 외부 코일(65)의 직경은 22인치이고 플라즈마에서 약 6인치 위에 배치된다. 도 3C는 내부 및 외부 오버헤드 코일들(60, 65)에 의하여 생성된 절반 첨단형(half-cusp) 자기장 라인 패턴의 단순화된 도면이다.
도 2의 제어기(90)는 웨이퍼 표면에서의 자기장을 제어하기 위하여 각각의 코일들(60, 65)에 공급된 전류를 변화시킬 수 있으며 플라즈마 이온 밀도의 공간 분포를 변화시킬 수 있다. 이제 도시할 것은, 제어기(90)가 이러한 자기장들을 변화시킴으로써 챔버 내의 플라즈마 이온 분포에 어떻게 깊이 영향을 주고 개선하는 지를 도시하기 위해서, 서로 다른 코일들(60, 65)에 의하여 인가된 서로 다른 자기장들의 효과이다. 이하의 예들에서, 플라즈마 이온 분포보다는 웨이퍼 표면 전반에 걸친 에칭비의 공간 분포가 직접 측정된다. 에칭비 분포는 플라즈마 이온 분포에 따라 직접 변하며, 이에 따라 에칭비 분포의 변화는 플라즈마 이온 분포의 변화를 반영한다.
도 4A, 도 4B, 도 4C 및 도 4D는 낮은 챔버 압력(30mT)에서 내부 코일(60)을 이용하여 달성된 현저한 효과를 도시한다. 도 4A는 웨이퍼(20) 표면 상의 위치(수평 X 및 Y 축들)의 함수로써 측정된 에칭비(수직 Z 축)를 도시한다. 따라서, 도 4A는 웨이퍼 표면의 평면에서의 에칭비 공간 분포를 도시한다. 중심에서 높은 에칭비 분포의 불균일성이 도 4A에 명확하게 도시된다. 도 4A는 자기장이 공급되지 않은 경우에 대응하며, 따라서 반응기에 고유하며 보상을 필요로 하는 불균일 에칭비 분포를 도시한다. 이 경우, 에칭비는 5.7%의 표준편차를 가진다. 도 4 및 도 5에서, 자기장 강도는 비록 방사상 자기장이 균일성을 개선하기 위하여 플라즈마 이온 밀도의 방사상 분포에 작용하는 자기장일지라도 웨이퍼의 중심 근처에 축 자기장으로써 기술될 것이다. 축 자기장이 더 용이하게 측정되기 때문에 선택된다. 통상적으로 웨이퍼 에지에서의 방사상 자기장은 상기 위치에서의 축 자기장의 약 1/3이다.
도 4B는 9 가우스의 자기장을 생성하기 위하여 내부 코일(60)에 에너지가 공급될때 어떻게 에칭 분포가 변하는 지를 도시한다. 불균일성은 4.7%의 표준편차로 감소한다.
도 4C에서, 내부 코일(60)의 자기장은 18 가우스로 증가되었고, 중심에서의 피크가 현저하게 감소되었으며, 이에 따라 웨이퍼 전반에 걸친 에칭비 표준편차가 2.5%로 감소된다.
도 4D에서, 내부 코일(60)의 자기장은 27 가우스로 더욱 증가되었으며, 따라서 도 4A의 중심에서 높은 패턴이 중심에서 낮은 패턴으로 거의 반전되었다. 도 4D의 경우에 웨이퍼 표면 전반에 걸친 에칭비의 표준편차는 5.0%이다.
도 5A, 도 5B, 도 5C 및 도 5D는 높은 챔버 압력(200mT)에서 코일들(60, 65) 모두를 사용한 유리한 효과를 도시한다. 도 5A는 도 4A에 대응하며, 자기장에 의하여 보상되지 않은 반응기의 중심에서 높은 에칭비 불균일성을 도시한다. 이러한 경우에, 웨이퍼 표면 전반에 걸친 에칭비의 표준편차는 5.2%이다.
도 5B에서, 외부 코일(65)은 에칭비 분포에서 중심 피크를 약간 감소시키는 22 가우스 자기장을 생성하도록 에너지가 공급된다. 이러한 경우에, 에칭비 표준편차는 3.5%로 감소된다.
도 5C에서, 양 코일(60, 65)은 24 가우스 자기장을 생성하도록 에너지가 공급된다. 도 5C에서 알 수 있는 결과는 에칭비의 중심 피크가 현저하게 감소되었다는 것이며, 반면에 주변 근처에서의 에칭비는 증가되었다. 전체 효과는 3.2%의 낮은 표준편차를 갖는 더욱 균일한 에칭비 분포이다.
도 5D에서, 코일들은 40 가우스 자기장을 생성하도록 에너지가 공급되어, 과보상(over-correction)을 생성하며, 따라서 웨이퍼 표면 전반에 걸친 에칭비 분포가 중심에서 낮은 분포로 변환되었다. 후자의 경우에 에칭비 표준편차는 3.5%로 (도 5C의 경우와 비교하여) 약간 상승되었다.
도 4A-4D의 저압 테스트들에서 얻어진 결과들을 도 5A-5D의 고압 테스트들과 비교하면, 챔버 압력이 높을수록, 에칭비 불균일성 분포에 대하여 유사한 보상을 달성하기 위하여 훨씬 더 높은 자기장을 필요로 한다는 것을 알 수 있다. 예컨대, 30mT에서의 최적 보상은 18 가우스에서 내부 코일(60)만을 사용하여 얻어지는 반면에, 300mT에서는 코일들(60, 65)을 사용하는 24 가우스의 자기장이 최적 보상을 달성하는데 요구된다.
도 6은 오버헤드 코일들의 자기장들이 플라즈마 이온 밀도 또는 에칭비 분포의 균일성에 상당히 영향을 미치지만, 에칭비 그 자체에는 상당히 영향을 미치지 않는다는 것을 도시한다. 이는 에칭비 분포의 균일성을 개선하는 것이 바람직하지만, 특정 반도체 처리에 대해 선택된 에칭비를 변경하지 않는 것이 바람직하기 때문에 유리하다. 도 6에서, 다이아몬드 심볼들은 자기장(수평축)의 함수로써 측정된 에칭비(좌측 수직축)을 나타내며, 정사각형 심볼들은 자기장의 함수로써 에칭비(우측 수직 스케일)의 표준편차(불균일성)를 나타낸다. 도시된 범위에서 불균일성의 변화는 약 101배의 크기이며, 에칭비의 변화는 단지 약 25%이다.
도 1A, 도 1B 및 도 1C의 전체 코일 인덕터들(60, 65)은 종래의 MERIE 반응기와 함께 사용될 수 있다. 도 7 및 도 8은 도 1에 상응하는 케이스와 함께 4개의 종래 MERIE 전자석(92, 94, 96, 98) 및 MERIE 전류 제어기(99)의 추가적인 특징을 도시한다. 전류 제어기(99)는 각각의 MERIE 전자석들(92, 94, 96, 98)에 A.C. 전류들을 제공한다. 각각의 전류들은 동일한 저주파수로 구성되지만, 종래의 방식으로 챔버 내에 저속 회전 자기장을 생성하기 위하여 90도만큼 위상 오프셋을 가진다.
오버헤드 코일들을 이용한 플라즈마 분포 제어
반응기의 방법에 따르면, 특정 반응기에 고유한 웨이퍼 표면 전반에 걸친 플라즈마 이온 밀도 분포는 오버헤드 코일들(60, 65)에 의해 생성된 특정 자기장을 선택함으로써 특정 방식에 맞춰진다. 예컨대, 플라즈마 분포는 웨이퍼 표면 전반에 걸쳐 균일한 에칭비 분포를 생성하도록 맞춰질 수 있다. 이러한 맞춤(tailoring)은 예컨대 오버헤드 코일들에 흐르는 D.C. 전류의 최적 극성들 및 진폭들을 선택하기 위하여 제어기(90)를 프로그래밍함으로써 수행된다. 본 예시는 단지 2개의 동심 오버헤드 코일들(즉, 코일들(60, 65))을 구비한 반응기에 관한 것이지만, 본 방법은 2개 이상의 코일들로 수행될 수 있으며 더 많은 수의 오버헤드 코일들을 사용할 때 더 정확한 결과들을 제공할 수 있다. 자기장은 웨이퍼 표면 전반에 걸쳐 플라즈마 이온 밀도 분포를 변경하기 위하여 제어기(90)에 의해 변경되며, 이는 차례로 에칭비 분포에 영향을 미친다.
제 1단계는 오버헤드 코일들(60, 65)로부터의 임의의 보정 자기장이 존재하지 않을 때의 웨이퍼 표면 전반에 걸친 에칭비 분포를 측정하는 것이다. 다음 단계는 에칭비 분포를 더 균일하게 하는 플라즈마 이온 밀도 분포의 변경을 결정하는 것이다. 최종 단계는 플라즈마 이온 밀도 분포의 적정 변경을 발생시키는 자기장을 결정하는 것이다. 이러한 자기장이 주어질 때, 자기장을 생성하는데 필요한 오버헤드 코일들(60, 65)의 전류의 크기 및 방향들은 공지된 정적 자기장 수식으로부터 계산될 수 있다.
플라즈마(소위 "자기 압력")에 대한 오버헤드 코일들(60, 65)의 자기장에 의하여 가해진 압력을 자기장으로부터 계산하는 방법이 발견되었다. 이는 이하에서 논의될 것이다. 플라즈마의 자기 압력은 플라즈마 이온 밀도 분포를 변경시킨다. 이러한 플라즈마 이온 밀도 분포의 변경은 웨이퍼 표면 전반에 걸쳐 비례하는 변경 에칭비 분포를 생성하며, 이는 직접 관측될 수 있다. 따라서, 웨이퍼 표면 전반에 걸친 플라즈마 이온 밀도 분포 및 에칭비 분포는 비례 계수에 적어도 대략 관련된다.
처음에, 웨이퍼 표면 전반에 걸친 에칭비의 공간 분포는 오버헤드 코일들(60, 65)로부터의 자기장들을 인가하기 전에 측정된다. 이로부터, (균일 분포를 달성하기 위하여) 에칭비 분포에 있어서의 적정 변경이 결정될 수 있다. 다음으로, 챔버 내의 위치 및 코일의 전류 흐름에 대한 함수로써 각각의 오버헤드 코일(60, 65)에 의해 생성된 자기장의 공간 분포가 각각의 코일의 기하학적 형태로부터 분석적으로 결정된다. 그 다음에, 코일들에 공지된 전류들의 세트를 인가하고 웨이퍼 표면 전반에 걸쳐 에칭비 분포의 결과적인 변경을 측정함으로써, 웨이퍼 표면에서의 모든 코일들로부터의 자기장들의 벡터 합을 웨이퍼 표면에서의 에칭비 분포 변경과 관련시키는 선형 스케일 계수가 추론될 수 있다(이러한 스케일 계수는 일반적으로 플라즈마의 중성(neutral) 압력의 함수이며 약 500mT 챔버 압력까지 동작한다). 따라서, (보다 양호한 균일성을 달성하기 위하여) 에칭비 분포의 적정 변경 또는 보상이 주어지는 경우, 필수적인 자기장들이 발견될 수 있으며(이후에 기술된 방식으로), 상응하는 코일 전류들은 이전에 분석적으로 결정된 자기장 공간 분포 함수를 사용하여 추론될 수 있다.
에칭비 분포에서의 불균일성에 대한 적정 보상이 다양한 방식들로 설정될 수 있다. 예컨대, 웨이퍼 표면 전반에 걸친 2차원 에칭비 분포는 "차(difference)" 분포를 발생시키기 위하여 균일한 또는 평균 에칭비로부터 감산될 수 있다. 이러한 방식으로 보상될 에칭비 분포의 불균일성은, 불균일 플라즈마 이온 밀도 분포뿐만 아니라 용량성 결합 소스 전력의 불균일 적용, 불균일 공정 가스 분포를 포함하는 반응기 챔버의 다양한 계수들의 결과이다. 전술한 방법에서, 불균일성들은 자기 압력에 의해 플라즈마 이온 밀도 분포를 변경시킴으로써 보상된다.
다음의 방법은 소정의 적정 방식으로 불균일한 "보상된" 플라즈마 분포를 설정하기 위하여 사용될 수도 있다. 이러한 경우에, 수행될 보상은 "비보상" 또는 주위 플라즈마 이온 밀도 분포와 적정 분포(즉, 그 자체가 불균일함) 사이의 차이이다. 따라서, 본 방법은 플라즈마 밀도 분포를 더욱 균일하게 하는데 유용하며, 또는 균일함이 필수적인 것은 아닌 특정하게 선택된 밀도 분포 패턴을 갖도록 하는데에도 유용하다.
전술한 방법을 수행하기 위한 일련의 단계들은 도 9를 참조로 이제 설명될 것이다.
제 1단계(도 9의 블록(910))는, 오버헤드 코일들(60, 65)의 각각에 대하여, 코일에서의 전류 흐름 및 웨이퍼 표면 상의 방사상 위치에 대한 함수로써 웨이퍼 표면에서의 자기장에 대한 수식(expression)을 분석적으로 결정하는 것이다. 원통 좌표를 사용하면, 상기 수식은 i번째 코일에 대한 Bi(r, z=웨이퍼, Ii)로 표현될 수 있다. 이는 매우 간단한 방식으로 비오-사바르 법칙(Biot-Savart law)에 의해 결정된다.
다음 단계(도 9의 블록(920))는 오버헤드 코일들(60, 65)에 흐르는 전류 없이 수행된다. 이 단계에서, 웨이퍼 표면 전반에 걸쳐 플라즈마 이온 밀도의 공간 분포가 측정된다. 이러한 공간 분포는 n(r, z=웨이퍼)로 표현될 수 있다. 이 단계에서, 플라즈마 이온 밀도 분포는 테스트 웨이퍼의 표면 전반에 걸친 에칭비 분포를 측정함으로써 간접적으로 측정될 수 있다. 당업자는 에칭비 분포로부터 플라즈마 이온 밀도 분포를 용이하게 추론할 수 있다.
다음으로, 블록(930) 단계에서, 이전 단계에서 측정된 측정 플라즈마 이온 밀도 공간 분포 함수 n(r, z=웨이퍼)에 대한 보상(correction), 즉 c(r),이 결정된다. 보상 c(r)은 임의의 수의 적정 방식으로 정의될 수 있다. 예컨대, 이는 최대값 n(r, z=웨이퍼)최대 - n(r, z=웨이퍼)로 정의될 수 있다. 이 방식에서, n(r, z=웨이퍼)에 c(r)를 가산하면, n(r)최대과 동일한 균일한 진폭을 가진 "보상된" 분포가 생성된다. 물론, 보상함수 c(r)은 다른 균일한 진폭을 생성하기 위하여 다르게 정의될 수 있다. 또는, 앞서 간략하게 논의된 바와 같이, 만일 적정 분포가 균일하지 않다면, 보상은 적정 분포 및 n(r, z=웨이퍼) 간의 차이다.
다음 단계(블록 940)에서는 오버헤드 코일들(60, 65)의 각각에 대한 "테스트" 전류 Ii를 선택하고, 적절한 코일에 상기 전류를 공급하며, n(r, z=웨이퍼)테스트로 표현될 수 있는 결과적인 플라즈마 이온 분포를 측정한다. 이온 분포의 변경 Δn(r)은 자기장이 있을 때 측정된 이온 분포와 자기장이 없을 때에 측정된 이온 분포를 감산함으로써 얻어진다.
Figure 112008006489829-pat00001
다음 단계(블록 950)는 자기장(즉, 자기 압력)에 의해 가해진 압력 기울기를 이온 분포의 변경 Δn(r)에 관련시키는 스케일 계수 S를 계산하는 것이다. 이러한 계산은 Δn(r)로 자기장 압력 기울기를 나눔으로써 수행된다. i번째 코일의 자기장 B(r, z=웨이퍼, Ii)의 자기 압력 기울기는 이하의 자기-유체역학 수식에 따라 각각의 코일에 대하여 개별적으로 계산된다.
Figure 112008006489829-pat00002
여기서, 아래 첨자 r은 방사상 성분을 나타낸다. 따라서, 각각의 코일에 대하여 개별적으로 얻어진 결과들은 그 후 서로 합산된다. 따라서, 전체 자기 압력 기울기는 다음과 같다.
Figure 112006006627236-pat00003
따라서, 스케일 계수 S는 다음과 같다.
Figure 112008006489829-pat00004
이러한 나눗셈 연산은 스케일 형식의 S를 얻기 위하여 평균된 결과들 및 서로 다른 값들의 r에서 수행될 수 있다. 다른 방식으로, 스케일 계수 S는 r의 함수일 것이며 적절한 방식으로 사용된다.
블록(950)의 단계에서 찾은 스케일 계수 S는 자기 압력 및 이온 분포의 결과적인 변경을 결정하는 코일 전류들 Ii 사이의 링크이다. 특히, 코일 전류들 Ii의 세트가 주어질 때, 이온 분포 n(r)에 있어서 상응하는 변화는 Ii의 세트로부터 결정된 자기 압력을 스케일 계수 S만큼 곱함으로써 계산될 수 있다.
Figure 112006006627236-pat00005
이러한 사실은 다음 단계(블록 960)에 대한 기초를 제공하며, 다음 단계(블록 960)에서는 컴퓨터(예컨대 마이크로프로세서(91))가 플라즈마 이온 밀도 분포의 상술된 또는 적정 변경 Δn(r)에 최상의 근사치를 생성하는 코일 전류 Ii의 세트를 탐색하기 위하여 전술한 수식을 사용한다. 이 경우에, 적정 변경은 블록(930) 단계에서 계산된 보상함수 c(r)와 동일하다. 다시 말해서, 컴퓨터는 다음과 같은 조건를 만족하는 코일 전류 Ii의 세트를 탐색한다.
Figure 112006006627236-pat00006
이러한 탐색은 예컨대 급강하법(steepest descents)을 포함하는 공지된 최적화 기술들에 의하여 수행될 수 있다. 이러한 기술들은 당업자에 의하여 용이하게 수행될 수 있으며 여기에 기술될 필요가 없다.
탐색에 의하여 발견된 코일 전류 Ii의 세트에 대한 크기 및 극성들은 제어기(90)에 전송되며, 제어기(90)는 이들 전류들을 각각의 코일들(60, 65)에 공급한다.
도 10은 웨이퍼 표면에서의 방사상 위치의 함수로써 플라즈마 이온 분포의 측정된 변경(점선)과 자기 압력(실선)을 비교한다. 앞서 논의된 바와 같이, 자기 압력은 오버헤드 코일들의 자기장들의 제곱의 기울기이다. 도 10은 자기 압력 및 이온 밀도 분포의 변경 사이에 양호한 상관관계가 존재함을 나타낸다.
이러한 방법의 응용이 도 11 내지 14에 기술된다. 도 11은 웨이퍼 표면에서의 에칭비 공간 분포의 불균일성 또는 표준편차(수직축)가 오버헤드 코일들 중 한 코일의 코일 전류에 의하여 어떻게 변경되었는 지를 도시한다. 0A 코일 전류에서, 표준편차는 약 12%이었으며, 이온 분포는 도 12에 기술된 바와 같이 중심에서 높았다.
약 3%의 최소 불균일성은 약 17A의 코일 전류에서 달성되었다. 이는 약 4 계수(즉, 에칭비 분포에 있어서 12%의 표준편차에서 3%의 표준편차로)만큼의 개선을 나타낸다. 실제 또는 측정 에칭비 분포는 도 13A에서 도시된 것과 같았으며, 도 9의 기술들을 사용하여 예측된 에칭비 분포는 도 13B에 도시된 것과 같았다.
35A의 높은 코일 전류에서, 에칭비 분포 표준 편차는 약 14%이었다. 측정 에칭비 공간 분포는 도 14A에 도시된 것과 같았으며, 예측된 분포는 도 14B에 도시된 것과 같았다.
다시 도 13A를 참조하면, 얻어진 가장 균일한 이온 분포는 완전히 평평하지 않고 사실상 주변 근처에서 볼록하고 중심에서 오목한 "볼(bowl)" 형상을 가진다. 더 많은 수의 독립 오버헤드 코일(예컨대, 3개 이상의 코일)을 통해, 전류들의 최적화는 결과에 있어서 더 높은 분석(resolution) 및 더 좋은 균일성을 갖도록 수행될 수 있다. 따라서, 반응기는 단지 2개의 코일을 가진 경우로 제한되지 않는다. 반응기는 2개 이하 또는 2개 이상의 오버헤드 코일을 사용하여 결과들을 변화시킴으로써 구현될 수 있다.
천장 표면에서의 플라즈마 이온 밀도 분포 또는 에칭비 분포를 제어하기 위하여 동일한 방법이 적용될 수 있다. 이러한 방법은 예컨대 챔버 세정 동작시 유용할 수 있다. 도 15는 이온 밀도(또는 에칭비)의 공간 분포에 대한 균일성이 최적화되는 도 9의 방법의 변형을 기술한다. 도 15의 단계들, 즉 블록들(910', 920', 930', 940', 950', 960')은 웨이퍼 표면에서가 아니라 천장 평면에 대해서 수행된다는 것을 제외하고는 도 9의 단계들, 즉 블록들(910, 920, 930, 940, 950, 960)과 동일하다. 제 1단계(도 15의 블록(910'))에서, 오버헤드 코일들(60, 65)의 각각에 대하여, 코일에서의 전류 흐름 및 웨이퍼 표면 상의 방사상 위치에 대한 함수로써 천장 표면에서의 자기장에 대한 수식을 분석적으로 결정한다. 원통 좌표들을 사용하면, 상기 수식은 i차 코일에 대하여 Bi(r, z=웨이퍼, Ii)으로써 표현될 수 있다. 이는 단순한 정적 자기장 수식들로부터 결정되며, 천장 표면상의 방사상 위치 r 및 코일 전류 Ii 뿐만 아니라 코일과 천장 내부 표면 사이의 거리(z=천장) 및 코일의 반경과 같은 임의의 제한들의 함수이다.
다음 단계(도 15의 블록(920))는 오버헤드 코일들(60, 65)에 흐르는 전류 없이 수행된다. 이 단계에서, 천장 표면 전반에 걸쳐 플라즈마 이온 밀도의 공간 분포가 측정된다. 이러한 공간 분포는 n(r, z=웨이퍼)로 표현될 수 있다. 이 단계에서, 플라즈마 이온 밀도 분포는 종래 프로브(probe) 또는 다른 간접 기술들에 의하여 측정될 수 있다.
다음으로, 블록(930')의 단계에서, 이전 단계에서 측정된 측정 플라즈마 이온 밀도 공간 분포 함수 n(r, z=천장)에 대한 보상 c'(r)이 결정된다(프라임(') 표현은 전술된 도 9의 계산들과 도 15의 계산들을 구별하기 위하여 사용되며, 여기서 사용될 때에는 도함수를 의미하지 않는다). 보상 c'(r)은 임의의 수의 적절한 방식으로 정의될 수 있다. 예컨대, 이는 최대값 n(r, z=천장)최대 - n(r, z=천장)으로 정의될 수 있다. 이 방식에서, n(r, z=천장)에 c'(r)를 가산하면, n(r)최대과 동일한 균일한 진폭을 가진 "보상된" 분포가 생성된다. 물론, 보상함수 c'(r)은 다른 균일한 진폭을 생성하기 위하여 다르게 정의될 수 있다. 또한 만약 특정 불균일 분포를 목적하는 경우라면, 보상은 비보상 또는 주위 플라즈마 분포 n(r,z=천장)와 적정 불균일 분포 사이의 차이이다. 따라서, 본 방법은 특정 불균일 패턴을 가진 적정 플라즈마 이온 분포를 설정하거나 또는 균일한 플라즈마 이온 밀도 분포를 설정하기 위하여 사용될 수 있다.
다음 단계(블록 940')에서, 오버헤드 코일들(60, 65)의 각각에 대한 "테스트" 전류 Ii를 선택하고, 적절한 코일에 상기 전류를 공급하며, n(r, z=천장)테스트로 표현될 수 있는 결과적인 플라즈마 이온 분포를 측정한다. 이온 분포의 변경 Δn(r)은 자기장이 있을 때 측정된 이온 분포와 자기장이 없을 때 측정된 이온 분포를 감산함으로써 얻어진다.
Figure 112006006627236-pat00007
다음 단계(블록 950')에서, 자기장(즉, 자기 압력)에 의해 가해진 압력 기울기를 이온 분포의 변경 Δn'(r)과 관련시키는 스케일 계수 S'를 계산하는 것이다. 이 계산은 자기장 압력 기울기를 Δn'(r)으로 나눔으로써 수행된다. i번째 코일의 자기장 B(r, z=천장, Ii)의 자기 압력 기울기는 다음의 자기-유체역학 수식에 따라 각각의 코일에 대해 개별적으로 계산된다.
Figure 112006006627236-pat00008
여기서, 아래 첨자 r은 방사상 성분을 나타낸다. 따라서, 각각의 코일에 대하여 개별적으로 얻어진 결과들은 서로 합산된다. 따라서, 전체 자기 압력 기울기는 다음과 같다.
Figure 112006006627236-pat00009
따라서, 스케일 계수 S'는 다음과 같다.
Figure 112006006627236-pat00010
블록(950') 단계에서 찾은 스케일 계수 S'는 자기 압력 및 이온 분포의 결과적인 변경을 결정하는 코일 전류들 Ii 사이의 링크이다. 특히, 코일 전류들 Ii의 세트가 주어질 때, 이온 분포 n'(r)의 상응하는 변경은 Ii의 세트로부터 결정된 자기 압력을 스케일 계수 S'만큼 곱함으로써 계산될 수 있다
Figure 112006006627236-pat00011
이러한 사실은 다음 단계(블록 960')에 대한 기초를 제공하며, 다음 단계(블록 960')에서는 컴퓨터(예컨대 마이크로프로세서(91))가 플라즈마 이온 밀도 분포의 상술된 또는 적정 변경 Δn(r)에 최상의 근사치를 생성하는 코일 전류 Ii의 세트를 탐색하기 위하여 전술한 수식을 사용한다. 이 경우에, 적정 변경은 블록(930') 단계에서 계산된 보상함수 c'(r)와 동일하다. 다시 말해서, 컴퓨터는 다음과 같은 조건를 만족하는 코일 전류 Ii의 세트를 탐색한다.
Figure 112006006627236-pat00012
이러한 탐색은 예컨대 급강하법을 포함하는 공지된 최적화 기술들에 의하여 수행될 수 있다. 이러한 기술들은 당업자에 의하여 용이하게 수행될 수 있으며 여기에 기술될 필요가 없다.
탐색에 의하여 발견된 코일 전류 Ii의 세트에 대한 크기 및 극성들은 제어기(90)에 전송되며, 제어기(90)는 이들 전류들을 각각의 코일들(60, 65)에 공급한다.
오직 하나의 오버헤드 코일만을 구비한 장치가 웨이퍼 또는 천장 중 하나에서의 플라즈마 이온 분포 균일성을 최적화하기 위하여 사용될 수 있다. 2개 이상의 오버헤드 코일(예컨대, 오버헤드 코일들(60, 65))을 사용한다면, 플라즈마 이온 분포 균일성은 동시에 웨이퍼 및 천장 모두에 적어도 대략적으로 최적화될 수 있다.
오버헤드 코일들을 사용한 플라즈마 조정
코일 전류 Ii가 천장 및/또는 측벽을 향하도록 플라즈마를 조정하거나 또는 웨이퍼 표면을 향하도록 플라즈마를 조정하기 위한 방식으로 선택될 수 있다는 것이 발견되었다. 코일 전류 Ii는 도 9의 방법과 유사한 방식으로 천장 표면에서 플라즈마 밀도 분포의 균일성을 개선하도록 선택될 수 있다. 결과적으로, 플라즈마는 처리시에 웨이퍼 상에 집중될 수 있으며, 세정시에는 천장 및/또는 측벽 상에 집중될 수 있다. 따라서, 천장에 플라즈마를 집중함으로써, 세정 시간은 감소될 수 있다.
일 예시에 있어서, 플라즈마는 내부 코일(60)에 -17.5A의 전류를 공급하고 외부 코일(65)에 +12.5A의 전류를 공급하는 제어기(90)에 의해 챔버 측벽으로 조정되었다. 도 16은 제로 반경에서 챔버의 주변으로 수평축을 따라 연장하고 웨이퍼 표면에서 천장으로 수직축을 따라 연장하는 챔버 내부의 방사상 부분(radial portion)을 도시한다. 도 16의 작은 화살표는, 플라즈마가 내부 코일(60)에 -17.5A의 전류를 공급하고 +12.5A의 전류를 외부 코일(65)에 공급하는 제어기(90)에 의하여 챔버의 측벽으로 조정될 때, 챔버 내의 다양한 위치에서의 자기장의 크기와 방향을 나타낸다. 도 17은 방사상 위치의 함수로써 웨이퍼 표면에서의 상응하는 자기장 제곱의 기울기를 도시한다.
다른 예시에서, 플라즈마는 내부 코일(60)에 -12.5A의 전류를 공급하고 외부 코일(65)에 +5A의 전류를 공급하는 제어기(90)에 의하여 챔버의 천장으로 조정되었다. 도 18은 제로 반경에서 챔버의 주변으로 수평축을 따라 연장하고 웨이퍼 표면에서 천장으로 수직축을 따라 연장하는 챔버 내부의 방사상 부분을 도시한다. 도 18의 작은 화살표는, 플라즈마가 내부 코일(60)에 -12.5A의 전류를 공급하고 +5A의 전류를 외부 코일(65)에 공급하는 제어기(90)에 의하여 챔버의 측벽으로 조정될 때, 챔버 내의 다양한 위치에서의 자기장의 크기와 방향을 나타낸다. 도 19는 방사상 위치의 함수로써 웨이퍼 표면에서의 상응하는 자기장 제곱의 기울기를 도시한다.
또 다른 예시에서, 플라즈마는 내부 코일(60)에 -25A의 전류를 공급하고 외부 코일(65)에 +2.75A의 전류를 공급하는 제어기(90)에 의하여 천장의 중심에서 측벽으로 연장하는 자기장 라인들을 따라 조정되었다. 도 20은 제로 반경에서 챔버의 주변으로 수평축을 따라 연장하고 웨이퍼 표면에서 천장으로 수직축을 따라 연장하는 챔버 내부의 방사상 부분을 도시한다. 도 20의 작은 화살표는, 플라즈마가 내부 코일(60)에 -25A의 전류를 공급하고 +2.5A의 전류를 외부 코일(65)에 공급하는 제어기(90)에 의하여 챔버의 측벽으로 조정될 때, 챔버 내의 다양한 위치에서의 자기장의 크기와 방향을 나타낸다. 도 21은 방사상 위치의 함수로써 웨이퍼 표면에서의 상응하는 자기장 제곱의 기울기를 도시한다.
도 17은 플라즈마가 에지로 조정될 때 플라즈마의 높은 양(+)의 자기 압력이 챔버의 에지 근처에 가해진다는 것을 도시한다. 도 19는 플라즈마가 천장의 에지로 향하게 될 때 플라즈마의 낮은 자기 압력이 챔버의 에지 근처에 가해진다는 것을 도시한다. 도 21은 자기장 라인들이 천장에서 에지로 연장할 때 높은 음(-)의 압력이 챔버의 에지 근처에 나타난다는 것을 도시한다.
따라서, 오버헤드 코일들(60, 65)의 전류들은 세정이 필요할 수 있는 천장 및 측벽과 같은 챔버의 다양한 위치들로 플라즈마를 향하게 하도록 선택될 수 있다. 또는 플라즈마는 웨이퍼 근처에서 더욱 집중될 수도 있다. 웨이퍼 또는 천장 중 하나로 플라즈마를 조정하거나 또는 소정의 조정비(steering ratio) SR에 따라서 웨이퍼 및 천장 사이에 플라즈마를 할당하기 위하여, 도 22에 기술된 방법이 수행될 수 있다.
이제 도 22를 참조하면, 제 1단계(도 22의 블록(2210))에서, 오버헤드 코일들(예컨대, 코일들(60, 65)의 쌍)에서의 모든 전류들의 함수로써 챔버 내 자기장의 분석 모델을 정의한다. 이는 정적 자기장 수식들을 이용하여 본 기술분야의 당업자에 의해 용이하게 달성되며, 따라서 여기에서는 기술될 필요가 없다. 자기장은 각각의 코일들로부터의 개별 자기장들의 합이다. 각각의 개별 자기장은 각각의 코일의 직경, 각각의 코일의 위치, 코일의 전류 흐름 및 챔버 내의 위치의 함수이다. 따라서, i번째 코일에 의해 생성된 자기장은 다음과 같이 표현될 수 있다.
Figure 112006006627236-pat00013
따라서 전체 자기장은 다음과 같다.
Figure 112006006627236-pat00014
다음 단계(블록(2200))에서, 적정 처리 조건들의 세트를 수행하는 자기장들의 세트를 선택한다. 예컨대, 천장으로 플라즈마를 조정하기 위하여, 도 18의 예에서 도시된 바와 같이, 천장을 향하여 플라즈마를 압박(push)하는 플라즈마에 대한 자기 압력을 생성하는 자기장이 선택된다. 측벽을 향하도록 플라즈마를 조정하기 위하여, 도 16에 도시된 바와 같이, 주변을 향하여 플라즈마를 압박하는 플라즈마에 대한 자기 압력을 생성하는 자기장이 선택된다.
특정 조건을 수행하는 블록(2220) 단계에서 정해진 각각의 자기장에 대하여, 컴퓨터는 적정 자기장을 생성하는 코일 전류들의 세트에 대하여 블록(2210) 단계에서 정해진 모델을 탐색한다. 이는 블록(2230)의 다음 단계이다. 블록(2230)의 단계에서 찾은 전류들의 각각의 세트는, 상응하는 처리 조건과 관련된 메모리 위치에 상응하는 조건의 이름과 함께 저장된다(도 22의 블록(2240). 특정 처리 조건이 선택될 때마다(예컨대 플라즈마를 천장으로 조정할 때마다), 마이크로프로세서(91)는 상응하는 메모리 위치에서 전류 값들의 세트를 꺼내며(블록 2250), 상응하는 전류들이 적절한 코일들에 공급되도록 한다(블록 2260).
도 23은 마이크로프로세서(91)가 사용자 입력들에 응답하여 어떻게 프로그래밍될 수 있는지를 도시한다. 처리가 웨이퍼 표면의 에칭을 포함하는지에 대한 결정이 먼저 이루어지며(블록 2310), 그리고 처리가 천장을 세정하는 단계(에칭하는 단계)를 포함하는지에 대한 결정이 이루어진다(블록 2320). 만일 단지 웨이퍼의 에칭만이 이루어진다면, 플라즈마는 웨이퍼로 조정되며(블록 2330) 및 웨이퍼 표면에서의 플라즈마 분포 균일성은 도 9의 방법을 사용하여 최적화된다(블록 2350). 만일 천장이 세정되는 동안 웨이퍼가 동시에 에칭되면, 플라즈마 밀도는 천장과 웨이퍼 사이에 할당되며(블록 2360), 플라즈마 밀도 균일성은 도 9에 도시된 웨이퍼 표면과 도 14에 도시된 천장에서 최적화된다(블록 2370). 만일 단지 천장의 세정만이 이루어진다면, 플라즈마는 천장으로 조정되며(블록 2380) 천장에서의 플라즈마 밀도 균일성이 최적화된다(블록 2390).
VHF 오버헤드 전극의 사용:
도 24는 내부 및 외부 코일들(60, 65)이 어떻게 고정 튜닝 스터브를 통해 VHF 플라즈마 소스 전력 생성기에 연결된 오버헤드 전극을 구비한 용량성 결합 반응기에 연결될 수 있는지를 도시한다. 이러한 반응기는 "플라즈마에 튜닝된 오버헤드 RF 전극을 구비한 플라즈마 반응기"라는 명칭으로 2001년 12월 19일에 Daniel Hoffman 등에 의하여 출원된 미국특허 출원번호 제10/028,922호에 개시되며, 이 출원은 본 발명의 양수인에게 양수되었고, 또한 본원에 참조로써 통합된다.
도 24를 참조하면, 플라즈마 반응기는 챔버의 하부에서 반도체 웨이퍼(110)를 지지하는 웨이퍼 지지부(105)를 구비한 반응기 챔버(100)를 포함한다. 처리 키트(process kit)는, 예시적인 구현에서, 접지된 챔버 몸체(127) 상의 유전체 링(120)에 의해 지지된 도전체 또는 반도전체 링(115)을 포함할 수 있다. 챔버(100)는 접지된 챔버 몸체(127) 상의 웨이퍼(110) 위로 갭 길이에서 유전체 시일(seal)에 의해 지지된 디스크형 오버헤드 도전 전극(125)으로 상부의 경계가 정해진다. 일 구현에서, 웨이퍼 지지부(105)는 갭 길이가 변하도록 수직 방향으로 이동가능하다. 다른 구현에서, 갭 길이는 미리 결정된 고정 길이일 수 있다. 오버헤드 전극(125)은 반금속 재료(예컨대, Si 또는 SiC)로 이의 내부 표면이 커버 될 수 있는 금속(예컨대, 알루미늄)일 수 있으며, 또는 그 자체가 반금속 재료일 수 있다. RF 생성기(150)는 RF 전력을 전극(125)에 공급한다. 생성기(150)로부터의 RF 전력은 생성기(150)와 매칭된 동축 케이블(162)을 통해, 전극(125)에 연결된 동축 스터브(135)와 연결된다. 스터브(135)는 특성 임피던스를 가지고, 공진 주파수를 가지며, 전극(125)과 동축 케이블(162) 사이의 임피던스 매칭 또는 RF 전력 생성기(150)의 출력을 제공하며, 이는 이하에서 더욱 자세히 기술될 것이다. 챔버 몸체는 RF 생성기(150)의 RF 리턴(RF 접지)에 연결된다. 오버헤드 전극(125)으로부터 RF 접지까지의 RF 경로는 유전체 시일(120)의 커패시턴스 및 유전체 시일(130)의 커패시턴스에 의하여 영향을 받는다. 웨이퍼 지지부(105), 웨이퍼(110) 및 처리 키트 도전체 또는 반도전체 링(115)은 전극(125)에 인가된 RF 전력에 대해 주요한 RF 리턴 경로를 제공한다.
도 1A의 경우에서와 같이, 내부 코일(60)의 직경은 외부 코일(65) 직경의 1/2 이하이며, 외부 코일(65)보다 챔버로부터 더 멀리 이격된 평면에 위치한다. 외부 코일(65)은 전극(125)의 상부 평면에 위치하거나 상부 평면에 근접하게 배치되지만, 내부 코일(60)은 상당히 전극(125) 위에 배치된다. 도 1의 경우에서와 같이, 코일들(60, 65)에서의 D.C. 전류들은 플라즈마 조정 제어기(90)에 의하여 제어된다.
RF 리턴 또는 접지에 대해 측정된, 전극(125), 처리 키트(115, 120) 및 유전체 시일(130)을 포함하는 오버헤드 전극 어셈블리(126)의 커패시턴스는, 예시적인 경우에, 180pF이다. 전극 어셈블리 커패시턴스는 전극 영역, 갭 길이(웨이퍼 지지부와 오버헤드 전극 사이의 거리), 및 표유(stray) 커패시턴들에 영향을 미치는 인자들, 특히 시일(130) 및 유전체 링(120)의 유전체 값에 의하여 영향을 받으며, 이는 유전체 상수들 및 사용된 재료들의 두께에 의하여 차례로 영향을 받는다. 특히, 전극 어셈블리(126)의 커패시턴스(비부호 숫자 또는 스칼라)는 특정 소스 전력 주파수, 플라즈마 밀도 및 동작 압력에서의 플라즈마의 음의 커패시턴스(복소수)와 크기에 있어서 동일하거나 또는 거의 동일하며, 이하에서 논의될 것이다.
전술한 관계에 영향을 미치는 인자들의 대부분은, 반응기에 의해 수행되는데 필요한 플라즈마 처리 요구조건들의 실재, 웨이퍼의 크기, 및 처리가 웨이퍼 위에서 균일하게 수행되어야 한다는 요구조건으로 인해서 대부분 미리 결정된다. 따라서, 플라즈마 커패시턴스는 플라즈마 밀도 및 소스 전력 주파수의 함수이며, 전극 커패시턴스는 웨이퍼 지지부에 대한 전극 갭(높이), 전극 직경 및 어셈블리의 절연체의 유전체 값들의 함수이다. 플라즈마 밀도, 동작 압력, 갭 및 전극 직경은 반응기에 의해 수행될 플라즈마 처리의 요구요건들을 만족해야 한다. 특히, 이온 밀도는 일정한 범위 내에 있어야 한다. 예컨대, 실리콘 및 유전체 플라즈마 에칭 처리는 일반적으로 플라즈마 이온 밀도가 109 내지 1012 이온/cc의 범위에 있을 것을 요구한다. 웨이퍼 전극 갭은, 예컨대 만약 갭이 약 2인치인 경우, 8인치 웨이퍼에 대해 최적 플라즈마 이온 분포 균일성을 제공한다. 전극 직경은 웨이퍼 직경보다 크지 않다면, 가능한 큰 것이 바람직하다. 동작 압력들은 통상적인 에칭 및 다른 플라즈마 처리들에 대한 실제적인 범위들을 유사하게 갖는다.
그러나 상술한 바람직한 관계들을 달성하기 위해서 선택될 수 있는 다른 인자들, 특히 소스 주파수의 선택, 및 오버헤드 전극 어셈블리(126)에 대한 커패시턴스들의 선택이 남는다는 것이 발견되었다. 전극에 부여된 전술한 크기 제약들 및 플라즈마에 부여된 제약들(예컨대, 밀도 범위) 내에서, 만약 소스 전력 주파수가 VHF 주파수이도록 선택되고, 전극 어셈블리(126)의 절연체 성분들의 유전체 값들이 적절하게 선택된다면, 전극 커패시턴스는 플라즈마의 음의 커패시턴스의 크기와 매칭될 수 있다. 이러한 선택은 소스 전력 주파수와 플라즈마 전극 공진 주파수 사이에 매칭 또는 근사한 매칭을 달성할 수 있다.
따라서 일 예시적인 경우에서, 8인치 웨이퍼에 대하여, 오버헤드 전극 직경은 대략 11인치이며, 갭은 약 2인치이며, 플라즈마 밀도 및 동작 압력은 앞서 언급된 바와 같이 에칭 처리에 대해 통상적이며, VHF 소스 전력 주파수는 20MHz이고(비록 다른 VHF 주파수들이 동일하게 효과적일지라도), 소스 전력 주파수, 플라즈마 전극 공진 주파수 및 스터브 공진 주파수는 모드 매칭되거나 또는 근사하게 매칭된다.
보다 구체적으로, 이들 3개의 주파수는 시스템 Q를 유리하게 감소시키는 디-튜닝 효과를 달성하기 위해서 서로 약간 오프셋되며, 여기서 소스 전력 주파수는 210MHz이며, 전극-플라즈마 공진 주파수는 대략 200MHz이고, 스터브 주파수는 대략 220MHz이다. 이러한 시스템 Q의 감소는 반응기의 성능이 챔버 내의 조건의 변화에 덜 영향을 받도록 하며, 이에 따라 전체 처리는 훨씬 더 안정적이며 더 넓은 처리 윈도우 전반에 걸쳐 수행될 수 있다.
현재 바람직한 모드는 12인치 직경 웨이퍼를 수용하기에 적합한 챔버 직경 및 페데스탈 직경, 약 1.25인치의 웨이퍼에 대한 천장 갭, 및 (앞서 언급된 210MHz라기보다는) 162MHz의 VHF 소스 전력 주파수를 가진다.
동축 스터브(135)는 전체 시스템 안정도, 넓은 처리 윈도우 능력뿐만 아니라 많은 다른 가치있는 장점들에 추가로 기여하는 특별하게 구성된 설계이다. 동축 스터브(135)는 내부 실린더형 도체(140) 및 외부 동심 실린더형 도체(145)를 포함한다. 예컨대 1인 상대 유전상수를 갖는 절연체(147)(도 24에서 빗금(cross-hatching)으로 표시됨)는 내부 도체(140)와 외부 도체(145) 사이의 공간을 충전한다. 내부 도체(140)와 외부 도체(145)는 예컨대 니켈로 코팅된 알루미늄으로 형성될 수 있다. 예시적인 경우에, 외부 도체(145)는 약 4인치의 직경을 가지며, 내부 도체(140)는 약 1.5인치의 직경을 가진다. 스터브 특성 임피던스는 내부 및 외부 도체들(140, 145)의 반경 및 절연체(147)의 유전상수에 의하여 결정된다. 앞서 기술된 경우의 스터브(135)는 65의 특성 임피던스를 가진다. 더욱 구체적으로, 스터브 특성 임피던스는 약 20% 내지 40%, 바람직하게 약 30%정도로 소스 전력 출력 임피던스를 초과한다. 스터브(135)는 210MHz의 VHF 소스 전력 주파수로부터 약간 오프셋되면서 220HMz 근처에서 공진이 일반적으로 매칭하도록, 약 29인치(220MHz의 1/2 파장)의 축 길이를 갖는다.
탭(160)은 RF 생성기(150)에서 스터브(135)로 RF 전력을 인가하기 위해 스터브(135)의 축 길이를 따라 특정 지점에 제공되며, 이는 이하에서 논의될 것이다. 생성기(150)의 RF 전력 단말(150a) 및 RF 리턴 단말(150b)은 스터브(135) 상의 탭(160)에서 내부 및 외부 동축 스터브 도체들(140, 145)과 연결된다. 이러한 연결들은 생성기(150)의 출력 임피던스(통상적으로 50)와 매칭하는 특성 임피던스를 갖는 생성기에서 스터브까지의 동축 케이블(162)를 통해 공지된 방식으로 이루어진다. 스터브(135)의 원거리 단부(135a)에 위치한 단말 도체(terminating conductor; 165)는 내부 도체(140)와 외부 도체(145)를 서로 단락시키며, 따라서 스터브(135)가 원거리 단부(135a)에서 단락된다. 스터브(135)의 근거리 단부(135a)(비단락 단부)에서, 외부 도체(145)는 환상 도전 하우징 또는 지지부(175)를 통해 챔버 몸체에 연결되는 반면에, 내부 도체(140)는 도전 실린더 또는 지지부(176)를 통해 전극(125)의 중심에 연결된다. 유전체 링(180)은 도전 실린더(176)와 전극(125) 사이에 고정되어, 이들을 이격시킨다.
내부 도체(140)는 공정 가스들 및 냉각제와 같은 유용물(utility)에 대한 도관을 제공한다. 이러한 특징의 기본적인 장점은 통상적인 플라즈마 반응기들과는 달리 가스 라인(170) 및 냉각제 라인(173)이 큰 전위차를 혼선시키지(cross) 않는다는 것이다. 따라서, 이들은 상기 목적을 위해 덜 비싸고 신뢰성 있는 재료인 금속으로 구성될 수 있다. 금속 가스 라인(170)은 오버헤드 전극(125) 내에 위치한 또는 이에 인접한 가스 배출구들(172)에 피딩(feeding)하며, 금속 냉각제 라인(173)은 오버헤드 전극(125) 내의 냉각 통로들 또는 자켓들(174)에 피딩한다.
따라서 능동 및 공진 임피던스 변환은 RF 생성기(150)와 오버헤드 전극 어셈블리(126) 및 처리 플라즈마 부하 사이에 이와 같이 특별하게 구성된 스터브 매칭에 의하여 제공되어, 반사된 전력을 최소화하며 부하 임피던스의 큰 변화들을 수용하는 넓은 임피던스 매칭 공간을 제공한다. 결과적으로, 넓은 처리 윈도우들 및 처리 적응성이 제공되어, 전력의 사용에 있어서 이전에는 얻을 수 없었던 효율성과 함께, 통상적인 임피던스 매칭 장치에 대한 필요를 최소화하거나 또는 피할 수 있게 한다. 앞서 언급된 바와 같이, 스터브 공진 주파수는 전체 시스템 Q, 시스템 안정성, 처리 윈도우들 및 다중처리 능력을 더욱 강화하기 위하여 이상적인 매칭으로부터 오프셋된다.
전극-플라즈마 공진 주파수와 VHF 소스 전력 주파수의 매칭
전술한 내용을 요약하자면, 기본적인 특징은 전극-플라즈마 공진 주파수에서 플라즈마와 공진하기 위해서, 그리고 소스 전력 주파수와 전극 플라즈마 주파수를 매칭(거의 매칭)하기 위해서 오버헤드 전극 어셈블리(126)를 구성하는 것이다. 전극 어셈블리(126)는 우세한 용량성 리액턴스를 가지는 반면에, 플라즈마 리액턴스는 주파수, 플라즈마 밀도 및 다른 파라미터들의 복소수 함수이다(이하에서 더욱 상세히 기술되겠지만, 플라즈마는 허수 항들을 포함하는 복소수 함수이고 일반적으로 음의 커패시턴스에 상응하는 리액턴스의 관점에서 분석된다). 전극-플라즈마 공진 주파수는 전극 어셈블리(126) 및 플라즈마의 리액턴스들에 의하여 결정된다(커패시터 및 인덕터의 리액턴스들에 의하여 결정된 커패시트/인덕터 공진회로의 공진 주파수와 유사함). 따라서, 전극-플라즈마 공진 주파수는 플라즈마 밀도에 따라 필수적으로 소스 전력 주파수인 것은 아니다. 따라서, 문제점은 소스 전력 주파수를 찾는 것인데, 플라즈마 밀도 및 전극 크기들의 특정 범위로 실제적인 제약이 주어진 경우에, 상기 소스 전력 주파수에서의 플라즈마 리액턴스는 전극 플라즈마 공진 주파수가 소스 전력 주파수와 동일하거나 또는 거의 동일하도록 주어진다. 이 문제점은 플라즈마 밀도(플라즈마 리액턴스에 영향을 미침) 및 전극 크기(전극 커패시턴스에 영향을 미침)가 일정한 처리 제약들을 만족해야하기 때문에 매우 곤란하다. 구체적으로, 유전체 및 도체 플라즈마 에칭 처리들을 위해서는, 플라즈마 밀도가 플라즈마 리액턴스에 대한 제약인 109-1012 이온/cc의 범위 내에 있어야 한다. 또한, 예컨대 8인치 직경 웨이퍼들을 처리하기 위한 더욱 균일한 플라즈마 이온 밀도 분포는, 약 2인치의 웨이퍼에 대한 전극의 갭 또는 높이, 및 웨이퍼 직경 정도인 또는 그 이상인 전극 직경 - 전극 직경은 전극 커패시턴스를 제약함 - 에 의하여 실현된다. 다른 한편으로, 다른 갭이 12-인치 직경 웨이퍼에 대해 이용될 수 있다.
따라서, 플라즈마의 음의 커패시턴스 크기와 전극 커패시턴스를 매칭(또는 거의 매칭) 시킴으로써, 전극-플라즈마 공진 주파수와 소스 전력 주파수는 적어도 거의 매칭된다. 앞서 언급된 일반적인 도체 및 유전체 에칭 처리 조건들(즉, 109-1012 인치/cc 사이의 플라즈마 밀도, 2인치 갭, 및 대략 11인치 정도의 전극 직경)에 대하여, 소스 전력 주파수가 VHF 주파수인 경우에는 매칭이 가능하다. 다른 조건들(예컨대, 다른 웨이퍼 직경들, 다른 플라즈마 밀도들 등)은 반응기의 이러한 특징을 수행하는데 매칭을 달성하기 위해 다른 주파수 범위를 지시할 수 있다. 이하에서 상세히 기술되겠지만, 유전체 및 금속 플라즈마 에칭 및 화학 기상 증착을 포함하는 여러 기본적인 어플리케이션에서 8인치 웨이퍼들을 처리하기 위한 바람직한 플라즈마 처리 조건들 하에서, 전술된 것과 같은 플라즈마 밀도들을 갖는 일 통상적인 작용예의 플라즈마 커패시턴스는 -50 내지 -400pF이다. 예시적인 경우에, 11인치의 전극 직경 및 대략 2인치의 갭 길이(전극 대 페데스탈 공간)를 사용하고, 9의 유전상수와 1인치 정도의 두께를 갖는 시일(130)용 유전체 재료, 및 4의 유전상수와 10mm 정도의 두께를 갖는 링(120)용 유전체 재료를 선택함으로써, 오버헤드 전극 어셈블리(126)의 커패시턴스는 음의 플라즈마 커패시턴스의 크기와 매칭되었다.
방금 기술된 바와 같이 커패시턴스들이 매칭된다고 가정할 때, 전극 어셈블리(126)와 플라즈마의 결합은 전극(125)에 인가된 소스 전력 주파수와 적어도 거의 매칭하는 전극-플라즈마 공진 주파수에서 공진한다. 바람직한 에칭 플라즈마 처리법들, 환경들 및 플라즈마들에 대하여, 이러한 전극-플라즈마 공진 주파수와 소스 전력 주파수가 VHF 주파수들에서 매칭되거나 또는 거의 매칭되며, 이러한 주파수 매칭 또는 근사한 매칭이 구현되는 것이 매우 유리하는 것이 발견되었다. 예시적인 경우에, 플라즈마 음의 커패시턴스의 전술한 값들에 상응하는 전극-플라즈마 공진 주파수는 대략 200MHz이며, 이하에서 상세히 설명될 것이다. 소스 전력 주파수는 210MHz, 즉 근사 매칭(near-matching)이며, 소스 전력 주파수가 전극-플라즈마 공진 주파수보다 약간 위로 오프셋되어, 이하에서 논의될 다른 장점들을 달성한다.
플라즈마 커패시턴스는 특히 플라즈마 전자 밀도의 함수이다. 이는 플라즈마 이온 밀도와 관련되며, 플라즈마 이온 밀도는 양호한 플라즈마 처리 조건들을 제공하기 위해 일반적으로 109 내지 1012 이온/cc의 범위 내에서 유지될 필요가 있다. 소스 전력 주파수 및 다른 파라미터들과 함께 상기 밀도는 플라즈마 음의 커패시턴스를 결정하며, 따라서 이의 선택은 플라즈마 처리 조건들을 최적화하기 위한 필요성에 의해 제약되고, 이하에서 더욱 상세히 설명될 것이다. 그러나, 오버헤드 전극 어셈블리 커패시턴스는 예컨대 갭 길이(전극(125)과 웨이퍼 사이의 공간), 전극(125) 영역, 유전체 시일(130)에 대한 유전체 손실 탄젠트의 범위, 전극(125)과 접지된 챔버 몸체(127) 사이의 유전체 시일(130)의 유전상수의 선택, 처리 키트 유전체 시일(130)에 대한 유전상수의 선택, 및 유전체 시일들(130, 120)의 두께, 및 링(180)의 두께 및 유전상수와 같은 많은 물리적 인자들에 의해 영향을 받는다. 이는 오버헤드 전극 커패시턴스에 영향을 미치는 이러한 그리고 다른 물리적 인자들 중에서 만들어진 선택들을 통해 전극 어셈블리 커패시턴스의 약간의 조정을 허용한다. 이러한 조정 범위가 음의 플라즈마 커패시턴스의 크기와 오버헤드 전극 어셈블리 커패시턴스를 매칭시키는데 필요한 범위를 달성하기에 충분하다는 것이 발견되었다. 특히, 시일(130) 및 링(120)에 대한 유전체 재료들 및 크기들은 적정 유전상수들 및 그에 따른 유전체 값들을 제공하기 위해 선택된다. 전극 커패시턴스에 영향을 미치는 동일한 물리적 인자들 중 일부, 특히 갭 길이는 다음의 실용송(practicality)들 - 더 큰 직경의 웨이퍼들을 조절해야 한다는 필요; 웨이퍼의 전체 직경에 걸쳐 플라즈마 이온 밀도의 분포의 양호한 균일성을 갖도록 해야 한다는 필요; 및 이온 밀도 대 이온 에너지를 양호하게 제어해야 한다는 필요 - 에 의해 결정 또는 제한될 것이라는 사실에도 불구하고, 전극 커패시턴스와 플라즈마 커패시턴스의 매칭은 달성될 수 있다.
플라즈마 커패시턴스와 매칭 오버헤드 전극 커패시턴스에 대한 전술한 범위가 주어진다면, 전극-플라즈마 공진 주파수는 210MHz의 소스 전력 주파수에 대하여 대략 200MHz이다.
이러한 방식으로 전극 어셈블리(126)의 커패시턴스를 선택하고, 이에 따른 전극-플라즈마 공진 주파수와 소스 전력 주파수의 매칭이 갖는 현저한 장점은, 소스 전력 주파수 근처에서 전극과 플라즈마의 공진이 더 넓은 임피던스 매칭 및 더 넓은 처리 윈도우, 이에 따른 처리 조건들의 변화에 대해 더욱 증가된 면역성, 및 더 높은 성능 안정성을 제공한다는 것이다. 전체 처리 시스템은 예컨대 플라즈마 임피던스의 시프트들과 같은 동작 조건들의 변화에 덜 민감하게 되며, 이에 따라 처리 응용이 확대될 뿐만 아니라 신뢰성이 향상된다. 후술하겠지만, 이러한 장점은 전극-플라즈마 공진 주파수와 소스 전력 주파수 사이의 작은 오프셋에 의하여 더욱 강화된다.
도 25는 내부 코일(60)과 외부 코일(60, 65)이 고정 튜닝 스터브를 통해 VHF 플라즈마 소스 전력 생성기에 연결된 오버헤드 전극을 구비하고 주변 둘레에 MERIE 전자석들을 구비한 용량성 결합 반응기와 어떻게 결합될 수 있는지를 도시한다. 이러한 반응기는 "플라즈마에 튜닝된 오버헤드 RF 전극을 가진 플라즈마 반응기"라는 명칭으로 2001년 12월 19일에 Daniel Hoffman 등에 의하여 출원된 미국특허 출원번호 제10/028,922호에 개시되어 있으며, 이 출원은 본 발명의 양수인에게 양도되었고 본원에 참조로써 통합된다.
도 25를 참조하면, VHF 용량성 결합 플라즈마 반응기는 도 1A의 반응기에서 찾을 수 있는 다음의 엘리먼트들, 즉 챔버의 하부에서 반도체 웨이퍼(110)를 지지하는 웨이퍼 지지부(105)를 구비한 반응기 챔버(100)를 포함한다. 도시된 경우의 처리 키트는 접지된 챔버 몸체(127) 상의 유전체 링(120)에 의해 지지된 반도전체 또는 도전체 링(115)을 포함할 수 있다. 챔버(100)는 접지된 챔버 몸체(127) 상의 웨이퍼(110) 위로 미리 결정된 갭 길이에서 유전체 시일(130)에 의해 지지된 디스크형 오버헤드 알루미늄 전극(125)으로 상부의 경계가 정해진다. 오버헤드 전극(125)은 반금속 재료(예컨대, Si 또는 SiC)로 이의 내부 표면이 커버될 수 있는 금속(예컨대, 알루미늄)일 수 있으며, 또는 그 자체가 반금속 재료일 수 있다. RF 생성기(150)는 RF 전력을 전극(125)에 공급한다. 생성기(150)로부터의 RF 전력은 생성기(150)와 매칭된 동축 케이블(162)을 통해, 전극(125)에 연결된 동축 스터브(135) 안으로 결합된다. 스터브(135)는 특성 임피던스 및 공진 주파수를 가지고 전극(125)과 동축 케이블(162)/RF 전력 생성기(150) 사이의 임피던스 매칭을 제공하며, 이하에서 더욱 완벽하게 설명될 것이다. 챔버 몸체는 RF 생성기(150)의 RF 리턴(RF 접지)에 연결된다. 오버헤드 전극(125)으로부터 RF 접지까지의 RF 경로는 처리 키트 유전체 링(120) 및 유전체 시일(130)의 커패시턴스에 의해 영향을 받는다. 웨이퍼 지지부(105), 웨이퍼(110) 및 처리 키트 반도전(또는 도전) 링(115)은 전극(125)에 인가된 RF 전력을 위한 주요한 RF 리턴 경로를 제공한다.
도 1A의 경우에서와 같이, 내부 코일(60)의 직경은 외부 코일(65) 직경의 1/2 이하이며, 외부 코일(65)보다 챔버로부터 더 멀리 이격된 평면에 위치한다. 외부 코일(65)은 전극(125)의 상부 평면에 위치하거나 상부 평면에 근접하게 배치되지만, 내부 코일(60)은 상당히 전극(125) 위에 배치된다. 도 1의 경우에서와 같이, 코일들(60, 65)에서의 D.C. 전류들은 플라즈마 조정 제어기(90)에 의하여 제어된다.
플라즈마 밀도 분포 균일성에 있어서 개선은, 반응기 챔버(도 7 및 도 8에 도시된 것과 유사함)의 외부에 그리고 웨이퍼 지지 페데스탈의 주변 둘레에 동일하게 이격된 MERIE 전자석들(902)의 세트를 도입함으로써 달성된다. 이들 MERIE 자석들은 웨이퍼 지지 페데스탈의 표면 전반에 걸쳐 실린더형 챔버의 대칭축에 대해 저속으로 회전하는 자기장을 생성하도록 적응된다. 일 경우에, 이러한 특징은 웨이퍼 지지 페데스탈의 원주에 접하는 각각의 축들 둘레에 감진 전자석 권선들을 구비한 MERIE 자석들(902)에 의해 달성된다. 이 경우, MERIE 전류 제어기(904)는 각각의 MERIE 자석에 대한 개별 전류를 제어한다. 동일 주파수이지만 90도만큼(또는 360도를 MERIE 자석들의 개수로 나눈 만큼) 위상 오프셋 된 개별 AC 전류들을 개별 자석 권선들 각각에 제공하는 제어기(904)에 의해, 워크피스 지지부의 평면에 순환하는 자기장이 생성된다. 대안적인 경우에, 회전하는 자기장의 특징은 회전자(rotor; 1025)(점선)에 의해 대칭축에 대해 회전되는 MERIE 자석들의 모두를 지지하는 지지 프레임(1020)(점선)에 의하여 달성된다. 이러한 대안적인 경우에 MERIE 자석들은 영구 자석이다.
또한 워크피스 또는 웨이퍼 지지부 페데스탈 둘레에 그러나 MERIE 자석들(902)의 제 1 세트보다 높은 평면에 동일하게 이격된 MERIE 자석들(906)(점선으로 도시됨)의 제 2 어레이가 제공될 수 있다. 자석들의 양 세트는 워크피스 지지부 평면 근처에 있는 각각의 평면들에 배치된다.
제어기(910)는 전자석들(902, 906) 각각에 저주파수(0.5-10Hz) AC 전류를 공급하며, 인접 자석들에 인가된 전류들의 위상은 전술된 바와 같이 90도만큼 오프셋 된다. 그 결과, 자기장은 AC 전류의 저주파수에서 워크피스 지지부의 대칭축에 대해 회전한다. 자기장은 플라즈마가 워크피스 표면 근처의 자기장을 향하도록 하며, 자기장과 함께 순환되도록 한다. 이는 플라즈마 밀도 분포가 더욱 균일하게 되도록 플라즈마를 섞는다. 결과적으로, 반응기의 성능은 웨이퍼의 전체 표면 전반에 걸쳐 더욱 균일한 에칭 결과가 획득되기 때문에 상당히 개선된다.
결합 오버헤드 전극 및 가스 분배 플레이트:
챔버 내의 가스 분포 균일성을 개선하기 위하여 오버헤드 천장에서 공정 가스를 공급하는 것이 바람직하다. 이러한 목적을 위하여, 도 24 및 도 25의 경우에서 오버헤드 전극(125)은 가스 분배 사워헤드일 수 있으며, 따라서 워크피스 지지부(105)와 대면하는 저면에 다수의 가스 주입 포트들 또는 작은 홀들(300)을 구비한다. 예시적인 경우에, 홀들(300)의 직경은 0.01 내지 0.03 인치이었으며, 홀들의 중심은 약 3/8 인치만큼 균일하게 이격되었다.
오버헤드 전극/가스 분배 플레이트(125)(이후 가스 분배 플레이트(125)로 칭함)는 아킹에 대해 향상된 저항성을 갖는다. 이는 각각의 개구부 또는 홀(300)의 중심과 공정 가스 및/또는 플라즈마를 차단하는 아크 억제 특징의 도입에 기인한다. 이러한 아크 억제 특징은 도 26의 단면도 및 도 27의 확대된 단면도에 도시된 바와 같이 각각의 실린더형 핑거들 또는 얇은 로드들(thin rods; 303)의 단부에서 지지되는 홀들(300)의 중심들에 있는 센터 피스들(center pieces) 또는 디스크들(302)의 세트이다. 통상적인 가스 분배 플레이트 내의 아킹은 가스 주입 홀들의 중심 부근에서 발생하는 경향이 있다. 따라서, 각각의 홀(300)의 중심에 센터 피스(302)를 배치하면, 공정 가스가 각각의 홀(300)의 중심에 도달하는 것이 방지되어 아킹 발생이 감소된다. 도 28의 평면에 도시된 바와 같이, 홀들(300)에 센터 피스(302)를 도입하면, 원형 개구부 또는 홀(300)이 환상 개구부로 바뀐다.
도 29A를 참조하면, 아크 억제가 개선된 가스 분배 플레이트(125)는 커버(1402) 및 베이스(1404)를 구성한다. 베이스(1404)는 내부 숄더(1410)를 구비한 환상 벽(1408)에 의해 둘러싸이고, 이의 전면에 걸쳐 형성된 가스 주입 개구부들을 구비한 원반모양(discoid) 플레이트(1406)이다. 또한 커버(1402)도 원반모양 플레이트이다. 디스크(302)는 커버(1402)에 부착되고 커버(1402)의 저면에서 아래로 연장하는 실린더형 핑거(303)의 단부 단면이다. 커버(1402)의 외부 에지는 커버(1402)와 베이스(1404) 사이에 가스 매니폴드(1414)(도 26)를 형성하기 위해서 베이스(1404)의 숄더(1410) 상에 배치된다. 공정 가스는 커버(1402)의 중심에 있는 가스 인입구(1416)로부터 매니폴드(1414)로 흐른다.
챔버 내에 공정 가스 또는 플라즈마와 접촉하는 가스 분배 플레이트(125)의 부분들은 실리콘 카바이드와 같은 반도체 처리에 적합한 재료로 코팅된 알루미늄과 같은 금속으로 형성될 수 있다. 이 예시에서, 커버(1402)의 상면을 제외하고 가스 분배 플레이트의 모든 표면들은 도 29B의 확대된 부분 단면도에 지시된 바와 같이 실리콘 카바이드 코팅부(1502)로 커버된다. 도 30에 도시된 바와 같이, 커버(1402)의 알루미늄 상면은 열 교환기(1524)에 의하여 순환되는 냉각제와 함께 물 자켓들(water jackets; 1522)에 의해 물로 냉각될 수 있는 온도 제어 부재(temperature-controlled member; 1520)와 접촉하며, 이에 따라 가스 분배 플레이트(125)의 열도전성 알루미늄 재료는 제어된 온도를 갖는다. 선택적으로, 도 31에 도시된 바와 같이, 물 자켓들은 가스 분배 플레이트(125) 내에 있을 수 있다.
그러나, 실리콘 카바이드 코팅부(1502)가 동일한 제어된 온도를 갖도록 하기 위해서, 실리콘 카바이드 코팅부와 알루미늄 사이에 열 도전 결합이 존재해야 한다. 그렇지 않으면, 실리콘 카바이드 코팅부의 온도는 제어하기 어렵게 변동할 수 있다. 가스 분배 플레이트(125)의 알루미늄 재료와 실리콘 카바이드 코팅부 사이의 양호한 열도전성을 달성하기 위하여, 도 29A에 도시된 바와 같이, 중합체 결합층(polymer bonding layer; 1504)이 알루미늄 가스 분배 플레이트와 실리콘 카바이드 코팅부(1502) 사이에 형성된다. 도 29A는 이 실리콘 카바이드 코팅부(1502)와 알루미늄 베이스(1404) 사이에 위치한 중합체 결합층(1504)을 도시한다. 중합체 결합층은 알루미늄과 실리콘 카바이드 코팅부(1502) 사이에 양호한 열도전성을 제공하며, 이에 따라 코팅부(1502)의 온도도 열 교환기(1524)에 의하여 제어된다.
도 32, 도 33 및 도 34는 도 29A의 가스 분배 플레이트(125)가 이중 영역 가스 흐름 제어를 제공하기 위해서 어떻게 수정되었는지를 도시한다. 이러한 특징은 상호 보완적인 공정 가스 분포를 선택함으로써 중심에서 높거나 또는 중심에서 낮은 에칭비 또는 증착비 공간 분포를 보상하는데 도움을 주기 위하여 사용될 수 있다. 특히, 환상 격벽 또는 벽(1602)은 가스 매니폴드(1414)를 중심 매니폴드(1414a) 및 외부 매니폴드(1414b)로 분할한다. 중심 매니폴드(1414a)에 공급하는 중심 가스 공급원(1416) 외에, 가스 분배 플레이트(125)의 중심 및 주변 사이에 위치한 다른 가스 공급원(1418)은 외부 매니폴드(1414b)에 공급한다. 이중 영역 제어기(1610)는 공정 가스 공급원(1612)으로부터 가스 흐름을 내부 및 외부 가스 공급원들(1416, 1418) 간에 배분한다. 도 35는 밸브(1610)의 일 구현을 도시하며, 여기서 관절형 베인(articulating vane; 1618)은 가스 분배 플레이트의 내부 및 외부 매니폴드들(1414a, 1414b)로의 가스 흐름의 상대적인 양을 제어한다. 지능형 흐름 제어기(1640)는 베인(1618)의 위치를 관리한다. 도 36에 도시된 다른 구현에서, 한 쌍의 밸브(1651, 1652)는 챔버의 각각의 방사상 영역들에 대한 개별 가스 흐름 제어를 수행한다.
도 37은 가스 분배 플레이트(125)가 3개의 가스 흐름 영역들을 구비하는 경우를 도시하며, 여기서 매니폴드(1414)는 내부 및 외부 환상 격벽들(1604, 1606)에 의해 3개의 매니폴드(1414a, 1414b, 1414c)로 분할된다. 3개의 각각의 가스 공급원(1416, 1418, 1420)은 각각의 매니폴드(1414a, 1414b, 1414c)에 가스 흐름을 제공한다.
오버헤드 코일들(60, 65)를 구비하는 등의 다양한 경우들이 본원에 기술될지라도, 도 37은 2개 이상의 오버헤드 코일이 존재할 수도 있다는 것을 도시한다. 사실상, 도 37의 경우는 3개의 동심 오버헤드 코일(60, 64, 65)을 구비하는 것으로 도시된다. 개별적으로 제어되는 오버헤드 코일들의 수를 증가시킴으로써, 처리 불균일성들이 보상되는 감도(resolution)가 증가될 것이라고 생각된다.
도 34 및 도 37의 다중 영역 가수 분배 플레이트들은 워크피스의 내부 및 외부 처리 영역들 사이의 가스 분배에 대해 유연하게 제어할 수 있다는 장점이 있다. 그러나, 가스 흐름을 커스터마이징(customizing)하기 위한 다른 방식은, 가스 분배 플레이트(125)의 서로 다른 반경에 서로 다른 가스 주입 홀 크기들을 제공함으로써 영구적으로 수행하는 것이다. 예컨대, 만약 반응기가 중심에서 높은 공간 에칭비 분포를 제공하는 경향이 있다면, 중심에는 작은 가스 주입 홀들(300)을 그리고 주변 근처에는 큰 가스 주입 홀들을 사용함으로써 챔버의 중심에는 적은 가스가 공급되고 챔버의 주변에는 많은 가스가 공급되게 한다. 이러한 가스 분배 플레이트는 도 38의 평면도에 도시된다. 중심에서 낮은 에칭 분포를 갖는 경우에는 반대의 홀 구조가 도 39에 도시된 것과 같이 사용될 수 있을 것이다.
도 9의 반응기에서의 플라즈마 조정
도 11 내지 도 14를 참조로 전술된 바와 같이 플라즈마 조정은 도 9의 경우에서 수행된다. -13A의 전류를 내부 코일(60)에 인가하고 +1.4A의 전류를 외부 코일(65)에 인가함으로써 측벽을 향한 자기장이 생성되었다. -13A의 전류를 내부 코일(60)에 인가하고 +5.2A의 전류를 외부 코일965)에 인가함으로써 천장 또는 전극(125)의 주변을 향한 자기장이 생성되었다. 측벽에서의 고밀한 자기장은 -13A의 전류를 내부 코일(60)에 인가하고 +9.2A의 전류를 외부 코일(65)에 인가하여 생성되었다. 세정시 챔버 표면들의 에칭비가 전술된 방식으로 천장 또는 전극(125)의 주변을 향한 자기장을 인가함으로써 40% 정도 개선되었다는 것이 발견되었다.
코일 구성들:
전술한 경우들은 내부 및 외부 코일들(60, 65)을 참조로 설명되었지만, 더 많은 수의 코일들이 사용될 수 있다. 예컨대, 도 40의 경우는 5개의 오버헤드 코일(4060, 4062, 4064, 4066, 4068)을 구비하며, 각각의 코일은 제어기(90)에 의해 개별적으로 제어되는 독자적인 전류를 갖는다. 코일들(4060, 4062, 4064, 4066, 4068)은 (도 40에서와 같이) 천장(125) 위로 동일한 높이에 있을 수 있고, 또는 서로 다른 높이에 있을 수도 있다. 도 41은 오버헤드 코일들(60, 65)이 동일한 높이에 있는 경우를 도시한다. 도 41에서, 각각의 코일(60, 65) 권선은 수직 방향과 방사 방향 모두로 적층된다. 도 42 및 도 43은 코일들(60, 65)이 수직 방향으로 그리고 방사 방향으로 연장하는 권선을 구비하는 서로 다른 경우를 도시한다.
도 1A를 참조로 전술된 바와 같이, 불균일 분포를 보상하기 위한 플라즈마 상의 자기 압력은 자기장의 제곱의 기울기의 방사상 성분에 비례한다. 따라서 가장 효율적인 방법은 첨단형 자기장과 같은 큰 방사상 기울기를 갖는 자기장을 사용하는 것이다. 앞에서 추가로 논의된 바와 같이, 첨단형 자기장의 더 높은 효율성은 주어진 양의 자기 압력에 대해 요구되는 자기장 강도를 감소시키며, 이에 따라 높은 자기장과 관련된 장치 손상을 감소 또는 제거할 수 있다. 도 44는 완전한 첨단형 자기장이 챔버의 위와 아래에 각각 배치된 한 쌍의 코일(4420, 4440)에 의해 생성되는 경우를 도시한다. 상부 코일(4420)과 하부 코일(4440)의 전류 흐름은 각각 시계방향과 반시계방향이다. 도 45는 한 쌍의 코일들(4420, 440)에 의해 생성된 완전한 첨단형 자기장의 자기장 라인 패턴을 간략하게 도시한다.
도 46은 종래의 MERIE 반응기(4650)의 4개의 전자석(4610, 4620, 4630, 4640)이 도 45의 완전한 첨단형 자기장를 생성하기 위해 사용되는 경우를 도시한다. 전자석들(4610, 4620, 4630, 4640)의 각각의 전류를 제어하는 전류 제어기(4660)는, 도 46에서 화살표들로 지시된 것과 같이, 모든 전자석(4610, 4620, 4630, 4640)에서 동일한 방향(예컨대, 시계방향)으로 흐르는 D.C. 전류를 인가하도록 프로그래밍 된다. 이러한 방식에서, 상부 도체들(4610a, 4620a, 4630a, 4640a)의 D.C. 전류들은 시계방향 전류 루프를 형성하며, 하부 도체들(4610b, 4620b,4630b, 4640b)의 D.C. 전류들은 반시계방향 전류 루프를 형성하는 반면에, 어레이의 각각의 코너에서 인접 전자석들의 수직 도체들(예컨대, 한 쌍의 수직 도체들(4220c, 4630d))의 전류들은 웨이퍼 표면에서 서로 자기장을 상쇄한다. 최종적인 효과는 도 44의 경우와 유사하게 챔버의 상부와 하부에서 각각 시계방향과 반시계방향의 전류 루프들을 생성하는 것이며, 그 결과 도 45에 도시된 완전한 첨단형 자기장이 생성된다. 도 46의 반응기는 이하의 3가지 모드들 중 어느 한 모드로 동작된다.
첨단형 자기장이 생성되는, 자기 압력 모드;
웨이퍼 표면 상에 저속 회전 자기장을 생성하기 위하여 4개의 전자석(4610, 4620, 4630, 4640)에 4개의 정현파 전류가 공급되는, 정현파 모드;
4개의 전자석(4610, 4620, 4630, 4640)의 배향(orientation)에 대해 대각선 방향으로 웨이퍼 표면을 가로질러 연장하는 일반적으로 직선인 자기장을 생성하기 위하여, 4개의 전자석(4610, 4620, 4630, 4640)이 인접하는 쌍들로 이루어진 마주하는 세트들(opposing sets of adjacent pairs) - 일 쌍은 일 D.C. 전류를 가지며, 대향 쌍은 대향 D.C. 전류를 가짐 - 로 그룹핑되는, 구성가능 자기장(CMF) 모드. 이러한 그룹핑은 자기장이 4가지 대각선 방향을 통해 회전하도록 전류들을 스위칭함으로써 회전된다. 이러한 방향들의 시간 시퀀스는 도 47A, 도 47B, 도 47C 및 도 47D에 기술된다.
도 47A에서, 전자석들(4610, 4620)은 양의 D.C. 전류 흐름을 가지는 반면에, 전자석들(4630, 4640)은 음의 D.C. 전류 흐름을 가지며, 생성된 평균 자기장 방향은 도면의 좌상부 코너로부터 도면의 우하단 코너로 향한다. 도 47B에서, 그룹핑들은 스위칭 되었고, 그에 따라서 전자석들(4620, 4630)은 양의 전류 흐름을 가지는 반면에, 전자석들(4640, 4610)은 음의 전류 흐름을 가지며, 평균 자기장이 90도 만큼 시계방향으로 회전되었다. 도 47C 및 도 47D는 사이클은 완료한다. 자기장 라인의 강도는 공급된 양 및 음의 D.C. 전류들의 진폭 차에 의해 결정되며, 원하는 대로 제어기(4650)를 프로그래밍함으로써 조절될 수 있다.
도 9의 방법이 CMF 모드에서 사용되어, 불균일 에칭비 또는 플라즈마 이온 밀도 분포에 대해 최상의 보상을 제공하도록 4개의 전자석(4610, 4620, 4630, 4640)의 D.C. 전류를 정확하게 선택할 수 있다. 도 9의 방법을 도 47A-D의 CMF 모드에 적용할 때, 전자석들 또는 코일들(4610, 4620, 4630, 4640) 각각의 코일들은 오버헤드 코일들(60, 65)로 대체되며, 도 9의 모든 단계들은 상기 대체에 따라 수행된다. 단지 차이점은 각각의 코일로부터의 자기장의 계산이 도 47A-D에 상응하는 4개의 시구간에 대한 평균으로써 계산된다는 점이다.
도 48은 펌핑 고리 위에 삽입된 특정 격자(grating; 4810)를 포함한 반응기를 도시한다. 격자(4810)는 실리콘 카바이드와 같은 반도전성 재료 또는 알루미늄과 같은 도전성 재료로 형성되며, 가스가 펌핑 고리를 통해 챔버로부터 배기되도록 하는 개구부들(4820)을 구비한다. 특정 격자(4810)는 펌핑 고리로부터 플라즈마를 차단하여, 필요한 보호 및 처리 제어를 제공한다. 이를 위하여, 방사상 평면에서 각각의 개구부(4820)의 내부를 가로지르는 거리는 거의 플라즈마 외장(sheath) 두께의 두 배이다. 이러한 방식에서, 플라즈마가 격자(4810)를 통과해 침입하는 것은 불가능하지는 않지만 매우 어렵다. 이는 펌핑 고리 내의 챔버 표면들과 플라즈마의 상호작용을 감소 또는 제거한다.
도 49 및 도 50은 일체형으로 형성된 제거가능 챔버 라이너(4910)를 도시하며, 이는 도 48의 플라즈마 제한 격자(4810)를 통합한다. 라이너(4910)는 전극(125) 위와 웨이퍼(110) 아래 영역의 방사상으로 바깥에 위치한 챔버의 부분들을 커버한다. 따라서, 라이너(4910)는 챔버 천장의 바깥 둘레를 덮는 상부 수평 섹션(4920), 챔버 측벽을 덮는 수직 섹션(4930), 및 플라즈마 제한 격자(4810)를 포함하고 펌핑 고리뿐만 아니라 웨이퍼(110)에 인접한 환상 표면을 덮는 하부 수평 섹션(4940)을 포함한다. 일 경우에, 섹션들(4920, 4930, 4940)의 각각은 모놀리식(monolothic) 실리콘 카바이드 피스(4950)로써 함께 형성된다. 라이너(4910)는 실리콘 카바이드 피스(4950)의 하부 수평 섹션(4940) 아래에 있는 알루미늄 베이스(4960)를 더 포함하며 이에 고정된다. 알루미늄 베이스(4960)는 비교적 길고 얇은 하향 연장 환상 레일들(4962, 4964)을 포함하며, 웨이퍼 지지 페데스탈(105) 아래에 위치한 챔버의 접지된 구조적 엘리먼트들에 양호한 전기적 도전성을 제공한다.
반응기는 하향 연장 환상 레일들(4962, 4964)과 열 접촉하는 온도 제어 엘리먼트들(4972, 4974), 및 수직 측 섹션(4930)과 열 접촉하는 온도 제어 엘리먼트(4976)를 구비할 수 있다. 열 제어 엘리먼트들(4972, 4974, 4976) 각각은 냉각제 통로들을 포함하는 냉각 장치 및 전기 히터를 포함하는 가열장치를 포함할 수 있다. 라이너(4910)의 내부 표면들 상에 중합체 또는 플루오르탄소 화합물들의 증착을 최소화 또는 방지하기 위해서, 충분히 높은(예컨대, 120℉만큼 높은) 온도로 라이너(4910)를 유지하는 것이 바람직할 수 있다.
라이너(4910)는 양호한 접지 리턴 경로를 제공하기 때문에 처리 안정성을 강화한다. 이는 전기 전위가 (상부 수평 섹션(4920), 수직 섹션(4930) 및 하부 수평 섹션(4940)의 내부 대면 표면(interior-facing surface)들을 포함한) 실리콘 카바이드 피스(4950)의 내부 표면에 따라 균일하다는 사실 때문이다. 결과적으로, 라이너(4910)는 오버헤드 전극(125)으로부터 전달된 전력 또는 웨이퍼 페데스탈(105)로부터 전달된 전력 중 하나에 모든 내부 대면 표면들에서 균일한 RF 리턴 경로를 제공한다. 일 장점은 플라즈마 변동들이 라이너(4910)의 내부 표면의 여러 부분들에 집중하도록 RF 리턴 전류 분포를 이동시키기 때문에 상기 전류에 대해 나타나는 임피던스가 일정하게 유지된다는 것이다. 이러한 특징은 처리 안정성을 증가시킨다.
도 51은 도 7의 경우에 대한 수정을 도시하며, 여기서 오버헤드 솔레노이드들(60, 65)은 MERIE 자석들(92, 94, 96, 98)의 정사각형 패턴과 대칭적인 정사각형 패턴을 한정하며, 포토리소그래픽 마스크와 같은 정사각형 반도체 또는 유전체 워크피스(4910)의 균일한 처리에 특히 적합하다.
도 52는 웨이퍼 지지 페데스탈(105)이 위와 아래로 이동될 수 있는 도 24의 반응기에 대한 변형을 도시한다. 플라즈마 이온 방사상 분포를 제어하는 2개의 오버헤드 코일(60, 65) 외에, 웨이퍼 지지 페데스탈(105)의 평면 아래에 있는 하부 코일(5210)이 존재한다. 또한, 챔버의 둘레에 외부 코일(5220)이 존재한다. 외부 오버헤드 코일(65) 및 하부 코일(5210)은 챔버 내에 완전한 첨단형 자기장을 형성하기 위한 대향하는 D.C. 전류들을 가질 수 있다.
오버헤드 코일들(60, 65)이 오버헤드 소스 전력 전극 및 가스 분배 플레이트로 사용되는 오버헤드 천장을 구비한 반응기와 관련하여 설명되었지만, 천장은 가스 분배 플레이트가 아닌 형태일 수 있으며, 공정 가스들은 다른 종래의 방식(예컨대, 측벽을 통해) 주입될 수 있다. 더욱이, 코일들(60, 65)은 소스 전력이 천장 전극과 용량적으로 결합되지 않는 반응기에서 사용될 수도 있다. 또한, 오버헤드 전극을 위한 임피던스 매칭 엘리먼트는 동축 튜닝 스터브와 같은 고정 엘리먼트인 것으로 기술되었다. 그러나, 임피던스 매칭 엘리먼트는 종래 동적 임피던스 매칭 회로와 같은 임의의 적절한 또는 종래의 임피던스 매칭 디바이스일 수 있다.
3개의 자석 3개의 모드 플라즈마 분포 제어:
플라즈마 강화 반응 이온 에칭과 같은 플라즈마 공정들에서, 자기장은 반도체 장치 전반에 걸쳐 에칭비의 방사상 분포 균일성을 개선하기 위하여 사용된다. 대부분의 경우에, 플라즈마 이온 밀도는 웨이퍼 중심에서 크고 중심 외의 웨이퍼 부분에서 낮으며, 이에 따라 에칭비도 웨이퍼 중심에서 높고 웨이퍼 주변에서 낮은 경향이 있다. 자기장은 플라즈마 이온 밀도의 방사상 분포를 변화시키기 위하여 내부 및 외부 자석들(60, 65)에 의해 생성될 수 있다. 통상적으로, 목적하는 효과는 웨이퍼 중심에서의 플라즈마 이온 밀도를 감소시키고 웨이퍼 주변에서의 플라즈마 이온 밀도를 증가시키는 것이다. 내부 및 외부 전자석들(도 1B)은 플라즈마 이온 밀도 분포 균일성을 개선하기 위하여 사용될 수 있다. 이들이 함께 생성하는 자기장은 방사상 성분 B r(이의 플럭스 라인은 평면 웨이퍼 표면과 평행함)과 축 성분 B z(이의 플럭스 라인은 평면 웨이퍼 표면에 수직함)과 같이 2개의 성분으로 분해하여 분석될 수 있다. 자기장의 방사상 성분 B r 은 (예컨대, CVD 공정에서 에칭 공정 또는 증착 시에 에칭비의 균일한 방사상 분포를 달성하기 위하여) 플라즈마 이온 밀도의 방사상 분포를 변화시키거나 또는 보상할 때 가장 효율적이다. 그러나 단지 내부 전자석(60)과 외부 전자석(65)만을 사용하여 방사상 성분 B r을 변화시키는 것은 방사상 성분 B r에 있어서의 변화에 영향을 받는 방식으로 자기장의 축 성분 B z을 필연적으로 변화시킨다. 예컨대, B r의 증가는 통상적으로 B z 불가피한 증가를 야기한다. 이러한 B z의 증가는 요구되지 않으며, 또한 바람직하지 않을 수 있다. 축 성분 B z의 제어는 (예컨대, 전하누적, 고전기장, 고유도전류 또는 전압으로 인한) 웨이퍼의 장치 손상의 감소와 밀접하게 관련되어 있다는 것이 발견되었다. 많은 경우에 있어서 방사 성분 B r를 목적하는 정도로 최적화(예컨대, 증가)시키면서 동시에 축 성분 B z를 감소시키는 것이 바람직하다.
도 53A를 참조하면, 도 1B의 내부 및 외부 전자석들(60, 65)은 웨이퍼 평면 아래에 있는 하부 전자석(401)에 의하여 증대된다. 제어기(90)에 의하여 관리되는 D.C. 전류 공급원(403)는 하부 전자석(401)에 D.C. 전류를 인가하며, 제어기(90)에 의하여 관리되는 전류 공급원들(70, 75)은 내부 및 외부 전자석들(60, 65)에 전류를 인가한다. 도 53A의 각각의 전자석(60, 65, 401)은 단일 도체 권선으로 구성되지만, 도 53B에서 도시된 바와 같이 수직으로 배열된 다수의 권선으로 구성될 수도 있다. 도 54는 3개의 전자석(60, 65, 401)이 어떻게 도 24의 반응기에 배치되었는 지를 도시한다. 본원에서 전술한 바와 같이, 도 24의 반응기는 전극과 플라즈마가 공진하는 주파수로 고정 임피던스 매칭 엘리먼트를 통해 VHF 플라즈마 소스 전력에 의해 구동되는 오버헤드 VHF 전극을 구비한다.
자석들(60, 65, 401)은 3가지 형태의 자기장 중 어느 하나(또는 이들의 조합)를 생성하기 위해서 사용될 수 있다:
(1) 웨이퍼(20) 표면에서 Br와 Bz 모두 강한 솔레노이드 자기장(도 55A). 이러한 솔레노이드 자기장은 3개의 전자석(60, 65, 401) 중 오직 하나에만 전류를 인가함으로써 생성될 수 있다. 도 56A는 솔레노이드 자기장을 생성하기 위하여 외부 전자석(65)에 공급되는 전류의 예를 도시한다.
(2) 웨이퍼의 표면에서 오직 BR만이 강하고 BZ은 약하거나 또는 없을 수도 있는 첨단형 자기장(도 55B). 이러한 첨단형 자기장은 하부 전자석(401)과 내부 또는 외부 전자석(60, 65) 중 하나로부터 동일한 크기의 반대 방향 자기장들을 생성함으로써 생성될 수 있다. 도 56B는 첨단형 자기장을 생성하기 위하여 하부 전자석(65)과 외부 전자석(65)에 반대 방향 전류들이 인가되는 예를 도시한다. 이러한 예에서, 각각의 자석(65, 401)의 코일 권선(들)은 동일하게(시계방향으로 또는 반시계방향으로) 감겨 있다고 가정된다. 그러나, 바람직한 실시예에서, 권선들은 반대 방향으로 감길 수 있으며, 이 경우 인가된 전류들의 극성들은 도 56에서 도시된 것으로부터 적절하게 수정될 수 있다.
(3) 하부 전자석(401)과 내부 또는 외부 전자석(60, 65) 중 하나에서 동일 크기의 동일 방향 자기장들을 생성함으로써 생성될 수 있는 미러 자기장(도 55C). 도 56C는 미러 자기장을 생성하기 위해서 하부 및 외부 전자석들(401, 65)에 동일한 전류들이 인가되는 예를 도시한다.
도 57A, 57B 및 도 58A, 58B는, 도 53A의 반응기 내의 웨이퍼(20) 평면에서 측정되는 바와 같이, 솔레노이드 자기장의 방사 자기장 성분 Br(r) 및 축 자기장 성분 Bz(r)과 첨단 자기장 및 미러 자기장의 방사 자기장 성분 Br(r) 및 축 자기장 성분 Bz(r)를 비교한다. 도 57A는 솔레노이드 자기장과 첨단형 자기장의 방사 성분 Br(r)을 비교하며, 도 58B는 솔레노이드 자기장과 첨단형 자기장의 축 성분 Bz(r)을 비교한다. 도 58B는 솔레노이드 자기장과 미러 자기장의 방사 성분 Br(r)을 비교하며, 도 58B는 솔레노이드 자기장과 미러 자기장의 축 성분 Bz(r)을 비교한다. 솔레노이드 자기장과 첨단형 자기장의 방사 성분은 필요하다면 거의 동일하게 만들어질 수 있으며(도 57A), 첨단형 자기장의 축 성분은 거의(그러나 정확하게는 0이 아닌) 0이거나, 또는 솔레노이드 자기장의 축 성분에 비해 훨씬 작다(도 57B). 미러 자기장의 방사 성분은 필요하다면 거의 0으로, 또는 솔레노이드 자기장의 방사 성분에 비해 훨씬 작게 만들어질 수 있다(도 58A). 미러 자기장의 축 성분은 솔레노이드 자기장의 축 성분과 거의 동일하게 만들어질 수 있다(도 58B).
도 57A과 도 58B로부터, 방사 자기장이 웨이퍼 중심으로부터 웨이퍼 주변에서 최대 강도로 증가하기 때문에 방사 자기장이 중심에서 높은 플라즈마 이온 분포를 보상하는데 이상적인 것으로 나타남을 알 수 있다. 이는 도 59의 그래프에서 도시된 데이터에서 확인되며, 도 59에서 플라즈마 이온 포화 전류(수직축)로 지시된 플라즈마 이온 밀도는 웨이퍼 표면 상의 반경(수평축)의 함수로써 그려진다. "제로 전류"로 라벨링된 곡선은 제로 자기장에 상응하며 중심에서 높은 플라즈마 이온 분포에 대한 적어도 하나의 최적 배치(disposition)를 도시한다. 중심에서 높은 플라즈마 이온 분포에 대한 최상의 보상은 ("5A 솔레노이드" 및 "10A 솔레노이드"로 각각 라벨링된) 외부 전자석(65)에 인가된 5A 또는 10A에 의하여 생성된 2개의 솔레노이드 자기장이다. 이는 도 59의 그래프에 따르면 웨이퍼의 중심에서 웨이퍼의 에지로 최고로 증가하는 솔레노이드 자기장들이다.
도 60은 서로 다른 자기장들에 대해 200mm 실리콘 웨이퍼의 웨이퍼 표면 상의 방사상 위치의 함수로써 에칭비를 측정하여 획득된 데이터의 그래프이다. 미러 자기장은 에칭비 분포에 있어서 최상의 균일성 또는 낮은 편차(약 1.7% 부분 편차)를 생성하며, 여기서 부분 편차(fractional deviation)는 웨이퍼 전반에 걸친 평균 에칭비로 나눈 표준편차로 정의된다. 다음의 최상의 균일성은 약 2%의 부분 편차를 생성한 솔레노이드 자기장에서 얻어졌다. ("100% 첨단"으로 라벨링된) 첨단형 자기장은 7.9%의 부분 편차를 갖는 단지 세 번째였다. 그러나 웨이퍼 상의 (전하 축적, 방전 또는 로컬 고전류 또는 전압 조건들로 인한) 장치 손상의 측정은 반대 결과를 생성하였으며, 여기서 가장 균일한 경우(미러 자기장)는 가장 장치 손상이 많았으며, 다음으로 균일한 경우(솔레노이드 자기장)가 다음으로 장치 손상이 많았지만, 첨단형 자기장은 거의 손상이 없었다. 이러한 결과들은 도 61을 참조로 이하에서 논의될 것이다.
전술한 결과들은 방사 성분 Br(r)에 대한 자기장 축 성분 Bz(r)의 제어가 웨이퍼 상의 장치 손상 결과를 개선하는데 밀접하게 관련된다는 우리의 발견을 증명한다. 첨단형 자기장은 장치 손상이 매우 적거나 또는 제거된다. 그러나 반경과 함께 증가하는 도 57A과 도 58A에 도시된 방사 성분 Br(r)의 작용이 축 성분 Bz(r)보다 균일성을 달성하기 위한 더 나은 특성들을 제공한다는 것을 알 수 있다. 따라서, 다음의 방법이 수행되었다: 첨단형 자기장은 웨이퍼 에지에서의 방사 성분 Br이 양호한 결과들(즉, 웨이퍼 에지에서 22 가우스)를 생성하였던 도 60의 솔레노이드 자기장에서와 동일하도록 조절되었다. 그 다음에, 첨단 자기장의 크기는 균일한 결과들이 솔레노이드 자기장에서 획득된 이상적인 결과들에 근접하게 획득될때까지 (Bz를 최소화하거나 또는 제거하면서 Br(r)을 증가시켜서) 증가되었다. 이는 웨이퍼 에지에서의 Br가 22가우스에서 32 가우스로(또는 약 160%) 증가될 때까지 첨단형 자기장 크기를 증가시키는 것이 요구된다는 것을 발견하였다. 이는 도 60의 그래프에서 "첨단 160%"로 라벨링된 에칭비 분포 곡선을 생성하였다. 이 지점에서, 에칭비에 있어서 부분 편차는 2.4%로 감소되었다. 첨단형 자기장의 강도를 증가시킴에도 불구하고 첨단형 자기장은 장치의 손상을 계속해서 감소시키거나 또는 제거하였다.
전술한 내용은 도 61의 테이블에 요약된다. 좌측 열은 자기장 형태를 기술하며 상기 자기장에 대한 웨이퍼 중심에서의 Bz와 웨이퍼 에지에서의 Br를 가우스로 나열한다. 중앙 열은 에칭비의 해당하는 부분 편차(불균일성)를 나열하며, 우측 열은 장치 손상에 대한 평가(양호한지 또는 불량한지)를 제공하며 유도된 장치 전류(mA) 및 전압(V)을 나열한다. 도 61의 테이블은 양호한 균일성과 불량한 장치 손상 결과가 솔레노이드 자기장과 미러 자기장에서 획득되고, 불량한 균일성과 양호한 장치 손상 결과가 솔레노이드 자기장의 Br과 매칭하는 웨이퍼 에지에서의 Br를 갖는 첨단형 자기장에서 획득된다는 것을 나타낸다. 테이블의 마지막 행은 양호한 균일성 및 양호한 장치 손상 결과가 첨단형 자기장(다른 자기장들이 가상으로 존재하지 않는 경우)이 이전 레벨에 비해 160%로 증가되었을 때 획득된다는 것을 나타낸다.
전술한 방법은 도 62에 도시된 방법으로 용이하게 된다. 제 1단계(도 62의 블록(415))는 에칭비 방사 분포 불균일성이 최소화되는 솔레노이드 자기장 강도를 찾는 것이다. 이는 웨이퍼 에지에서 22 가우스의 방사 성분 강도를 갖는 도 60의 솔레노이드 자기장에 해당한다. 정확한 값은 특정 프로세스에 따라 변할 수 있다. 선택된 반경(예컨대, 웨이퍼 에지)에서 방사 성분 값을 기록한다(블록 417). 그 다음에, 블록(417)의 단계에서 기록된 것과 같이 선택된 반경에서 동일한 방사 성분 자기장 강도를 갖도록 첨단형 자기장이 형성되며, 이때 다른 자기장들은 존재하지 않거나 무시할 수 있다(블록 419). 최종적으로, 첨단형 자기장 강도는 에칭비 방사 분포 불균일성이 최소화될 때까지 증가된다(블록 421). 이 단계는 22가우스에서 32가우스로의 첨단 방사 성분의 증가에 해당하며, 이러한 값들은 수행되는 프로세스에 따라 변할 수도 있다.
도 62의 방법에서 첨단형 자기장은 외부 전자석(65)과 하부 전자석(401)를 사용하여 형성된다. 일단 적정 방사 성분 Br(r)이 형성되었으면, 도 62의 방법에 따라서, 추가 트리밍 또는 보상은 비교적 작은 전류를 내부 전자석(60)에 공급함으로써 달성될 수 있다. 내부 전자석 전류는 장치 손상 결과들을 개선하기 위하여(즉, 장치 손상을 감소시키기 위하여) 축 성분 Bz(r)를 제어 또는 감소시키거나 또는 균일성을 더욱 강화시키기 위해 선택될 수 있다. 이러한 방법은 도 63의 방법에서 구현되며, 여기서 제 1단계(블록 423)는 예컨대 도 62의 방법을 사용하여 적정 방사 성분 강도 Br(r)를 형성하는 것이다. 그 다음에, 내부 전자석(60)에 비교적 작은 전류를 공급함으로써 조건들이 (균일성을 개선시키거나 또는 Bz를 제거하기 위해) 최적화된다(도 63의 블록 425).
도 64에 도시된 이러한 프로세스의 수정에 있어서, 적정 자기장(예컨대, 이상적인 Br(r))은 내부 및 외부 자석들(60, 65)을 이용하여 형성되는 반면에, 하부 자석은 비활성화된다(도 64의 블록 431). 그 다음에, 자기장은 적정 결과들이 획득될 때까지 내부 전자석(401)을 통해 흐르는 전류를 증가시킴으로써 도 64의 블록(433)에서 (예컨대 필요한 경우에 Br를 증가시키기 위해) 트리밍 된다. 일부 실시예들에서, 이는 플라즈마 이온 밀도 분포의 개선된 균일성이 장치 손상의 허용할 수 없는 증가를 초래하지 않고 내부 자석(60)으로부터 매우 작은 축 자기장 Bz를 추가함으로써 획득된다는 것이다.
도 64의 프로세스를 수행할 때, 내부 및 외부 전자석들(60, 65)에 대한 D.C. 전류값들의 적정 세트는 에칭비 분포의 불균일성을 최소화시키기 위해서 발견될 수 있다. 이는 내부 및 외부 전자석들(60, 65) 중 하나에 흐르는 전류의 각각의 값에 대해 획득된 에칭비 방사 분포를 측정함으로써 달성될 수 있으며, 이때 다른 하나는 제로 전류를 갖는다. 예컨대, 도 65는 선택된 범위(0 암페어 내지 25 암페어)에서 내부 자석(60)에 공급된 D.C. 전류의 서로 다른 값들에 대한 에칭비 방사 분포를 나타내는 곡선들을 포함한 그래프이다. 도 66는 선택된 범위(0 암페어 내지 25 암페어)에서 외부 자석(65)에 공급된 D.C. 전류의 서로 다른 값들에 대한 에칭비 방사 분포 데이터를 나타내는 곡선들을 포함한 그래프이다. 도 65와 도 66으로부터 에칭비 분포 곡선들의 서로 다른 쌍들은, 많은 또는 모든 가능한 쌍들이 나란하게 놓이고, 해당하는 에칭비 방사 분포 E(r)Ii,Io가 중첩에 의해 획득될 때까지, 내부 및 외부 자석 전류값 Ii, Io의 주어진 쌍에 대해 결과적인 에칭비 분포를 시뮬레이트하기 위해서 중첩될 수 있다. 그 다음에, 각각의 에칭비 분포는 해당하는 불균일성(예컨대, 부분 편차 D, 이는 본원에서 이미 정의됨)을 계산하기 위해 처리된다. 이는 도 67에서 도시된 단일 표면으로 표현될 수 있는 편차들 D(Ii, Io)의 세트를 생성한다. 이러한 표면 또는 함수는 부분 편차 D(도 67의 수직 축)를 최소화하는 Ii, Io의 값 또는 값의 세트를 찾는 종래 기술들을 사용하여 검사될 수 있다. 이들은 내부 및 외부 자석 전류들에 대한 제어기(90)에 의해 선택된다.
전술한 방법은 도 68에 도시된 방법으로 구현된다. 우선, 하부 자석 전류는 0으로 세팅된다(블록 435). 서로 다른 내부 자석 전류들에 대한 에칭비 방사 분포가 분포들 E(r)Ii의 세트를 얻기 위해서 측정되고(블록 437), 서로 다른 외부 자석 전류들에 대한 에칭비 방사 분포가 분포들 E(r)Io의 세트를 얻기 위해 측정된다(블록 439). 2개의 분포로 이루어진 해당하는 쌍들이 서로 다른 에칭비 분포들 E(r)Ii,Io를 형성하기 위해 중첩되고(블록 441), 이로부터 해당하는 편차들 D(Ii, Io)이 계산된다(블록 443). 편차들 D(Ii, Io)의 세트는 표면(도 67)으로 표현되며, 최소 부분 편차들 D를 산출하는 (Ii, Io) 값들의 세트를 찾기 위해서 상기 표면이 탐색된다(블록 445).
도 67의 3차원 표면 D(Ii, Io)의 검사는 D(수직 축)가 최소가 되는 연속적인 최적 쌍들(Ii, Io)의 시리즈들 또는 리스트에 해당하는 연장 스로우(elongate trough)(점선으로 표시됨)를 나타낸다. 이 스로우는 종래 탐색에 의해 발견될 수 있다. 제 3 자석(즉, 하부 자석(401))의 사용을 최적화하기 위해서, 최적쌍 (Ii, Io)의 각각은 미리 결정된 범위 내의 하부 자석 전류 Ib의 연속적인 값들과 결합될 수 있으며, 3개의 전류들(Ii, Io, Ib)의 각각의 조합은 반응기에 인가되고, 에칭비 편차가 측정된다. 이 마지막 동작은 도 68의 블록(447) 단계이다. 결과들은 편차 값들 D(Ii, Io, Ib)의 세트를 생성하기 위해서 보간될 수 있다(블록 449). 이들 값들의 세트는 4차원 표면으로 표현될 수 있으며, 이를 통해 종래의 기술들을 사용하여 D를 최소화하는 값들(Ii, Io, Ib)의 세트를 탐색한다(블록 451). 이러한 최소화는 2개의 자석만을 사용한 블록(445) 단계에서 획득된 최소화에 대해 개선을 제공할 수 있다. (Ii, Io, Ib)의 최종 최적 값 또는 값들은 최적 프로세스 균일성을 위해서 제조 웨이퍼의 처리 동안 각각의 전자석들(60, 65, 401)에 인가된다.
도 68의 프로세스는 다음과 같이 요약될 수 있다: 우선, 3개의 자석들 중 한 쌍만, 예컨대 내부 및 외부 자석들(60, 65)이 특성화된다(characterized). 그 다음에, 이러한 자석 쌍은 단일 엔티티로서 취급되며, 3번째의 자석, 예컨대 하부 자석(401)과 함께 특성화되어, 3개의 자석의 동시 사용이 최적화된다. 그러나, 3개의 자석이 특성화되는 3가지 가능한 순서가 존재한다. 제 1 순서는 도 68에 기술된 예이다. 제 2 순서에서, 특성화된 자석들의 초기 쌍은 외부 자석(65)과 하부 자석(401)이며, 3번째 자석은 내부 자석(60)이다. 제 3 순서에서, 특성화된 자석들의 초기 쌍은 내부 자석(60)과 하부 자석(410)이며, 3번째 자석은 외부 자석(65)이다.
도 69는 초기 자석쌍이 외부 자석(65)과 하부 자석(401)이며 3번째 자석이 내부 자석(60)인 프로세스의 제 2 버전을 도시한다. 도 69의 제 1 단계에서, 내부 자석 전류는 0으로 세팅된다(블록 435-1). 분포들 E(r)Ib의 세트를 얻기 위해 서로 다른 하부 자석 전류들에 대한 에칭비 방사 분포가 측정되며(블록 437-1) 분포들 E(r)Io의 세트를 얻기 위해 서로 다른 외부 자석 전류들에 대한 에칭비 방사 분포가 측정된다(블록 439-1). 2개의 분포로 이루어진 해당하는 쌍들이 서로 다른 에칭비 분포들 E(r)Ib,Io 를 형성하기 위해 중첩되고(블록 441-1), 이로부터 해당하는 편차들 D(Ib, Io)이 계산된다(블록 443-1). 편차들 D(Ib, Io)의 세트는 표면(도 67의 표면과 유사함)으로 표현되며, 최소 편차 또는 최소 부분 편차들 D를 산출하는 최적 (Ib, Io) 값들의 세트를 찾기 위해서 상기 표면이 탐색된다(블록 445-1).
3번째 자석(즉, 하부 자석(401))의 사용을 최적화하기 위해서, 최적 쌍들(Ii, Io)의 각각은 미리 결정된 범위 내의 하부 자석 전류 Ib의 연속적인 값들과 결합될 수 있으며, 3개의 전류들(Ii, Io, Ib)의 각각의 조합은 반응기에 인가되고, 에칭비 편차가 측정된다. 이 마지막 동작은 도 69의 블록(447-1)의 단계이다. 결과들은 편차 값들 D(Ii, Io, Ib)의 세트를 생성하기 위해서 보간될 수 있다(블록 449-1). 이들 값들의 세트는 매트릭스(또는 4차원 표면)으로 표현될 수 있으며, 이를 통해 종래 기술들을 사용하여 편차를 최소화하거나 또는 부분 편차 D를 최소화하는 값들(Ii, Io, Ib)의 세트를 탐색한다(블록 451). 3개의 자석(60, 65, 401)에 인가된 D.C. 전류들은 이러한 최종 세트의 값들에 따라 설정된다.
도 70은 3개의 자석들(60, 65, 401)을 사용하여 균일한 플라즈마 또는 에칭비 분포를 달성하기 위한 다른 방법을 도시한 흐름도이다. 우선, 전자석들(60, 65, 401)에 전류가 공급되지 않는 동안, 공치(비보상) 에칭비 분포 ER(r)가 측정된다(블록 461). 그 다음에, 내부 코일 전류들 Ii에 의해 야기된 에칭비 방사 분포의 변화, 즉ΔER(r, Ii)이 Ii의 서로 다른 많은 값들에 대해 측정된다(블록 463). 외부 코일 전류들 Io에 의해 야기된 에칭비 방사 분포의 변화, 즉 ΔER(r, Io)이 Io의 서로 다른 많은 값들에 대해 측정된다(블록 465). 하부 코일 전류들 Ib에 의해 야기된 에칭비 방사 분포의 변화, 즉 ΔER(r, Ib)는 Ib의 서로 다른 많은 값들에 대해 측정된다(블록 467). Ii, Io, 및 Ib의 서로 다른 값들의 각각의 조합에 대하여, 에칭비 분포는 다음과 같이 계산된다:
ER=(r, Ii, Io, Ib) = ER(r) + ΔER(r, Ii) + ΔER(r, Io) + ΔER(r, Ib).
이들 분포들 각각에 대한 불균일성 또는 편차 또는 부분 편차 D(Ii, Io, Ib)가 계산된다(블록 471). 매트릭스 D(Ii, Io, Ib)는 평활 함수(smooth function)를 제공하기 위하여 보간될 수 있으며, 그 후 D가 최소화되는 값들 (Ii, Io, Ib)의 세트 또는 세트들이 평활 함수에서 탐색된다(블록 473). 따라서, 발견된 최적 세트의 D.C. 전류(Ii, Io, Ib)들이 이들 자석(60, 65, 401)에 인가된다(블록 475).
도 71A 내지 71E는 단일한 에칭비 분포들 ER(r, Ii, Io, Ib)를 계산하기 위한 지침 예를 그래프로 도시한다. 공칭 에칭비 분포 ER(r)는 도 71A의 그래프로 도시된다. 내부 전자석(60)에 5A의 D.C. 전류를 인가하여 야기된 공칭 분포로부터의 변화 ΔER(r, Ii)가 도 71B에 도시된다. 외부 전자석(65)에 1A의 D.C. 전류를 인가하여 야기된 공칭 분포로부터의 변화 ΔER(r, Io)가 도 71C에 도시된다. 하부 전자석에 2A의 D.C. 전류를 이가하여 야기된 공칭 분포로부터의 변화 ΔER(r, Ib)가 도 71D에 도시된다. 도 71A 내지 71D의 에칭비 분포들의 합은 도 71E에 도시되며, 이는 에칭비 분포 ER(r, Ii=5, Io=1, Ib=2)이다.
3개의 자석의 최적 전류들 (Ii, Io, Ib)을 결정하기 위한 다른 방법은 (Ii, Io, Ib)의 서로 다른 많은 조합들에 대한 에칭비 분포들 ER(r, Ii, Io, Ib)를 직접 측정하는 것이다. 이 방법은 다수의 측정을 필요로 하며 도 70의 블록(461 내지 469) 단계들을 대체한다. 일단 충분한 수의 서로 다른 ER(r, Ii, Io, Ib)이 측정되면, 도 70의 블록(471, 473, 475) 단계들이 수행된다.
전술한 프로세스에서, 균일성은 반응기에서 에칭되는 웨이퍼 전반에 걸친 에칭비의 방사 분포를 참조로 정의되었다. 그러나 보다 구체적으로, 처리 균일성은 에칭 공정 또는 증착 공정를 포함한 임의의 처리 동안 웨이퍼 표면 전반에 걸친 플라즈마 이온 밀도의 방사 분포에 대한 균일성으로 정의될 수 있다. 에칭 반응기에서, 플라즈마 이온 밀도 분포는 반응기에서 수행되는 플라즈마 강화 이온 에칭 처리에서 처리되는 웨이퍼 상에서 측정되는 에칭비 방사 분포로부터 추론된다.
반응기가 바람직한 실시예들을 참조로 상세히 설명되었지만, 이들의 변형들 및 수정들이 본 반응기의 진정한 사상 및 범위를 벗어나지 않고 수행될 수 있다는 것이 이해되어야 할 것이다.
본 발명은 플라즈마 이온 밀도 분포 불균일성들을 더 효율적으로 보상하고 자기장의 시간변동이 미세하게 일어나거나 또는 일어나지 않는 방법을 제공할 수 있는 효과를 가진다.

Claims (31)

  1. 워크피스를 처리하기 위한 플라즈마 반응기로서,
    측벽 및 천장을 포함하는 진공 챔버;
    상기 챔버 내에 워크피스 지지면을 구비하고, 상기 천장과 대면하며, 캐소드 전극을 포함하는 워크피스 지지 페데스탈;
    상기 캐소드 전극에 결합된 RF 전력 생성기;
    상기 워크피스 지지면 위의 제 1 평면에 위치하는 외부 환상 내부 전자석;
    상기 워크피스 지지면 위의 제 2 평면에 위치하고, 상기 내부 전자석보다 큰 직경을 갖는 외부 환상 외부 전자석;
    상기 워크피스 지지면 아래의 제 3 평면에 위치하는 외부 환상 하부 전자석; 및
    상기 내부, 외부 및 하부 전자석들 각각에 연결된 내부, 외부 및 하부 D.C. 전류 공급원들
    을 포함하는, 플라즈마 반응기.
  2. 제1항에 있어서, 상기 워크피스 지지 페데스탈과 상기 내부, 외부 및 하부 자석들은 동축인, 플라즈마 반응기.
  3. 제2항에 있어서, 상기 제 1 평면은 상기 제 2 평면 위에 위치하고, 상기 제 1 및 제 2 평면들은 상기 제 3 평면 위에 위치하는, 플라즈마 반응기.
  4. 제3항에 있어서, 상기 제 1, 제 2 및 제 3 평면들은 상기 워크피스 지지면과 평행한, 플라즈마 반응기.
  5. 제1항에 있어서, 상기 내부, 외부 및 하부 D.C. 전류 공급원들로부터의 D.C. 전류들을 제어하는 프로세서를 더 포함하는, 플라즈마 반응기.
  6. 제5항에 있어서, 상기 프로세서는
    (a) 상기 D.C. 전류들에 의해 상기 내부 및 외부 전자석들 중 하나, 및 상기 하부 전자석이 상기 워크피스 지지면에서 동일 크기, 반대 방향 자기장들을 생성하는 첨단 모드,
    (b) 상기 D.C. 전류들에 의해 상기 내부 및 외부 전자석들 중 하나, 및 상기 하부 전자석이 상기 워크피스 지지면에서 동일 크기, 동일 방향 자기장들을 생성하는 미러 모드, 및
    (c) 상기 D.C. 전류에 의해 상기 전자석들 중 하나가 상기 워크피스 지지면에서 방사 자기장과 축 자기장 모두를 생성하는 솔레노이드 모드
    를 포함하는 3가지 모드에서 동작가능한, 플라즈마 반응기.
  7. 제6항에 있어서, 상기 프로세서는 동시에 상기 3가지 모드 중 단지 한 모드에서 동작가능한, 플라즈마 반응기.
  8. 제6항에 있어서, 상기 프로세서는 상기 3가지 모드 중 선택된 모드에서 동작가능한, 플라즈마 반응기.
  9. 제5항에 있어서, 상기 프로세서는
    (a) 상기 내부 및 외부 전자석들 중 하나 및 상기 하부 전자석이 방사 D.C. 자기장을 생성하는 첨단 모드,
    (b) 상기 내부 및 외부 전자석들 중 하나 및 상기 하부 전자석이 축 자기장을 생성하는 미러 모드, 및
    (c) 상기 전자석들 중 하나가 축 자기장 및 방사 자기장을 생성하는 솔레노이드 모드
    를 포함하는 3가지 모드에서 동작가능한, 플라즈마 반응기.
  10. 제9항에 있어서, 상기 프로세서는 상기 3가지 모드 중 선택된 모드에서 동작가능한 플라즈마 반응기.
  11. 제9항에 있어서, 상기 프로세서는 동시에 상기 3가지 모드에서 동작가능한 플라즈마 반응기.
  12. 워크피스 지지면 위의 제 1 평면에 위치한 외부 환상 내부 전자석, 상기 워크피스 지지면 위의 제 2 평면에 위치하고 상기 내부 전자석보다 큰 직경을 가진 외부 환상 외부 전자석, 및 상기 워크피스 지지면 아래의 제 3 평면에 위치하는 외부 환상 하부 전자석을 구비한 플라즈마 반응기에서, 플라즈마 이온 밀도 분포의 균일성을 개선하기 위한 방법으로서,
    상기 내부 및 외부 전자석들 중 하나 및 상기 하부 전자석으로부터, 상기 워크피스 지지면의 중심에서의 플라즈마 이온 밀도에 대해 상기 워크피스 지지면의 주변부에서의 플라즈마 이온 밀도를 증가시킬 수 있는 자기장 강도를 갖는 방사 자기장을 상기 워크피스 지지면에 생성시키는 단계를 포함하는, 플라즈마 이온 밀도 분포 균일성 개선 방법.
  13. 제12항에 있어서, 상기 내부 및 외부 전자석들 중 다른 전자석을 이용하여 추가 자기장 성분을 생성함으로써 상기 주변에서의 플라즈마 이온 밀도를 추가로 증가시키는 단계를 더 포함하는, 플라즈마 이온 밀도 분포 균일성 개선 방법.
  14. 제13항에 있어서, 상기 추가 자기장 성분은 상기 워크피스 지지면에서의 축 자기장을 포함하는, 플라즈마 이온 밀도 분포 균일성 개선 방법.
  15. 제14항에 있어서, 상기 축 자기장은 상기 워크피스 지지면에서의 상기 방사 자기장보다 낮은 자기장 강도를 가지는, 플라즈마 이온 밀도 분포 균일성 개선 방법.
  16. 제12항에 있어서, 생산 워크피스를 처리하기 전에, 플라즈마 이온 밀도 방사 분포의 적정 균일성을 생성하는 솔레노이드 자기장을 찾는 단계, 및 상기 솔레노이드 자기장의 방사 성분을 결정하는 단계를 더 포함하며;
    상기 방사 자기장 생성 단계는, 플라즈마 이온 밀도 방사 분포 균일성이 상기 솔레노이드 자기장에 의해 생성된 상기 적정 균일성에 도달할 때까지, 상기 솔레노이드 자기장의 방사 성분 강도 이상으로 상기 방사 자기장을 증가시키는 단계를 포함하는, 플라즈마 이온 밀도 분포 균일성 개선 방법.
  17. 워크피스 지지면 위의 제 1 평면에 위치한 외부 환상 내부 전자석, 상기 워크피스 지지면 위의 제 2 평면에 위치하고 상기 내부 전자석보다 큰 직경을 가진 외부 환상 외부 전자석, 및 상기 워크피스 지지면 아래의 제 3 평면에 위치하는 외부 환상 하부 전자석을 구비한 플라즈마 반응기에서, 플라즈마 이온 밀도 분포를 제어하기 위한 방법으로서,
    상기 내부 및 외부 전자석들 중 하나 및 상기 하부 전자석으로부터, 상기 워크피스 지지면의 중심에서의 플라즈마 이온 밀도에 대해 상기 워크피스 지지면의 주변부에서의 플라즈마 이온 밀도를 증가시킬 수 있는 자기장 강도를 갖는 방사 자기장을 상기 워크피스 지지면에 생성시키는 단계; 및
    상기 내부 및 외부 전자석들 중 다른 전자석으로부터, 상기 플라즈마 이온 밀도의 더 균일한 방사 분포를 달성하기 위해 최소 강도를 갖는 상기 워크피스 지지면에서의 축 자기장을 생성하는 단계를 포함하는, 플라즈마 이온 밀도 분포 제어 방법.
  18. 제17항에 있어서, 상기 플라즈마 이온 밀도는 상기 워크피스 지지면에서 처리되는 제조 웨이퍼들의 에칭비 방사 분포로부터 결정되는, 플라즈마 이온 밀도 분포 제어 방법.
  19. 워크피스 지지면 위의 제 1 평면에 위치한 외부 환상 내부 전자석, 상기 워크피스 지지면 위의 제 2 평면에 위치하고 상기 내부 전자석보다 큰 직경을 가진 외부 환상 외부 전자석, 및 상기 워크피스 지지면 아래의 제 3 평면에 위치하는 외부 환상 하부 전자석을 구비한 플라즈마 반응기에서, 플라즈마 이온 밀도 분포를 제어하기 위한 방법으로서,
    플라즈마 이온 밀도 분포 불균일성을 최소화시키는, 상기 내부, 외부 및 하부 자석들 중 쌍에 인가되는 D.C. 전류 쌍들의 세트를 찾는 단계;
    상기 세트의 상기 D.C. 전류 쌍들 각각에 대하여, 플라즈마 이온 밀도 분포 불균일성을 최소화시키는, 상기 내부, 외부 및 하부 전자석들 중 다른 하나에 인가되는 D.C. 전류를 찾는 단계 - 상기 D.C. 전류를 찾는 단계에 의해 상기 내부, 외부 및 하부 자석들에 상응하는 D.C. 전류 삼중쌍(triplet)들의 세트가 생성됨 - ; 및
    상기 내부, 외부 및 하부 자석들에 상기 D.C. 전류 삼중쌍들 중 하나를 인가하는 단계를 포함하는, 플라즈마 이온 밀도 분포 제어 방법.
  20. 제19항에 있어서, 상기 내부, 외부 및 하부 전자석들 중 상기 쌍은 상기 내부 및 외부 전자석들 중 하나 및 상기 하부 전자석을 포함하며;
    상기 쌍의 전자석들은 상기 워크피스 지지면에서 방사 자기장을 형성하며, 상기 다른 자석은 축 자기장을 감소시키는, 플라즈마 이온 밀도 분포 제어 방법.
  21. 제20항에 있어서, 상기 내부, 외부 및 하부 전자석들 중 상기 쌍은 상기 하부 전자석 및 상기 외부 전자석을 포함하며, 상기 다른 전자석은 상기 내부 전자석을 포함하는, 플라즈마 이온 밀도 분포 제어 방법.
  22. 제19항에 있어서, 상기 플라즈마 이온 밀도 분포는 상기 워크피스 지지면에서 처리되는 반도체 웨이퍼들 상에서 측정된 에칭비 방사 분포로부터 추론되는, 플라즈마 이온 밀도 분포 제어 방법.
  23. 워크피스 지지면 위의 제 1 평면에 위치한 외부 환상 내부 전자석, 상기 워크피스 지지면 위의 제 2 평면에 위치하고 상기 내부 전자석보다 큰 직경을 가진 외부 환상 외부 전자석, 및 상기 워크피스 지지면 아래의 제 3 평면에 위치하는 외부 환상 하부 전자석을 구비한 플라즈마 반응기에서, 플라즈마 이온 밀도 분포를 제어하기 위한 방법으로서,
    상기 워크피스 지지면에서의 비보상 플라즈마 이온 밀도 분포를 결정하는 단계;
    상기 내부, 외부 및 하부 전자석들의 각각의 개별 전자석들에 단독으로 인가되는 D.C. 전류의 함수들로서 플라즈마 이온 밀도 분포의 변화를 결정하는 단계;
    다수의 시험 플라즈마 이온 밀도 분포를 얻기 위해, 상기 내부, 외부 및 하부 전자석들에 인가된 D.C. 전류들의 조합들에 대해 상기 비보상 플라즈마 분포 상에 상기 함수들을 중첩하는 단계;
    플라즈마 이온 밀도 분포의 가장 높은 균일성을 갖는 적어도 하나의 조합을 찾기 위해 상기 시험 플라즈마 이온 밀도 분포들을 탐색하고, 상응하는 전류들의 최적 세트를 결정하는 단계; 및
    상기 내부, 외부 및 하부 전자석들의 각각의 전자석들에 상기 최적 세트의 전류들을 공급하는 단계
    를 포함하는, 플라즈마 이온 밀도 분포를 제어하기 위한 방법.
  24. 제23항에 있어서, 상기 플라즈마 이온 밀도 분포들 결정 단계는 상기 워크피스 지지면에서 처리된 반도체 웨이퍼들 상에서 측정된 에칭비 분포들로부터 상기 플라즈마 이온 밀도 분포들을 추론하는 단계를 포함하는, 플라즈마 이온 밀도 분포를 제어하기 위한 방법.
  25. 반응기 챔버 내의 워크피스 지지면 상의 워크피스를 처리하기 위한 플라즈마 반응기로서,
    상기 워크피스 지지면 위의 제 1 평면에 위치하는 외부 환상 내부 전자석;
    상기 워크피스 지지면 위의 제 2 평면에 위치하고, 상기 내부 전자석보다 큰 직경을 갖는 외부 환상 외부 전자석;
    상기 워크피스 지지면 아래의 제 3 평면에 위치하는 외부 환상 하부 전자석;
    상기 내부, 외부 및 하부 전자석들 각각에 인가되는 D.C. 전류들을 제어하는 프로세서; 및
    상기 프로세서에 의하여 액세스 가능한 메모리 - 상기 메모리는 상기 내부, 외부 및 하부 전자석들 각각에 대한 D.C. 전류들의 값을 저장함 -
    를 포함하며, 상기 전류들은,
    플라즈마 이온 밀도 분포 불균일성을 최소화시키는, 상기 내부, 외부 및 하부 자석들 중 쌍에 인가되는 D.C. 전류 쌍들의 세트를 찾는 단계;
    상기 세트의 상기 D.C. 전류 쌍들 각각에 대하여, 플라즈마 이온 밀도 분포 불균일성을 최소화시키는, 상기 내부, 외부 및 하부 전자석들 중 다른 하나에 인가되는 D.C. 전류를 찾는 단계 - 상기 D.C. 전류를 찾는 단계에 의해 상기 내부, 외부 및 하부 자석들에 상응하는 D.C. 전류 삼중쌍(triplet)들의 세트가 생성됨 -
    를 포함하는 프로세스에 의해 결정되는, 플라즈마 반응기.
  26. 제25항에 있어서, 상기 플라즈마 이온 밀도 분포는 상기 워크피스 지지면에서 처리된 웨이퍼들 상에서 측정된 에칭비 분포로부터 추론되는, 플라즈마 반응기.
  27. 반응기 챔버 내의 워크피스 지지면 상의 워크피스를 처리하기 위한 플라즈마 반응기로서,
    상기 워크피스 지지면 위의 제 1 평면에 위치하는 외부 환상 내부 전자석;
    상기 워크피스 지지면 위의 제 2 평면에 위치하고, 상기 내부 전자석보다 큰 직경을 갖는 외부 환상 외부 전자석;
    상기 워크피스 지지면 아래의 제 3 평면에 위치하는 외부 환상 하부 전자석;
    상기 내부, 외부 및 하부 전자석들 각각에 인가되는 D.C. 전류들을 제어하는 프로세서; 및
    상기 프로세서에 의하여 액세스 가능한 메모리 - 상기 메모리는 상기 내부, 외부 및 하부 전자석들 각각에 대한 D.C. 전류들의 값을 저장함 -
    를 포함하며, 상기 전류들은,
    상기 워크피스 지지면에서의 비보상 플라즈마 이온 밀도 분포를 결정하는 단계;
    상기 내부, 외부 및 하부 전자석들의 각각의 개별 전자석들에 단독으로 인가되는 D.C. 전류의 함수들로서 플라즈마 이온 밀도 분포의 변화를 결정하는 단계;
    다수의 시험 플라즈마 이온 밀도 분포를 얻기 위해, 상기 내부, 외부 및 하부 전자석들에 인가된 D.C. 전류들의 조합들에 대해 상기 비보상 플라즈마 분포 상에 상기 함수들을 중첩하는 단계;
    플라즈마 이온 밀도 분포의 가장 높은 균일성을 갖는 적어도 하나의 조합을 찾기 위해 상기 시험 플라즈마 이온 밀도 분포들을 탐색하고, 상응하는 전류들의 최적 세트를 결정하는 단계
    를 포함하는 프로세스에 의하여 결정되는, 플라즈마 반응기.
  28. 제27항에 있어서, 상기 플라즈마 이온 밀도 분포는 상기 워크피스 지지면에서 처리된 웨이퍼들 상에서 측정된 에칭비 분포로부터 추론되는, 플라즈마 반응기.
  29. 제1항에 있어서, 상기 천장은 용량성 결합 오버헤드 전극을 포함하며, 상기 반응기는,
    VHF 플라즈마 소스 전력 생성기, 및
    상기 VHF 플라즈마 소스 전력 생성기를 상기 오버헤드 전극에 결합시키는 고정 튜닝 엘리먼트
    를 포함하며, 상기 오버헤드 전극은 상기 VHF 플라즈마 소스 전력 생성기의 주파수에서 공진 주파수를 갖는, 상기 챔버 내의 플라즈마와 공진을 형성하는, 플라즈마 반응기.
  30. 제29항에 있어서, 상기 고정 튜닝 엘리먼트는 상기 공진 주파수에서 스터브 공진 주파수를 갖는 동축 튜닝 스터브를 포함하는, 플라즈마 반응기.
  31. 제19항에 있어서, 상기 내부, 외부 및 하부 전자석들 중 상기 쌍은 상기 내부 및 외부 전자석들 중 하나 및 상기 하부 전자석을 포함하며;
    상기 쌍의 전자석들은 상기 워크피스 지지면에서 방사 자기장을 형성하며, 상기 다른 자석은 상기 방사 자기장의 강도보다 작은 강도를 갖는 축 자기장을 생성하는, 플라즈마 이온 밀도 분포 제어 방법.
KR1020060008686A 2005-01-28 2006-01-27 플라즈마 균일성 및 장치 손상 감소를 위해 첨단, 솔레노이드 및 미러 자기장들을 위한 최소 d.c. 코일들을 구비한 플라즈마 반응기 KR100853577B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/046,656 US8617351B2 (en) 2002-07-09 2005-01-28 Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US11/046,656 2005-01-28

Publications (2)

Publication Number Publication Date
KR20060087451A KR20060087451A (ko) 2006-08-02
KR100853577B1 true KR100853577B1 (ko) 2008-08-21

Family

ID=36282827

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060008686A KR100853577B1 (ko) 2005-01-28 2006-01-27 플라즈마 균일성 및 장치 손상 감소를 위해 첨단, 솔레노이드 및 미러 자기장들을 위한 최소 d.c. 코일들을 구비한 플라즈마 반응기

Country Status (6)

Country Link
US (1) US8617351B2 (ko)
EP (1) EP1686612A1 (ko)
JP (1) JP4769586B2 (ko)
KR (1) KR100853577B1 (ko)
CN (1) CN1812683B (ko)
TW (1) TWI388243B (ko)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
JP4009087B2 (ja) * 2001-07-06 2007-11-14 アプライド マテリアルズ インコーポレイテッド 半導体製造装置における磁気発生装置、半導体製造装置および磁場強度制御方法
US7374636B2 (en) * 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7458335B1 (en) 2002-10-10 2008-12-02 Applied Materials, Inc. Uniform magnetically enhanced reactive ion etching using nested electromagnetic coils
US8048328B2 (en) * 2003-02-14 2011-11-01 Applied Materials, Inc. Method for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US7883633B2 (en) * 2003-02-14 2011-02-08 Applied Materials, Inc. Method for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US7422654B2 (en) * 2003-02-14 2008-09-09 Applied Materials, Inc. Method and apparatus for shaping a magnetic field in a magnetic field-enhanced plasma reactor
CN100362619C (zh) 2005-08-05 2008-01-16 中微半导体设备(上海)有限公司 真空反应室的射频匹配耦合网络及其配置方法
US7432210B2 (en) * 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
US20080110567A1 (en) * 2006-11-15 2008-05-15 Miller Matthew L Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
KR100978754B1 (ko) * 2008-04-03 2010-08-30 주식회사 테스 플라즈마 처리 장치
KR100823302B1 (ko) * 2006-12-08 2008-04-17 주식회사 테스 플라즈마 처리 장치
US20080277064A1 (en) * 2006-12-08 2008-11-13 Tes Co., Ltd. Plasma processing apparatus
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
US8123902B2 (en) * 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
KR100941070B1 (ko) * 2007-05-10 2010-02-09 세메스 주식회사 플라즈마를 이용하여 기판을 처리하는 장치
KR101115273B1 (ko) * 2007-12-20 2012-03-05 가부시키가이샤 알박 플라즈마 소스 기구 및 성막 장치
KR101541576B1 (ko) 2009-02-04 2015-08-03 제너럴 퓨전 아이엔씨. 플라스마를 압축하기 위한 시스템 및 방법
JP2010232476A (ja) * 2009-03-27 2010-10-14 Tokyo Electron Ltd プラズマ処理装置
SG176566A1 (en) * 2009-06-30 2012-01-30 Lam Res Corp Methods for constructing an optimal endpoint algorithm
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
JP5723130B2 (ja) * 2010-09-28 2015-05-27 東京エレクトロン株式会社 プラズマ処理装置
TWI743509B (zh) 2011-05-05 2021-10-21 日商半導體能源研究所股份有限公司 半導體裝置及其製造方法
CN103163438A (zh) * 2011-12-12 2013-06-19 中国科学技术大学 一种微放电器性能测试装置及方法
US9111722B2 (en) * 2012-04-24 2015-08-18 Applied Materials, Inc. Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator
US9082591B2 (en) * 2012-04-24 2015-07-14 Applied Materials, Inc. Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator
WO2014036155A1 (en) * 2012-08-28 2014-03-06 Jh Quantum Tehcnology, Inc. Material processor with plasma generator
US9082589B2 (en) * 2012-10-09 2015-07-14 Novellus Systems, Inc. Hybrid impedance matching for inductively coupled plasma system
CN103972012A (zh) * 2013-01-25 2014-08-06 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室及具有它的等离子体设备
CN103151235B (zh) * 2013-02-20 2016-01-27 上海华力微电子有限公司 一种提高刻蚀均匀性的装置
US9328420B2 (en) * 2013-03-14 2016-05-03 Sunedison Semiconductor Limited (Uen201334164H) Gas distribution plate for chemical vapor deposition systems and methods of using same
KR102298032B1 (ko) * 2013-09-30 2021-09-02 어플라이드 머티어리얼스, 인코포레이티드 고 주파수 무선 주파수에 대한 전극 임피던스를 튜닝하고 저 주파수 무선 주파수를 접지로 종단하기 위한 장치 및 방법
US10410889B2 (en) 2014-07-25 2019-09-10 Applied Materials, Inc. Systems and methods for electrical and magnetic uniformity and skew tuning in plasma processing reactors
BR112017003327B1 (pt) * 2014-08-19 2021-01-19 General Fusion Inc. sistema e método para controlar campo magnético de plasma
US10249479B2 (en) * 2015-01-30 2019-04-02 Applied Materials, Inc. Magnet configurations for radial uniformity tuning of ICP plasmas
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
CN105161411B (zh) * 2015-07-09 2018-01-05 江苏德尔森传感器科技有限公司 可实现定位加工的传感器单晶硅刻蚀装置
US10553411B2 (en) * 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
KR102487342B1 (ko) 2016-06-14 2023-01-13 삼성전자주식회사 정전척 어셈블리 및 이를 구비하는 플라즈마 처리장치
US10811144B2 (en) 2017-11-06 2020-10-20 General Fusion Inc. System and method for plasma generation and compression
CN109994355B (zh) 2017-12-29 2021-11-02 中微半导体设备(上海)股份有限公司 一种具有低频射频功率分布调节功能的等离子反应器
JP2019145397A (ja) 2018-02-22 2019-08-29 東芝メモリ株式会社 半導体製造装置および半導体装置の製造方法
CN111613513A (zh) * 2020-07-07 2020-09-01 大连理工大学 一种等离子体刻蚀装置及方法
JP2022049494A (ja) * 2020-09-16 2022-03-29 キオクシア株式会社 半導体製造装置
US11515150B2 (en) * 2020-10-22 2022-11-29 Applied Materials, Inc. Hardmask tuning by electrode adjustment
US11955322B2 (en) * 2021-06-25 2024-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Device for adjusting position of chamber and plasma process chamber including the same for semiconductor manufacturing
JP7417569B2 (ja) * 2021-10-29 2024-01-18 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020060969A (ko) * 1999-11-15 2002-07-19 램 리서치 코포레이션 플라즈마 처리 시스템 및 그 방법
KR20040111674A (ko) * 2002-05-22 2004-12-31 어플라이드 머티어리얼즈 인코포레이티드 자기 플라즈마 제어되는 용량 결합형 플라즈마 반응기

Family Cites Families (240)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US76482A (en) * 1868-04-07 John j
US2967926A (en) * 1958-03-10 1961-01-10 Union Carbide Corp Testing process and apparatus
US2951960A (en) 1959-03-24 1960-09-06 Tung Sol Electric Inc Gaseous discharge device
FR1402020A (fr) 1964-04-27 1965-06-11 Csf Perfectionnements aux sources d'ions
US3555615A (en) * 1968-08-08 1971-01-19 Usm Corp Rotational moulding machines
US3610986A (en) 1970-05-01 1971-10-05 Union Carbide Corp Electron beam source including a pilot nonthermionic, electron source
US4293794A (en) 1980-04-01 1981-10-06 Kapetanakos Christos A Generation of intense, high-energy ion pulses by magnetic compression of ion rings
CA1159012A (en) 1980-05-02 1983-12-20 Seitaro Matsuo Plasma deposition apparatus
JPS5779621A (en) 1980-11-05 1982-05-18 Mitsubishi Electric Corp Plasma processing device
US4570106A (en) * 1982-02-18 1986-02-11 Elscint, Inc. Plasma electron source for cold-cathode discharge device or the like
US4458180A (en) * 1982-02-18 1984-07-03 Elscint Ltd. Plasma electron source for cold-cathode discharge device or the like
JPS59175125A (ja) * 1983-03-24 1984-10-03 Toshiba Corp ドライエツチング装置
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4665489A (en) 1984-03-15 1987-05-12 Kabushiki Kaisha Meidensha Unmanned vehicle control system and method
US4665487A (en) 1984-05-25 1987-05-12 Kabushiki Kaisha Meidensha Unmanned vehicle control system and method
US5120466A (en) * 1984-07-13 1992-06-09 Canon Kabushiki Kaisha Fluid crystal device
US4552639A (en) 1984-07-20 1985-11-12 Varian Associates, Inc. Magnetron sputter etching system
JPS6134177A (ja) * 1984-07-25 1986-02-18 Tokuda Seisakusho Ltd マグネツト駆動装置
KR910000508B1 (ko) * 1984-08-31 1991-01-26 니찌덴 아넬바 가부시끼가이샤 동적자계를 이용한 방전 반응장치
KR900005347B1 (ko) 1984-09-19 1990-07-27 가부시기가이샤 히다찌세이사꾸쇼 플라즈마 처리장치
US4668365A (en) * 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
US4668338A (en) * 1985-12-30 1987-05-26 Applied Materials, Inc. Magnetron-enhanced plasma etching process
US4859908A (en) 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
JPS6393881A (ja) 1986-10-08 1988-04-25 Anelva Corp プラズマ処理装置
US5215619A (en) * 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5006760A (en) * 1987-01-09 1991-04-09 Motorola, Inc. Capacitive feed for plasma reactor
DE3708716C2 (de) * 1987-03-18 1993-11-04 Hans Prof Dr Rer Nat Oechsner Hochfrequenz-ionenquelle
DE3810197A1 (de) 1987-03-27 1988-10-13 Mitsubishi Electric Corp Plasma-bearbeitungseinrichtung
US4973883A (en) 1987-05-01 1990-11-27 Semiconductor Energy Laborator Co., Ltd. Plasma processing apparatus with a lisitano coil
US4740268A (en) * 1987-05-04 1988-04-26 Motorola Inc. Magnetically enhanced plasma system
US4888518A (en) 1987-11-16 1989-12-19 Itt Corporation Gas circulation apparatus for ceramic electron tubes
DE68926923T2 (de) 1988-03-16 1996-12-19 Hitachi Ltd Mikrowellenionenquelle
US5115167A (en) * 1988-04-05 1992-05-19 Mitsubishi Denki Kabushiki Kaisha Plasma processor
DE68912400T2 (de) * 1988-05-23 1994-08-18 Nippon Telegraph & Telephone Plasmaätzvorrichtung.
JP2566648B2 (ja) * 1988-05-23 1996-12-25 日本電信電話株式会社 プラズマエッチング装置
US5055853A (en) 1988-10-03 1991-10-08 Garnier Robert C Magnetic frill generator
US5107170A (en) * 1988-10-18 1992-04-21 Nissin Electric Co., Ltd. Ion source having auxillary ion chamber
US5089083A (en) * 1989-04-25 1992-02-18 Tokyo Electron Limited Plasma etching method
US5225024A (en) * 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
JPH02298024A (ja) 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
DE3923661A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung fuer die anpassung der impedanz einer plasmastrecke an einen hochfrequenzgenerator
US5210466A (en) 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
US5300460A (en) * 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US5223457A (en) * 1989-10-03 1993-06-29 Applied Materials, Inc. High-frequency semiconductor wafer processing method using a negative self-bias
US5032202A (en) * 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing
US5081398A (en) * 1989-10-20 1992-01-14 Board Of Trustees Operating Michigan State University Resonant radio frequency wave coupler apparatus using higher modes
US5252194A (en) 1990-01-26 1993-10-12 Varian Associates, Inc. Rotating sputtering apparatus for selected erosion
JPH04901A (ja) 1990-04-18 1992-01-06 Mitsubishi Electric Corp プラズマ装置の高周波給電方法及び装置
KR930004713B1 (ko) * 1990-06-18 1993-06-03 삼성전자 주식회사 변조방식을 이용한 플라즈마 발생장치 및 방법
EP0463408A3 (en) * 1990-06-22 1992-07-08 Hauzer Techno Coating Europe Bv Plasma accelerator with closed electron drift
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5079481A (en) * 1990-08-02 1992-01-07 Texas Instruments Incorporated Plasma-assisted processing magneton with magnetic field adjustment
US5304279A (en) * 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5274306A (en) 1990-08-31 1993-12-28 Kaufman & Robinson, Inc. Capacitively coupled radiofrequency plasma source
JP2598336B2 (ja) * 1990-09-21 1997-04-09 株式会社日立製作所 プラズマ処理装置
US5376211A (en) 1990-09-29 1994-12-27 Tokyo Electron Limited Magnetron plasma processing apparatus and processing method
FR2667980A1 (fr) 1990-10-12 1992-04-17 Sodern Source d'electrons presentant un dispositif de retention de matieres.
US5208512A (en) * 1990-10-16 1993-05-04 International Business Machines Corporation Scanned electron cyclotron resonance plasma source
JP2501948B2 (ja) * 1990-10-26 1996-05-29 三菱電機株式会社 プラズマ処理方法及びプラズマ処理装置
US5246532A (en) 1990-10-26 1993-09-21 Mitsubishi Denki Kabushiki Kaisha Plasma processing apparatus
US5195045A (en) * 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
JPH06508235A (ja) * 1991-03-25 1994-09-14 コモンウエルス サイエンティフィック アンド インダストリアル リサーチ オーガニゼイション アークソース用大粒子フィルター
EP0585229B1 (en) * 1991-05-21 1995-09-06 Materials Research Corporation Cluster tool soft etch module and ecr plasma generator therefor
US5432315A (en) * 1991-05-31 1995-07-11 Hitachi, Ltd. Plasma process apparatus including ground electrode with protection film
DE4118973C2 (de) 1991-06-08 1999-02-04 Fraunhofer Ges Forschung Vorrichtung zur plasmaunterstützten Bearbeitung von Substraten und Verwendung dieser Vorrichtung
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
JPH0521391A (ja) * 1991-07-12 1993-01-29 Sumitomo Metal Ind Ltd マイクロ波プラズマ装置
US5198725A (en) * 1991-07-12 1993-03-30 Lam Research Corporation Method of producing flat ecr layer in microwave plasma device and apparatus therefor
KR0184675B1 (ko) * 1991-07-24 1999-04-15 이노우에 쥰이치 챔버내의 전극에 있어서의 실제의 rf파워를 검출 및 제어 가능한 플라즈마 처리장치
US5308417A (en) * 1991-09-12 1994-05-03 Applied Materials, Inc. Uniformity for magnetically enhanced plasma chambers
US5849136A (en) 1991-10-11 1998-12-15 Applied Materials, Inc. High frequency semiconductor wafer processing apparatus and method
US5279669A (en) * 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5175472A (en) 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
JP2621728B2 (ja) * 1992-02-21 1997-06-18 株式会社日立製作所 スパッタリング方法及びその装置
US5444207A (en) 1992-03-26 1995-08-22 Kabushiki Kaisha Toshiba Plasma generating device and surface processing device and method for processing wafers in a uniform magnetic field
KR930021034A (ko) 1992-03-31 1993-10-20 다니이 아끼오 플라즈마발생방법 및 그 발생장치
DE69327069T2 (de) 1992-04-17 2000-04-06 Matsushita Electric Ind Co Ltd Vorrichtung und Verfahren zur Plasmaerzeugung
US5273610A (en) 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5325019A (en) * 1992-08-21 1994-06-28 Sematech, Inc. Control of plasma process by use of harmonic frequency components of voltage and current
JP2972477B2 (ja) * 1993-01-27 1999-11-08 日本電気株式会社 Rf・ecrプラズマエッチング装置
FR2701797B1 (fr) * 1993-02-18 1995-03-31 Commissariat Energie Atomique Coupleur de transfert d'une puissance micro-onde vers une nappe de plasma et source micro-onde linéaire pour le traitement de surfaces par plasma .
TW249313B (ko) 1993-03-06 1995-06-11 Tokyo Electron Co
US5537004A (en) * 1993-03-06 1996-07-16 Tokyo Electron Limited Low frequency electron cyclotron resonance plasma processor
JP3236111B2 (ja) * 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5534108A (en) * 1993-05-28 1996-07-09 Applied Materials, Inc. Method and apparatus for altering magnetic coil current to produce etch uniformity in a magnetic field-enhanced plasma reactor
CA2126731A1 (en) * 1993-07-12 1995-01-13 Frank Jansen Hollow cathode array and method of cleaning sheet stock therewith
KR0170456B1 (ko) * 1993-07-16 1999-03-30 세끼사와 다까시 반도체 장치 및 그 제조방법
US5849372A (en) 1993-09-17 1998-12-15 Isis Innovation Limited RF plasma reactor and methods of generating RF plasma
WO1995008182A1 (en) 1993-09-17 1995-03-23 Isis Innovation Limited Rf plasma reactor
US5565382A (en) 1993-10-12 1996-10-15 Applied Materials, Inc. Process for forming tungsten silicide on semiconductor wafer using dichlorosilane gas
KR100276736B1 (ko) 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5467013A (en) 1993-12-07 1995-11-14 Sematech, Inc. Radio frequency monitor for semiconductor process control
US5463525A (en) 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
EP0661728B1 (en) * 1993-12-28 1997-06-11 Shin-Etsu Chemical Co., Ltd. Dipole ring magnet for use in magnetron sputtering or magnetron etching
JP3279038B2 (ja) 1994-01-31 2002-04-30 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
JP3365067B2 (ja) 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
JP3124204B2 (ja) * 1994-02-28 2001-01-15 株式会社東芝 プラズマ処理装置
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
US5506475A (en) * 1994-03-22 1996-04-09 Martin Marietta Energy Systems, Inc. Microwave electron cyclotron electron resonance (ECR) ion source with a large, uniformly distributed, axially symmetric, ECR plasma volume
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5685914A (en) 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US5798029A (en) 1994-04-22 1998-08-25 Applied Materials, Inc. Target for sputtering equipment
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
KR100327086B1 (ko) 1994-06-15 2002-03-06 구사마 사부로 박막 반도체 장치의 제조방법, 박막 반도체 장치,액정표시장치 및 전자기기
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
AU2003195A (en) 1994-06-21 1996-01-04 Boc Group, Inc., The Improved power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5503676A (en) 1994-09-19 1996-04-02 Lam Research Corporation Apparatus and method for magnetron in-situ cleaning of plasma reaction chamber
US5945008A (en) 1994-09-29 1999-08-31 Sony Corporation Method and apparatus for plasma control
IT1269413B (it) * 1994-10-21 1997-04-01 Proel Tecnologie Spa Sorgente di plasma a radiofrequenza
US5576629A (en) 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
JP2956494B2 (ja) * 1994-10-26 1999-10-04 住友金属工業株式会社 プラズマ処理装置
JPH08167588A (ja) * 1994-12-12 1996-06-25 Sony Corp プラズマ処理装置及びプラズマモニタリング装置
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5576600A (en) 1994-12-23 1996-11-19 Dynatenn, Inc. Broad high current ion source
US5792376A (en) 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
JP3778299B2 (ja) * 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5674321A (en) * 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
JP3595608B2 (ja) * 1995-05-30 2004-12-02 アネルバ株式会社 真空処理装置、真空処理装置における真空容器内面堆積膜除去方法及び真空処理装置における真空容器内面膜堆積均一化方法
JP3169337B2 (ja) * 1995-05-30 2001-05-21 キヤノン株式会社 光起電力素子及びその製造方法
JP3208044B2 (ja) 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US5997962A (en) 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5659276A (en) 1995-07-12 1997-08-19 Shin-Etsu Chemical Co., Ltd. Magnetic field generator for magnetron plasma
JPH0945624A (ja) 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5907221A (en) * 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
US6089182A (en) 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
US5718795A (en) * 1995-08-21 1998-02-17 Applied Materials, Inc. Radial magnetic field enhancement for plasma processing
KR100226366B1 (ko) 1995-08-23 1999-10-15 아끼구사 나오유끼 플라즈마장치 및 플라즈마 처리방법
JPH09106898A (ja) 1995-10-09 1997-04-22 Anelva Corp プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法
US5965034A (en) 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
TW303480B (en) * 1996-01-24 1997-04-21 Applied Materials Inc Magnetically confined plasma reactor for processing a semiconductor wafer
US6095084A (en) 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5783102A (en) * 1996-02-05 1998-07-21 International Business Machines Corporation Negative ion deductive source for etching high aspect ratio structures
US5907220A (en) * 1996-03-13 1999-05-25 Applied Materials, Inc. Magnetron for low pressure full face erosion
US5942074A (en) 1996-03-29 1999-08-24 Lam Research Corporation Single-piece gas director for plasma reactors
JP3238082B2 (ja) 1996-05-16 2001-12-10 シャープ株式会社 電子デバイス製造装置
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US6000360A (en) 1996-07-03 1999-12-14 Tokyo Electron Limited Plasma processing apparatus
US5885358A (en) 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5770922A (en) * 1996-07-22 1998-06-23 Eni Technologies, Inc. Baseband V-I probe
US5866986A (en) 1996-08-05 1999-02-02 Integrated Electronic Innovations, Inc. Microwave gas phase plasma source
US6014943A (en) * 1996-09-12 2000-01-18 Tokyo Electron Limited Plasma process device
JPH10134996A (ja) 1996-10-31 1998-05-22 Nec Corp プラズマ処理装置
US6294026B1 (en) 1996-11-26 2001-09-25 Siemens Aktiengesellschaft Distribution plate for a reaction chamber with multiple gas inlets and separate mass flow control loops
US6113731A (en) 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6152071A (en) 1996-12-11 2000-11-28 Canon Kabushiki Kaisha High-frequency introducing means, plasma treatment apparatus, and plasma treatment method
US5824607A (en) 1997-02-06 1998-10-20 Applied Materials, Inc. Plasma confinement for an inductively coupled plasma reactor
US6599399B2 (en) 1997-03-07 2003-07-29 Applied Materials, Inc. Sputtering method to generate ionized metal plasma using electron beams and magnetic field
ATE308337T1 (de) 1997-03-15 2005-11-15 Nakamura Toshikazu Hgf zur behandlung von akutem nierenversagen
JP3650248B2 (ja) 1997-03-19 2005-05-18 東京エレクトロン株式会社 プラズマ処理装置
JP3582287B2 (ja) 1997-03-26 2004-10-27 株式会社日立製作所 エッチング装置
TW376547B (en) 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US5880034A (en) 1997-04-29 1999-03-09 Princeton University Reduction of semiconductor structure damage during reactive ion etching
JPH1116893A (ja) * 1997-06-25 1999-01-22 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
US6155200A (en) 1997-07-08 2000-12-05 Tokyo Electron Limited ECR plasma generator and an ECR system using the generator
US6110395A (en) 1997-08-26 2000-08-29 Trikon Technologies, Inc. Method and structure for controlling plasma uniformity
US5902461A (en) * 1997-09-03 1999-05-11 Applied Materials, Inc. Apparatus and method for enhancing uniformity of a metal film formed on a substrate with the aid of an inductively coupled plasma
JP2929284B2 (ja) 1997-09-10 1999-08-03 株式会社アドテック 高周波プラズマ処理装置のためのインピーダンス整合及び電力制御システム
US6076482A (en) * 1997-09-20 2000-06-20 Applied Materials, Inc. Thin film processing plasma reactor chamber with radially upward sloping ceiling for promoting radially outward diffusion
US6291999B1 (en) 1997-09-30 2001-09-18 Daihen Corp. Plasma monitoring apparatus
US5971591A (en) 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
US5876576A (en) * 1997-10-27 1999-03-02 Applied Materials, Inc. Apparatus for sputtering magnetic target materials
US6051151A (en) * 1997-11-12 2000-04-18 International Business Machines Corporation Apparatus and method of producing a negative ion plasma
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6251216B1 (en) 1997-12-17 2001-06-26 Matsushita Electronics Corporation Apparatus and method for plasma processing
US5929717A (en) 1998-01-09 1999-07-27 Lam Research Corporation Method of and apparatus for minimizing plasma instability in an RF processor
US6015476A (en) * 1998-02-05 2000-01-18 Applied Materials, Inc. Plasma reactor magnet with independently controllable parallel axial current-carrying elements
US6449568B1 (en) 1998-02-27 2002-09-10 Eni Technology, Inc. Voltage-current sensor with high matching directivity
US6164240A (en) * 1998-03-24 2000-12-26 Applied Materials, Inc. Semiconductor wafer processor, plasma generating apparatus, magnetic field generator, and method of generating a magnetic field
US6085688A (en) 1998-03-27 2000-07-11 Applied Materials, Inc. Method and apparatus for improving processing and reducing charge damage in an inductively coupled plasma reactor
US6123862A (en) 1998-04-24 2000-09-26 Micron Technology, Inc. Method of forming high aspect ratio apertures
US6106663A (en) 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
JP2000021871A (ja) 1998-06-30 2000-01-21 Tokyo Electron Ltd プラズマ処理方法
JP3375302B2 (ja) * 1998-07-29 2003-02-10 東京エレクトロン株式会社 マグネトロンプラズマ処理装置および処理方法
US6545580B2 (en) * 1998-09-09 2003-04-08 Veeco Instruments, Inc. Electromagnetic field generator and method of operation
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
FI105612B (fi) 1998-10-23 2000-09-15 Nokia Networks Oy Menetelmä ja kytkentä vaihevirheen korjaamiseksi tehovahvistimen linearisointisilmukassa
JP3818561B2 (ja) 1998-10-29 2006-09-06 エルジー フィリップス エルシーディー カンパニー リミテッド シリコン酸化膜の成膜方法および薄膜トランジスタの製造方法
US6300227B1 (en) 1998-12-01 2001-10-09 Silicon Genesis Corporation Enhanced plasma mode and system for plasma immersion ion implantation
US6213050B1 (en) 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
US6579421B1 (en) * 1999-01-07 2003-06-17 Applied Materials, Inc. Transverse magnetic field for ionized sputter deposition
JP2000245005A (ja) 1999-02-18 2000-09-08 Toshiba Corp 車両駆動制御装置
US6188564B1 (en) 1999-03-31 2001-02-13 Lam Research Corporation Method and apparatus for compensating non-uniform wafer processing in plasma processing chamber
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3374796B2 (ja) 1999-08-06 2003-02-10 松下電器産業株式会社 プラズマ処理方法及び装置
US6262538B1 (en) 1999-08-26 2001-07-17 International Business Machines Corporation High density plasma tool with adjustable uniformity and stochastic electron heating for reduced gas cracking
JP3892996B2 (ja) 1999-09-02 2007-03-14 東京エレクトロン株式会社 マグネトロンプラズマ処理装置
JP2001074049A (ja) 1999-09-07 2001-03-23 Ebara Corp 磁気軸受装置
US6610184B2 (en) 2001-11-14 2003-08-26 Applied Materials, Inc. Magnet array in conjunction with rotating magnetron for plasma sputtering
JP2003514388A (ja) * 1999-11-15 2003-04-15 ラム リサーチ コーポレーション 処理システム用の材料およびガス化学剤
JP2001156044A (ja) 1999-11-26 2001-06-08 Tokyo Electron Ltd 処理装置及び処理方法
US6432259B1 (en) 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
JP3996771B2 (ja) 2000-01-12 2007-10-24 東京エレクトロン株式会社 真空処理装置及び真空処理方法
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6451177B1 (en) 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6451703B1 (en) 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US7030335B2 (en) 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US6528751B1 (en) * 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
WO2001075188A2 (en) 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
US6507155B1 (en) * 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US6296747B1 (en) 2000-06-22 2001-10-02 Applied Materials, Inc. Baffled perforated shield in a plasma sputtering reactor
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6627050B2 (en) 2000-07-28 2003-09-30 Applied Materials, Inc. Method and apparatus for depositing a tantalum-containing layer on a substrate
JP3565774B2 (ja) 2000-09-12 2004-09-15 株式会社日立製作所 プラズマ処理装置及び処理方法
US6797639B2 (en) 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
JP3946641B2 (ja) * 2001-01-22 2007-07-18 東京エレクトロン株式会社 処理装置
JP3987686B2 (ja) 2001-02-02 2007-10-10 ジーイー・メディカル・システムズ・グローバル・テクノロジー・カンパニー・エルエルシー 静磁界補正方法およびmri装置
US6673199B1 (en) * 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
US20020139477A1 (en) 2001-03-30 2002-10-03 Lam Research Corporation Plasma processing method and apparatus with control of plasma excitation power
US6663754B2 (en) 2001-04-13 2003-12-16 Applied Materials, Inc. Tubular magnet as center pole in unbalanced sputtering magnetron
JP3843880B2 (ja) 2001-05-31 2006-11-08 株式会社デンソー ガス濃度センサのヒータ制御装置
JP4009087B2 (ja) * 2001-07-06 2007-11-14 アプライド マテリアルズ インコーポレイテッド 半導体製造装置における磁気発生装置、半導体製造装置および磁場強度制御方法
US6674241B2 (en) 2001-07-24 2004-01-06 Tokyo Electron Limited Plasma processing apparatus and method of controlling chemistry
US6491801B1 (en) 2001-08-07 2002-12-10 Applied Materials, Inc. Auxiliary vertical magnet outside a nested unbalanced magnetron
US6495009B1 (en) 2001-08-07 2002-12-17 Applied Materials, Inc. Auxiliary in-plane magnet inside a nested unbalanced magnetron
US6805770B1 (en) 2001-08-30 2004-10-19 Oster Magnetics, Inc. Technique for improving uniformity of magnetic fields that rotate or oscillate about an axis
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US6652712B2 (en) 2001-12-19 2003-11-25 Applied Materials, Inc Inductive antenna for a plasma reactor producing reduced fluorine dissociation
US6761804B2 (en) 2002-02-11 2004-07-13 Applied Materials, Inc. Inverted magnetron
US6521082B1 (en) * 2002-04-16 2003-02-18 Applied Materials Inc. Magnetically enhanced plasma apparatus and method with enhanced plasma uniformity and enhanced ion energy control
US6715900B2 (en) 2002-05-17 2004-04-06 A L Lightech, Inc. Light source arrangement
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6937127B2 (en) 2002-09-09 2005-08-30 Oster Magnetics, Inc. Apparatus for manipulating magnetic fields
US7458335B1 (en) 2002-10-10 2008-12-02 Applied Materials, Inc. Uniform magnetically enhanced reactive ion etching using nested electromagnetic coils
US7422654B2 (en) * 2003-02-14 2008-09-09 Applied Materials, Inc. Method and apparatus for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US20090250432A1 (en) 2008-04-07 2009-10-08 Hoffman Daniel J Method of controlling plasma distribution uniformity by time-weighted superposition of different solenoid fields

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020060969A (ko) * 1999-11-15 2002-07-19 램 리서치 코포레이션 플라즈마 처리 시스템 및 그 방법
KR20040111674A (ko) * 2002-05-22 2004-12-31 어플라이드 머티어리얼즈 인코포레이티드 자기 플라즈마 제어되는 용량 결합형 플라즈마 반응기

Also Published As

Publication number Publication date
EP1686612A1 (en) 2006-08-02
CN1812683B (zh) 2013-07-17
CN1812683A (zh) 2006-08-02
TWI388243B (zh) 2013-03-01
KR20060087451A (ko) 2006-08-02
US8617351B2 (en) 2013-12-31
US20050167051A1 (en) 2005-08-04
JP2006237590A (ja) 2006-09-07
JP4769586B2 (ja) 2011-09-07
TW200628022A (en) 2006-08-01

Similar Documents

Publication Publication Date Title
KR100853577B1 (ko) 플라즈마 균일성 및 장치 손상 감소를 위해 첨단, 솔레노이드 및 미러 자기장들을 위한 최소 d.c. 코일들을 구비한 플라즈마 반응기
KR100883875B1 (ko) 자기 플라즈마 제어되는 용량 결합형 플라즈마 반응기
JP4698222B2 (ja) プラズマを径方向に均一に分布する容量結合プラズマリアクタ
US7955986B2 (en) Capacitively coupled plasma reactor with magnetic plasma control
JP4236294B2 (ja) 上部にソレノイドアンテナを有する電磁結合rfプラズマリアクター
US5903106A (en) Plasma generating apparatus having an electrostatic shield
US6095084A (en) High density plasma process chamber
US6454898B1 (en) Inductively coupled RF Plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6365063B2 (en) Plasma reactor having a dual mode RF power application
WO1999053120A1 (en) Reduced impedance chamber
JP2002525866A (ja) 内部誘導コイルアンテナ及び導電性チャンバ壁を有するrfプラズマエッチング反応器
KR20220143947A (ko) 플라즈마 프로세싱 디바이스의 에지 링에서의 전력을 조작하기 위한 장치 및 방법들

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120727

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130729

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140730

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170629

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 11