JP4236294B2 - 上部にソレノイドアンテナを有する電磁結合rfプラズマリアクター - Google Patents

上部にソレノイドアンテナを有する電磁結合rfプラズマリアクター Download PDF

Info

Publication number
JP4236294B2
JP4236294B2 JP12267997A JP12267997A JP4236294B2 JP 4236294 B2 JP4236294 B2 JP 4236294B2 JP 12267997 A JP12267997 A JP 12267997A JP 12267997 A JP12267997 A JP 12267997A JP 4236294 B2 JP4236294 B2 JP 4236294B2
Authority
JP
Japan
Prior art keywords
antenna
plasma
workpiece
induction
ceiling plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP12267997A
Other languages
English (en)
Other versions
JPH1092598A (ja
Inventor
エス. コリンズ ケネス
ライス マイケル
トロー ジョン
ブクバーガー ダグラス
エー. ロデリック クレイグ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH1092598A publication Critical patent/JPH1092598A/ja
Application granted granted Critical
Publication of JP4236294B2 publication Critical patent/JP4236294B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/22Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by diffusion
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/22Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by diffusion
    • B01D53/225Multiple stage diffusion
    • B01D53/226Multiple stage diffusion in serial connexion
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/26Drying gases or vapours
    • B01D53/268Drying gases or vapours by diffusion
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32688Multi-cusp fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F29/00Variable transformers or inductances not covered by group H01F21/00
    • H01F29/14Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias
    • H01F2029/143Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias with control winding for generating magnetic bias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3345Problems associated with etching anisotropy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3346Selectivity

Description

【0001】
【発明の属する技術分野】
本発明は、加工されるべき加工物の上に位置するリアクター天井板及び天井板に隣接する誘導アンテナを有する電磁結合RFプラズマリアクターに関する。
【0002】
【従来の技術】
電磁結合RFプラズマリアクター(inductively coupled RF plasma reactor)は、加工物、例えば半導体ウエハの種々の加工を成すために用いられている。図1を参照すると、電磁結合RFプラズマリアクターの一つのタイプは、天井板12及び筒状の側壁14を含むリアクター槽10を有する。台座16は、加工物18例えば半導体ウエハを支持しており、加工物が一般には加工物支持平面に横たわり、そしてバイアスRF電流発生器が台座16に連結されている。一般的な平面コイルアンテナ20は、天井板12の上に位置しておりそしてプラズマ源RF電流発生器22に連結されている。他のタイプ、例えば容量性結合RFプラズマリアクターに対する電磁結合RFプラズマリアクターの主要な利点は、より高いイオン密度を電磁結合タイプを用いて達成できる点である。
【0003】
十分なエッチング選択性がより高い槽圧での操作にて達成される。(エッチング選択性なる語は、リアクター槽中でエッチングに曝された2つの異なった物質のエッチング率の比を意味する。)このことは、上に位置する酸素含有(例えば、酸化珪素)層のエッチングの間、下に位置する非酸素含有(例えば、珪素、ポリシリコン又はフォトレジスト)の層を保護するために、典型的には高い密度のプラズマエッチングリアクター槽中で用いられる重合化行程が、低い槽圧より高い槽圧(例えば、約20〜500mT超)にてより効率的であるからである。槽中のポリマー前駆体ガス(例えば、炭化フッ素ガス又はフッ化炭化水素ガス)は、特に高い槽圧で、非酸素含有表面(例えば、珪素又はフォトレジスト)上で強く、そして酸素含有表面(例えば、酸化珪素)上でもっぱら弱く重合する傾向があり、それ故、非酸素含有表面はエッチングから相対的によく保護されるのに対し、酸素含有表面(例えば酸化珪素)は相対的に保護されずエッチングされる。重合化率は、より高い圧力例えば100mTにてより高いので、このような重合化工程は、酸化物対珪素のエッチング選択性をより高い槽圧にてより高める。それ故、非酸素含有層より多くの酸素含有層をプラズマエッチングするときは比較的高い槽圧にて操作されるのが望ましい。例えば、ある操作条件、例えば5mTの槽圧下では、3:1より小さい酸素対フォトレジストエッチング選択性が得られ、そして圧力を50〜100mTまであげると選択性は6:1超まで増加した。酸素対ポリ珪素エッチング選択性は、同様の挙動を示した。
【0004】
(エッチング選択性をあげるために)槽圧を増加することに伴う問題は、ウエハ表面を横切るプラズマイオンの空間の密度分布が均一でなくなることである。このことが起こる原因は2つある。(1)プラズマ中の電子の平均の自由路が圧力に伴って減少すること、及び(2)プラズマ中の誘導場の表皮深度(skin depth)が圧力に伴って増加することである。これらの2つの要因がプラズマイオン空間密度分布にどのように影響するかを以下に説明する。
【0005】
上記の(1)に関しては、電子対中性種の弾性衝突平均自由路長(これは、槽圧に反比例する)は、より均一な電子及びイオン分布を槽中に提供するために、電子が他のガス粒子との再結合を避けてプラズマを通って拡散することができる程度を決定する。典型的には、電子は、(例えば、不均一な誘導アンテナパターンによって)槽全体に均一には発生せず、そして、プラズマの全体での電子の拡散が、このことを補償しより大きな電子及びプラズマイオン空間密度分布均一性を提供する。(プラズマイオンは、加工ガス粒子の高エネルギーの電子との衝突により発生するので、ウエハ表面を横切る電子空間密度分布はプラズマイオン空間密度分布に影響する)。槽圧を増加させることは、プラズマ中の電子の拡散を抑え、それによって、プラズマイオン空間密度分布均一性を減少する(落とす)。
【0006】
この問題は、図1を参照することにより理解されるであろう。図1においては、誘導アンテナ20はその環状対称性ゆえに、もし電子がウエハ中心の上で生じたとしても非常に小さいので、アンテナ対称軸に沿って空(null)または局所的な最小を有するアンテナパターン(すなわち、誘導された電界の強度の空間分布)を有する。低い槽圧においては、ウエハの中心近くの領域での電子の発生が不足しているにも関わらず、アンテナ20と加工物18の間の空間(ギャップ)への電子の拡散は上記領域中に電子を移動するのに十分であり、それによって、ウエハ表面にてより均一なプラズマ分布が提供される。圧力を増加させると、電子の拡散は減少し、そしてプラズマイオン分布はより均一でなくなる。
【0007】
関連する問題は、全体のプラズマ密度が、加工物18においてより、天井板12近く(ここでは、熱い電子の密度が最大である)でより大きく、そして槽圧の増加に伴って天井板12からより容易に遠ざかることである。例えば、1mTの槽圧、5eVの平均電子温度でのアルゴンプラズマ中の電子平均自由路は10cmのオーダーであり、10mTではそれは1.0cmのオーダーであり、そして100mTではそれは0.1cmのオーダーである。従って、典型的な用途では、5cmの天井板−加工物間のギャップのために、天井板12近くで生じた電子のほとんどは、1mTの槽圧で加工物に到達し(加工物での最大イオン密度)、そして10mTでは多くの数が到達し、一方、100mTではほとんど到達しない(加工物での最小イオン密度)。従って、高圧様式は、平均自由路長が、天井板−加工物間のギャップの約1/10以上の場合の様式であるということができる。槽圧を減少することなしに(エッチング率及びリアクター槽処理量をあげるために)加工物18での全体のプラズマイオン密度を増加させる一つの方法は、ギャップを狭めて、ギャップに対する平均自由路長の比をより大きくすることである。しかしながら、このことは、以下にさらに述べるように、槽圧を増加させることによって発生する他の問題をさらに悪化させる。
【0008】
上記の項目(2)に関しては、誘導場表皮深度は、プラズマを通る深さ(これは天井板12から下方向に測定される)に対応し、その中ではアンテナ20の誘導場がほぼ完全に吸収される。図2は、アルゴンプラズマ中の表皮深度が、どのようにして、約0.003mTの閾値圧(この値未満では、表皮深度は事実上、圧力に対して一定である)を超えた槽圧にて増加するかを説明している。図2はまた、電子と中性子の弾性衝突平均自由路長が、圧力の増加にともなって直線的に減少することを破線曲線で示している。図2中にグラフで示されている表皮深度の機能は、2MHzの供給源周波数及び5・1017 電子/m3のアルゴンプラズマ密度を想定している。(電子−負ガスでの対応するプラズマ密度はより小さく、図2の曲線は、電子−負ガスの導入に伴って上へとシフトすることを注記しておく。)図2のグラフは、アルゴン中で5eVの電子温度に対して、衝突横断面を用いて求めた。(アルゴンの代わりに分子ガス、例えばC26を用いて、表皮深度を所与の圧力にてより大きくしかつ図2の曲線全体を上へとシフトさせるためには衝突断面積をより大きくするということを注記しておく。)もし、誘導場が天井板12に隣接する天井板−加工物間のギャップの小さな分数(例えば、1/10)内で吸収されるような槽圧である場合(図2の例における5cmのギャップのための1mTの圧力に対応する)、そのときは、(ギャップの残された9/10全体での)電子の拡散は、加工物表面にてより均一なプラズマイオン分布を生み出す。しかしながら、圧力の増加及び表皮深度の増加(例えば、ギャップの約1/10を超える)に伴って、そのときは、電子拡散はより少ない影響を有する傾向がある。従って、長い表皮深度形態の測定は、表皮深度が、供給源−加工物間のギャップ長の約1/10以上の場合である。たとえば、もし圧力があまりに大きくて表皮深度が天井板−加工物間の間隙に等しい場合(図2の例においては、5cmのギャップのために約100mTの圧力に対応する)は、そのときは、アンテナのパターンの空または局所の最小化が加工物18の表面に延び、電子の拡散が加工物の加工におけるアンテナパターンの空の影響を補償することを効果的に妨げる。このような問題は、例えば、天井板−加工物間の間隙を、加工物表面での全体のプラズマ密度を増加させるために減少させたときに起こりうる。小さな天井板−加工物間の間隙及び高い槽圧に関連する問題は、電子が加工ガス中の粒子と再結合できないばかりでなく、天井板12の表面及び加工物18との衝突によっても再結合できず、それ故、他の領域で生じた電子にとっては加工物中心に近接した領域中への拡散はより困難となる。
【0009】
【発明が解決しようとする課題】
要約すると、ウエハにおけるプラズマイオン密度は、軸対照的なアンテナ/天井板20、12及び加工物18間のギャップを減少することにより高められ得る。しかし、もしギャップがあまりに減少されて誘導場表皮深度がギャップに対してかなりの割合(≧10%)になった場合、そのときは、加工物中心のイオン密度は、アンテナパターンの中心の空のために端に対してかなり低下する。しかしながら、ギャップに対する表皮深度の比がより小さくそして電子拡散が十分な場合(低い槽圧の特徴)は、加工物中心からかなり離れて生じる電子が、ガス相再結合又は表面再結合へと失われる前に中心領域へと拡散することができ、それによって、アンテナパターンの中心の空を補償する。しかし、(加工物での全体のプラズマ密度を増加させるために)ギャップが減少され、そして(エッチング選択性を高めるために)槽圧が増加されるのに伴って、そのときは、(1)加工物中心の上の誘導された電界が、その領域で電子が作られないように空に近ずき、そして(2)他の領域で生じた電子は一般的に、ガス粒子及び槽(例えば、天井板)表面との再結合のために、加工物中心領域へと拡散できない。
【0010】
従って、ウエハ−コイル間の距離が(例えば、ウエハ表面近くのプラズマ密度を高めるために)リアクターの設計者によって減少されるのに伴って、プラズマイオン密度は、ウエハ中心で減少し、そして結局は、非常に短いウエハ−アンテナ間の距離にて、許容できない加工非均一性を生じさせる中心の空となる。例えば、このようなリアクター中で行われるプラズマエッチング加工においては、ウエハ中心のエッチング率は他よりあまりに小さいので、ウエハの円周近くを過剰エッチングすることなしにウエハ表面全体を横切っての完全なエッチングをなすことは不可能になる。逆に言えば、ウエハ中心を過小エッチングすることなしにウエハの円周にて過剰エッチングを避けることは不可能になる。従って、問題は、加工の非均一性という不純物の不利を招くことなく、ウエハ−アンテナ間の距離を減少させる方法を見いだすことである。
【0011】
この問題を解決する又は少なくとも改善する一つのアプローチは、米国特許出願番号08/507726号明細書(1995年7月26日にKenneth S. Collinsらによって、発明の名称「電気的に可変の密度プロファイルを持つプラズマ源」として出願されている)に開示されており、第二の独立して制御されるプラズマ源RF電流発生器26に連結された外側の一般的な平面コイルアンテナ24が、図1の内側のコイルアンテナ20と同心にて天井板12の上に配置されうる。この解決策の効力は、図3(a)〜(e)のグラフから分かりうる。図3(a)は、加工物−天井板間の高さが4インチ(10cm)での加工物18の中心からの半径に対するプラズマイオン密度の相関を示しており、曲線Aは、外側のコイルアンテナ24によって生じるイオン密度であり、そして曲線Bは、内側のコイルアンテナ20によって生じるイオン密度である。全体の得られるプラズマイオン密度は、これらの2つの曲線の合計であるが、簡単化のために示していない。図3(a)は、4インチ(10cm)の高さにて、外側コイルアンテナ24が均一なプラズマイオン密度分布を発生し、内側のコイルアンテナ20は要求されないことを示している。図3(b)は、図3(a)に対応するものであるが、ただし3インチ(7.5cm)の減少した加工物−天井板間の高さであり、そして外側のコイルアンテナ24によって作られるプラズマイオン密度の穴が内側のコイルアンテナ20によって発生される中心優勢のイオン密度によって補償されるということを示している。図3(c)は、図3(a)に対応するものであるが、ただし2.5インチ(6.25cm)のさらに減少した加工物−天井板間の高さであり、そして外側のアンテナ24によって作られるプラズマイオン密度の中心の穴に対する内側のコイル20による補償は、加工物−天井板間の高さがさらに減少したときでも、まだかなり効果的であることを示している。しかしながら、中心付近の全体の得られたプラズマイオン密度においてわずかな穴がこの高さ未満で現れ始めている。図3(d)に示されているように、加工物−天井板間の高さがたったの1.25インチ(約3.2cm)までさらに減少すると、内側及び外側のコイルアンテナ20、24によって作られるプラズマイオン密度においてかなりの穴が生じ、補償が非常に小さく、得られたプラズマイオン密度(示された2つの曲線の合計)はかなり非均一である。図3(e)に示されるように、高さがさらに0.8インチ(2cm)に減少すると問題は悪化する。
【0012】
図3(a)〜(e)が示していることは、加工物中心付近のプラズマイオン密度の空の問題を解決するために内側及び外側のコイルアンテナを用いた場合でさえ、加工物−天井板間の高さがある値未満になると有効でなくなるということである。したがって、ウエハ−天井板間の高さは、加工均一性の犠牲なくしてはある係数の表皮深度未満には減少できない。一方、もしウエハ−天井板間の高さがそれほど減少できなければ、プラズマ密度及び加工性能は限定されてしまう。従って、加工均一性の犠牲なく、加工物−天井板間の高さを減少させる方法が必要とされている。
【0013】
【課題を解決するための手段】
本発明は、プラズマリアクター槽と槽の内部の加工物を保持するための支持体を定めるリアクター囲壁、リアクター囲壁に隣接しかつ加工物の平面に対して非平面的な様式にて隙間をあけて配置された誘導要素を含む非平面の誘導アンテナ、及び非平面誘導アンテナに連結されたプラズマ源RFの供給電源含む電磁結合RFプラズマリアクターに具現化される。或いは、アンテナの誘導要素の非平面的な配置は、誘導要素が、対称軸に交差する各平面上に適当に隙間を開けて配置されている。誘導アンテナは非対称又は対称のいずれでもあり得るが、誘導アンテナは好ましくは対称性のソレノイド巻き線、例えば誘導巻き線の垂直方向への積み重ねを含む。一般的には、本発明は、プラズマイオンの密度分布が加工物の表面を横切るように加工パラメーターを調節する手段を提供する。特に詳しくは、本発明は、アンテナのRF誘導パターン中の空を補償する。該空は典型的にはアンテナの対称軸の近くにある。このことを達成するために、好ましい実施態様においては、巻き線は、小さな加工物−アンテナ間の距離で最適加工性を得るために加工物の中心の上で誘導場が強くなるように巻き線アンテナの対称軸から最小の半径距離にある。
【0014】
他の実施態様においては、巻き線は、槽の半径に対して有意な比(substantial fraction)、好ましくは少なくとも半分、である、対称軸からの半径距離にある。この半径距離は、中心が空のアンテナパターンに加えて加工非均一性の原因を含みうる特定の条件下で、最適なプラズマイオン密度を与える最適値が選択される。最適の半径距離の決定は、熟練した作業員によって、ソレノイド巻き線を種々の半径位置に配置しそして慣用の技術を用いてプラズマイオン密度の半径方向のプロファイルを決定するという試行錯誤によりなされうる。
【0015】
より多用途のためには、リアクターはさらに、ソレノイド巻き線に対して半径方向の外側の位置にリアクター囲壁に隣接する第二の誘導アンテナ、及び好ましくは、内側及び外側のアンテナに供給されるRF電流を独立に調節するための第二の誘導アンテナに連結された第二のプラズマ源RF電源を含みうる。一つの実施態様においては、第二の誘導アンテナは、第二の非平面の誘導アンテナである。他の実施態様においては、第二の非平面の誘導アンテナはソレノイド巻き線である。
【0016】
リアクターソレノイド巻き線は、二重に巻かれたソレノイド巻き線であり得、それは、同心状の単一のソレノイド巻き線の対又は垂直に積み重ねられた巻き線の対からなりうる。同様に、もし第二の、半径方向の外側のソレノイド巻き線がある場合は、そのときは、第二のソレノイド巻き線は二重に巻かれたソレノイド巻き線であり得る。
【0017】
垂直方向に積み重ねられた誘導巻き線は、直立した筒状の形状、直立状の円錐型又は逆さの円錐型、又は非対称な形状をとりうる。中心から離れて誘導場の選択された一部を配分するために、平面のコイル伝導体が、垂直に積み重ねられた誘電巻き線の底の巻きから半径方向の外側へと延ばされうる。
【0018】
本発明は、いずれの特定の非平面の配置又は形状にも限定されず、そして、中心が空のアンテナパターンを補償するために、中心軸の近くにRF誘導場の要求される集中を提供するという機能を果たすいずれの適した形状も用いられることができる。
【0019】
【発明の実施の形態】
小さなアンテナ−加工物間のギャップを有するプラズマリアクターにおいて、誘導アンテナパターンの中心の空に対応する加工物の中心領域近くのプラズマイオン密度の減少を最小化するために、上記中心領域にて誘導された電場の強度を増加させることが本発明の目的である。本発明は、このことを、アンテナの対称軸近くに天井板の上に位置する誘導コイルの巻きを集中し、そして中心領域におけるアンテナとプラズマ間の磁束の(RF源周波数における)変化率を最大化することによって達成する。
【0020】
本発明に従って、中心軸の周りのソレノイドコイルは、同時に、軸近くで誘導コイルの巻きを集中させ、そして加工物に隣接する中心領域においてアンテナとプラズマ間の磁束の変化率を最大化する。これは、中心領域においての強い磁束及びプラズマとの近接した相互の結合が要求されるので、巻きの数が大きくそしてコイル半径が小さくなるからである。(対照的に、慣用の平面コイルアンテナは、広い半径領域の上にその誘導場を拡げ、周辺へ向かって外側へと放射力を押し出している。)本明細書中で理解されるように、ソレノイド様のアンテナは、加工物或いは加工物支持体表面又は上に位置するリアクター天井板の平面に対して非平面様式で配置された、又は(リアクター槽内の加工物支持台座によって定められる)加工物支持体平面に対して横方向に種々の距離にて空間を空けて或いは上に位置するリアクター天井板に対して横方向の種々の距離にて空間を空けて置かれた、複数の誘導要素を有するアンテナである。明細書中で理解されるように、誘導要素は、リアクター槽内のプラズマ及び/又はアンテナの他の誘導要素と相互に連結された電流伝達要素である。
【0021】
本発明の好ましい実施態様は、中心の近くに一つのソレノイドをそして外側の円周半径に他の一つのソレノイドを有する二連のソレノイドコイルアンテナを含む。2つのソレノイドは、異なったRF周波数にてまたは同じ周波数にて励振させることができ、いずれの場合でも、それらは好ましくは位相固定されており、さらに好ましくは、それらの場が推定的に相互に作用するような様式にて位相固定されている。内側及び外側のソレノイド間は最も実用的に配置するのが好ましい。なぜなら、そのことが、加工物の円周におけるエッチング率に対しての加工物中心のエッチング率の最も融通の利く制御を提供するからである。熟練した作業員は、加工物を横切るエッチング率の半径方向の均一性を(本発明を用いて)最適化するためにより広い範囲又は加工領域を得るべく、RF電流及び槽の圧力及び(適当なモル比及び不活性ガスを選択することにより)加工ガス混合物の電気陰性度を容易に変更しうる。好ましい実施態様における離れた内側と外側のソレノイド間の最大の隙間は、以下の利点を提供する。
【0022】
(1)最大の均一性制御及び調節、
(2)内側と外側のソレノイド間の最大の隔離、これは、一つのソレノイドと他のソレノイド間の干渉を防ぐ、及び
(3)天井板の温度制御を最適化するため、温度制御要素のための(内側及び外側のソレノイド間の)天井板上の最大の隙間。
【0023】
図4は、短い加工物−天井板間のギャップを有する電磁結合RFプラズマリアクターの単一のソレノイドを用いた実施態様を説明しており(ただし、これは最適の実施態様ではない)、そこでは、誘導場の表皮深度はおよそギャップ長である。本明細書中で理解されているように、およそギャップ長である表皮深度は、ギャップ長の10の係数内(すなわち、ギャップ長の約1/10と約10倍の間)である。図9は、電磁結合RFプラズマリアクターの二連のソレノイドの実施態様を説明しており、そして本発明の好ましい実施態様である。二連のソレノイドである特徴を除いては、図4及び図9の実施態様のリアクター槽構造はほとんど同じである。以下、図4を参照して説明する。リアクター槽は、図1のそれと同様の筒状の槽40を含むが、図4の槽は非平面コイルアンテナ42を有し、そのアンテナの巻き線44はアンテナ対称軸46の近くで非平面様式にて密に集中されている。説明している実施態様においては、巻き線44は対称であり、そしてそれらの対称軸46は槽の中心軸と一致しているが、本発明では異なって実施することも可能である。たとえば、巻き線は対称でなくてもよく、及び/又はそれらの対称軸は一致しなくても良い。しかしながら、対称なアンテナの場合、アンテナは、槽の中心又は加工物中心と一致するアンテナの対称軸46の近くに放射パターンの空を有する。中心軸46の周りに巻き線44を密に集中させることは、この空を補償し、そしてソレノイドのおのおのが槽中心軸46から最小の距離となるようなソレノイドの様式にて、巻き線44を垂直に積み重ねることによって達成される。このことは、図3(d)及び(e)を参照して上記で議論したように、プラズマイオン密度が、短い加工物−天井板間の高さにおいて最も弱い場合に、槽中心軸46の近くでの電流(I)及びコイルの巻き(N)の積を増加させる。結果として、非平面コイルアンテナ42に供給されるRF電流は、ウエハ中心にて(つまり、アンテナ対称軸46にて)より大きな誘導[d/dt][N・I]を生じさせ、それ故、その領域においてより大きなプラズマイオン密度を生じさせ、得られたプラズマイオン密度は小さな加工物−天井板間の高さにもかかわらず、ほとんど均一である。従って、本発明は、加工の均一性を犠牲にすることなしに、プラズマ加工性能を高めるための天井板の高さを減少する方法を提供する。
【0024】
図5は、図4及び図9の実施態様において用いられる巻き線の好ましい具体化態様をよく示したものである。巻き線44を少なくとも加工物56の平面にほぼ平行にするために、巻き線は好ましくは、通常の螺旋様式にて巻かれるのではなく、その代わりに、個々の巻きは、(一つの水平平面から次の水平平面への)巻きと巻きとの間の段又は移動44aの箇所を除いては、加工物56の(水平方向の)平面と平行である。
【0025】
筒状の槽40は、筒状の側壁50及び側壁50と一体成形された円形の天井板52からなり、側壁50及び天井板52は、物質、例えば珪素の単一の部品を構成している。しかしながら、本発明は、本明細書中で後述するように、部品として成形された側壁50と天井板52を用いて行うことも可能である。円形の天井板52は、いずれの適した横断面の形状、例えば、平面(図4)、ドーム(図6)、円錐(図7)、円錐台(図8)、筒状、或いはこのような形状の組み合わせ又は回転曲線でもよい。このような組み合わせは本明細書で後述する。一般には、ソレノイド42の垂直ピッチ(すなわち、垂直方向の高さを水平方向の幅で割った値)が、天井板が3次元表面、たとえばドーム、円錐、円錐台等を定める場合でも、天井板52の垂直ピッチを超える。このことの目的は、少なくとも好ましい実施態様においては、本明細書で既に議論したように、アンテナ対称軸近くでアンテナの誘導を集中させるためである。天井板52のピッチを超えるピッチを有するソレノイドは、本明細書中では非適合ソレノイドと言い、一般には、その形状が天井の形状と適合していない、特にはその垂直ピッチが天井の垂直ピッチを超えるという意味である。2次元のまたは平らな天井板は、垂直ピッチが0であり、一方3次元の天井板は0でない垂直ピッチを有する。
【0026】
槽40の底にある台座54は、加工処理中加工物支持板中の平面の加工物56を支持する。加工物56は、典型的には半導体ウエハであり、そして加工物支持平面は、一般にはウエハ又は加工物56の平面である。槽40は、環状通路58を通じて槽40のより低い部分を取り巻いているポンプ環帯(annulus)60へとポンプ(図中には示さず)で減圧される。ポンプ環帯の内側は、置換可能な金属ライナー60aで裏付けされうる。環状通路58は、筒状の側壁50の底の端50aと台座54を取り巻いている平面環62によって定められている。処理ガスは、いずれかの又は全ての種々のガス供給路を通じて槽40内に供給される。加工物中心付近で処理ガス流を制御するために、中心のガス供給路64aは、天井板52の中心を通じて加工物56の中心(又は加工物支持平面の中心)へと、下側に向かって延ばされうる。加工物円周近傍(又は加工物支持平面の円周近傍)のガス流を制御するために、中心ガス原料64aとは別個に制御されうる複数の放射ガス供給路64bが、側壁50から加工物円周へと(または加工物支持平面円周へと)半径方向の内部へと向かって延ばされ、また底の軸ガス供給路64cは、台座54の近くから加工物の円周へと上に向かって延ばされ、また天井の軸ガス供給路64dは、天井板52から加工物円周へと向かって下側に延ばされうる。加工物の中心及び円周のエッチング率は、中心ガス供給路64a及び外側のガス供給路64b−dのいずれかを通じての加工物の中心及び円周への加工ガスの流速をそれぞれ制御することによって、加工物を横切って半径方向により均一なエッチング率分布を達成するためにお互いとの関係で個々に調節されうる。本発明のこの特徴は、中心ガス供給路64a及び円周ガス供給路64b−dのたった一つを用いても行われうる。
【0027】
ソレノイドコイルアンテナ42は、中心ガス供給路64aを取り囲むハウジング66の周りに巻かれている。プラズマ源RF電源68はコイルアンテナ42の全域に連結されており、そしてバイアスRF電源70は台座54に連結されている。
【0028】
天井板52の中心領域への頭上コイルアンテナ42の局限は、占められていない天井板52の上部表面の大きな部分を残し、それ故、例えば、複数の、タングステンハロゲンランプ等の放射ヒーター72、及び水冷冷却板74を含む温度調節装置との直接の接触を可能としている。水冷冷却板は、例えば銅又はアルミニウムから形成されることができ、そしてそこを通って延びる冷却剤通路74aを有する。好ましくは、冷却剤通路74aは、アンテナ又はソレノイド42が電気的負荷でダウンするのを避けるため、高い熱伝導率を有するが低い電気伝導率を有する種々の公知の冷却剤のうちのいずれかを含む。冷却板74は天井板52の定常的な冷却を提供し、一方、放射ヒーター72の最大パワーは、もし必要なら、冷却板74による冷却に打ち勝つように選択され、天井板52の敏感かつ安定な温度制御を容易なものとしている。ヒーター72によって照射される大きな天井板領域は、温度制御のより大きな均一性及び効率性を提供している。(本発明を実施するには放射ヒーターは必須ではなく、そして熟練作業員は、本明細書で後述するように代わりに電気的な加熱要素を選択しうるということを注記しておく。)もし、天井板52が珪素であれば、同時係属している米国特許出願番号08/597577(Kennth S. Collinsらによって1996年2月2日に出願された)に開示されているように、そのときは、天井板を横切っての温度制御の均一性及び効率性が増加することによって得られる著しい利点がある。特に、ポリマー前駆体及び腐食剤前駆体加工ガス(例えば、フルオロカーボンガス)が用いられ、そして腐食剤(たとえばフッ素)が除去されなければならない場合は、天井板52全体を横切るポリマーの堆積の速度及び/又は天井板52がフッ素腐食剤スカベンジャー物質(珪素)をプラズマ中に供給する速度は、温度制御ヒーター72との天井板52の接触領域を増加させることによりより良好に制御される。ソレノイド巻き線42は天井板52の中心軸において集中されているので、ソレノイドアンテナ42は天井板52の上の利用可能な接触領域を増加させる。
【0029】
熱接触のための天井板52の上の利用可能な領域の増加は、高い熱伝導性の円環体75(セラミック、例えば、アルミニウム窒化物、アルミニウム酸化物又は珪素窒化物、又はわずかにドープされた又はドープされていない非セラミック様の珪素から作られる)により、好ましい実施化例おいて活用されている。前記円環体の底面は、天井板52上におかれており、その上面は冷却板74を支持している。円環体75の一つの特徴は、それがソレノイド42の上部の上の適当な位置に冷却板を配置することである。この特徴は、ソレノイド42及びプラズマ間の電磁結合の減少を実質的に緩和する又はほとんど除去する。さもなければ、冷却板74の誘電板のすぐ近くからソレノイド42へと起こるであろう。このような電磁結合の減少を防ぐために、冷却板74とソレノイド42の上部の巻き線間の距離は、少なくともソレノイド42の全高に対して有意の比(例えば2分の1)であるのが好ましい。円環体75を通って延びる複数の軸穴75aは、2つの同心円に沿って空間を空けておかれ、複数の放射ヒーター又はランプ72を保持しており、そしてそれらが直接天井板52を照射できるようにしている。ランプ効率を最も大きくするために、穴の内部表面は、反射層(たとえばアルミニウム層)で裏付けされうる。図4の中心ガス供給路64aは、特定のリアクター槽設計及び加工条件に応じて、放射ヒーター72によって置換されうる(図9中に示す)。天井板温度は、ランプヒーター72で使われていない穴75aの一つを通じて延びるセンサー、例えば、熱電対76によって検知される。良好な熱接触のために、高い熱伝導率のエラストマー73、例えばホウ素窒化物が含浸されたシリコンゴムが、セラミック円環体75と銅冷却板74の間及びセラミック円環体75と珪素天井板52の間に配置される。
【0030】
上記で引用した同時係属している出願中に開示されているように、槽40は、全て半導体の槽であることができ、そこでは、天井板52及び側壁50は共に半導体物質、例えば珪素である。上記で引用した同時係属している出願中に開示されているように、天井板52又は壁50の温度の制御及び天井板52又は壁50へ供給されるRFバイアス電流は、フッ素スカベンジャー前駆体物質(珪素)をプラズマ中へ供給する程度、又はポリマーでコートされる程度を制御する。天井板52の物質は、珪素に限定されず、それは、珪素炭化物、珪素二酸化物(石英)、珪素窒化物又はセラミックでもよい。
【0031】
上記で引用した同時係属している出願中に開示されているように、槽壁又は天井板50、52は、フッ素スカベンジャー物質の供給源として用いられる必要はない。代わりに、使い捨ての珪素部材が槽40の内側におかれ、そして、その上でのポリマー縮合を防ぎ、かつ珪素物質がフッ素スカベンジャー物質としてそこからプラズマ中へと除かれるように十分い高い温度に維持されうる。この場合は、壁50及び天井板52は、珪素である必要はなく、また、もしそれらが珪素であればそれらはポリマー縮合温度(及び/又はポリマー縮合RFバイアスしきい値)に近い又はそれ以下の温度(及び/又はRFバイアス)に維持されて、それらは、消耗から保護されるためにプラズマ中のポリマーでコートされる。使い捨ての珪素部材はいずれの適当な形状も取りうるが、図4の実施態様では、この使い捨ての珪素部材は、台座54を取り囲む環状環62である。好ましくは、環状環62は、高い純度の珪素であり、そしてその電気的又は光学的性質を変えるためにドープされうる。プラズマ処理中における好ましい参与(たとえば、フッ素を捕獲するためのプラズマ中への珪素物質の寄与)を確かなものとすべく珪素環62を十分な温度に維持するために、環状環62のもとで円形に配置された複数の放射ヒーター77(例えば、タングステンハロゲンランプヒーター)が、石英窓78を通して珪素環62を加熱する。上記で引用した同時係属している出願中に記載されているように、ヒーター77は、温度センサー79によって検知された珪素環62の測定された温度に従って制御される。温度センサーは、リモートセンサー、例えば光学高温計又はフッ素光学プローブであり得る。センサー79は、環62中の非常に深い穴62aに部分的に延びることができ(ここで、穴の深さ及び狭さは、珪素環62の温度放射率の温度依存的な変化を少なくとも部分的に遮断する傾向がある)、より信頼できる温度測定のために、より放熱器の様に振る舞う。
【0032】
上記で引用した米国特許出願番号08/597577中に記載されているように、全てが半導体の槽であることの利点は、例えば、プラズマが、金属等の物質を生じる不純物と接触しないということである。この目的のために、環状開口58に隣接したプラズマ閉じ込めマグネット80、82が、ポンプ環帯60中へのプラズマの流れを防ぐ又は減少する。ポリマー前駆体及び/又は活性種がポンプ環帯60中へ入り込むのに成功する程度までは、例えば、引用した同時係属している出願中に開示されているように、ライナー60aをポリマー縮合温度より非常に低い温度に維持することにより、置換可能な内側のライナー60a上にできたポリマー又は不純物の沈積物が、プラズマ槽40中へ再入するのを防ぐことができる。
【0033】
ポンプ環帯60の外側壁に通じているウエハスリットバルブ84により、ウエハが出入りできるようになっている。槽40とポンプ環帯60間の環状開口58は、筒状の側壁50の底の端50aの傾斜によってウエハスリットバルブ84に近いほど大きくなっておりそして反対側ほど小さくなっていて、非対称的なポンプ部の配置を伴って、槽の圧力分布がより対照的となるようにしてある。
【0034】
槽中心軸46近くの最大のインダクタンスは、垂直に積み重ねられたソレノイドの巻き線44によって達成される。図4の実施態様においては、垂直に積み重ねられた巻き線44の外側であって、底のソレノイドの巻き線44bの水平面に他の巻き線45が追加されることができる。ただし、追加の巻き線45は、底のソレノイド巻き線44bに近接している。
【0035】
ここで特に、図9の好ましい二連のソレノイドの実施態様を参照すると、外側の位置に(すなわち、熱誘電円環体75の外側環境の表面に対向して)巻き線92の第二の外側の垂直な積み重ね又はソレノイド90を、ソレノイドの巻き線44の垂直に積み重ねられた内側から半径距離δRにて配置する。図9においては、中心に対する内側のソレノイドアンテナ42及び円周に対する外側のソレノイドアンテナ90の局限が、温度制御装置(図4中での72、74、75)と直接接触するために利用可能な大きな部分を天井板52の上部表面に残す。天井板52と温度制御装置間のより大きな表面積での接触は、より効率的なかつより均一な天井板52の温度制御を提供するので有利である。
【0036】
側壁50と天井板52が、例えば12.6インチ(32cm)の内径で、珪素の単一部品から作られているリアクター槽では、ウエハ−天井板間のギャップは3インチ(7.5cm)であり、そして内側のソレノイドの平均直径は3.75インチ(9.3cm)で一方外側のソレノイドの平均直径は11.75インチ(29.3cm)であり、それらは、直径が3/16インチで0.03の薄いテフロン絶縁層で覆われた中空の銅管を用い、各ソレノイドは4つの巻き及び1インチ(2.54cm)の高さからなる。外側の積み重ねまたはソレノイド90は、第二の独立に制御されうるプラズマ源RF電源96によって電圧が加えられる。その目的は、ウエハ表面を横切る公知の加工法の非均一性を補う(これが著しい利点である)ために、加工物又はウエハ56に対して種々の半径位置にて供給される種々のユーザーが選択可能なプラズマ供給電流レベルを可能とするためである。独立して制御可能な中心ガス供給路64aと円周のガス供給路64b−dとの組み合わせにおいては、内側のソレノイド42に供給されるRF電流を外側のソレノイド90に供給されるRF電流に対して調節し、かつ中心ガス供給路64aを通るガス流速を外側のガス供給路64b−dを通るガス流速に対して調節することにより、加工物中心でのエッチング性能が、端でのエッチング性能に対して調整されうる。本発明が、上記した誘導場における中心の空又は穴(dig)の問題を解決するか又は少なくとも改善する一方、他のプラズマ加工での非均一性の問題は存在するかもしれず、これらは内側と外側のアンテナ42、90に供給される相対的なRF電源レベルを調節することにより、図9の多用途の実施態様において補償されうる。より便利にこの目的を達成するために、内側及び外側のソレノイド42、90のためのおのおののRF電源68、96は、一つの共通の電源97a及び電流スプリッタに置き換えることができる。電流スプリッタ97bは、内側と外側のソレノイド42、90の場の間の固定された相の関係は維持したまま、内側と外側のソレノイド42、90間の電流の相対的な配分をユーザーが変更することを可能とする。このことは、2つのソレノイド42、90が、同じ周波数にてRF電流を受ける場合に特に重要である。あるいは、もし2つの独立した電源68、96が用いられるときは、それらは異なったRF周波数にて電流を供給されることがあり得、その場合は、2つのソレノイド間のカップリングからのオフ周波数のフィードバックを避けるために、各RF電源68、96の出力口にてRFフィルターが取り付けられるのが好ましい。この場合は、周波数の違いは、2つのソレノイド間の結合を平均時間でタイムアウトするのに十分であり、さらに、RFフィルターの不合格判定帯域幅を超えるべきである。他の選択は、各周波数を、それぞれのソレノイドに別個に共鳴的に合わせることであり、そして各周波数は、慣用のインピーダンスマッチング技術の代わりに、プラズマインピーダンスにて変化に続くために種々変更されることができ(それによって、共鳴が維持される)。言い換えれば、アンテナに供給されるRF周波数は、槽中のプラズマのインピーダンスにより負荷されながらアンテナの共鳴周波数に続くようにされている。このような実行においては、2つのソレノイドの周波数範囲は、お互いに排他的であるべきである。しかしながら、好ましくは、2つのソレノイドは、同じRF周波数で動かされており、そしてこの場合は、2つのソレノイドの間の相の関係は、2つのソレノイドの場の構造上の相互作用又は重ね合わせを起こすようなものであるのが好ましい。一般に、もしソレノイドが共に同じ向きに巻かれていた場合は、この要求は、2つのソレノイドに供給されるシグナル間の位相角が零によって満たされる。或いは、もしソレノイドが反対方向に巻かれていた場合は、位相角は、好ましくは180℃である。いずれの場合も、本明細書中で以下で議論するように、内側及び外側のソレノイド間の結合が、内側及び外側のソレノイド42、90間に比較的大きな空間を有することにより最小化される又は排除されることができる。
【0037】
このような調節によって達成できる範囲は、内側及び外側のソレノイド42、90間の空間を増加させるために、外側のソレノイド90の半径を増加させることによって増加され、2つのソレノイド42、90の効果は、それぞれ中心及び端において加工物に対してさらに閉じ込められる。このことは、2つのソレノイド42、90の効果を重ね合わせることでさらに大きな範囲の制御を可能とする。例えば、内側のソレノイド42の半径は、加工物の半径の約半分を超えるべきでなく、好ましくは加工物の半径の約3分の1を超えない。(内側のソレノイド42の最小半径は、一部がソレノイド42を形成する伝導体の直径によって、そして一部がインダクタンスを発生させるべくアーチ形の、例えば円形の電流の流れのために限定された非零位の周囲を提供する必要性によって影響される。)外側のコイル90の半径は、少なくとも加工物の半径と同じであるべきであり、好ましくは加工物の半径の1.5倍又はそれ以上である。このような構成にて、内側及び外側のソレノイド42、90のそれぞれの中心及び端の影響が、内側のソレノイドへの電流の増加によりまさに判断されるので、槽圧は、均一なプラズマを提供しつつ数百mTにまで上げられることができ、そして外側のソレノイド90への電流を増加させることにより槽圧は均一なプラズマを維持しつつ0.01mTのオーダーまで減少されうる。外側のソレノイド90のこのような大きな半径の他の利点は、内側及び外側のソレノイド42、90間の連結を最小化することである。
【0038】
図9は、第三のソレノイド92が任意な構成として追加されうることを破線によって示しており、非常に大きな槽直径を望むことができる。
【0039】
図10は、図9の実施態様の変形を示しており、そこでは、外側のソレノイド90は、平面の巻き線100によって置換されている。
【0040】
図11は、図4の実施態様の変形を示しており、そこでは、中心のソレノイドの巻き線は、巻き線44の垂直の積み重ね42ばかりでなく、さらに第一の積み重ね42に近接した第二の巻き線104の垂直の積み重ね102を含み、2つの積み重ねが二重に巻かれたソレノイド106を構成している。図12を参照すると、二重に巻かれたソレノイド106は、2つの別個に巻かれた単一のソレノイド41、102からなることができ、内側のソレノイド42は、巻き線44a、44b等からなり、そして外側のソレノイド102は、巻き線104a、104b等からなる。あるいは、図13を参照すると、二重に巻かれたソレノイド106は、垂直に積み重ねられた少なくともほぼ同じの平面を共有する巻き線の対からなりうる。代替の図13においては、ほぼ同じ平面を共有する巻き線の各対(例えば、44a、104aの対、又は44b、104bの対)は、単一の伝導体を螺旋状に巻くことにより形成されうる。本明細書中で用いる「二重に巻かれた」なる語は、図12又は図13のいずれかに示されているタイプの巻き線を意味する。さらに、ソレノイドの巻き線は、単に二重に巻かれるだけでなく、三重又はそれ以上に巻かれることができ、そして一般には、対称軸に沿って各平面にて複数の巻きからなることができる。このような複数に巻かれたソレノイドは、図9の二連ソレノイドの実施態様の内側及び外側のソレノイド42、90のいずれか又は両方に用いられ得る。
【0041】
図14は、図11の実施態様の変形を示しており、そこでは、内側の二重に巻かれたソレノイド106と同心の外側の二重に巻かれたソレノイド110は、内側のソレノイド106からδRの半径距離にて配置されている。
【0042】
図15は、図14の実施態様の変形を示しており、そこでは、外側の二重に巻かれたソレノイド110は、図9の実施態様において用いられた外側のソレノイドに対応する通常の外側のソレノイド112によって置換されている。
【0043】
図16は、他の好ましい実施態様を示しており、そこでは、図9のソレノイド42が中心ガス供給路ハウジング66からδRの半径距離に置き換えられた位置にて配置されている。図4の実施態様においてはδRは0であり、一方、図16の実施態様においてはδRは筒状の側壁50の半径に対してかなりの比、好ましくは少なくとも半分、である。図16に示されている程度までδRを増加させることは、図4、9,11及び14の実施態様において、図3(d)及び(e)を参照して述べたプラズマイオン密度における通常の中心穴に加えてさらに非均一性を補償するために、代替方法として有用であり得る。同様に、図16の実施態様は、(図4と同じように)槽中心軸46から最小の距離にソレノイド42を配置することは、ウエハ56の中心近くのプラズマイオン密度中の通常の穴を過剰修正するほどにウエハの中心近くのプラズマイオン密度を増加させ、そして、さらにプラズマ加工の作用において他の非均一性を作り出す。このような場合において、図16の実施態様は、プラズマイオン密度の最も大きな均一性を提供するようにδRが最適値で選択されており好ましい。理想的には、この場合は、プラズマイオン密度における通常の中心穴を過小修正又は過剰修正するのをともに避けるように選択される。δRの最適値の決定は、種々の半径位置にソレノイド42を配置しそして慣用の技術を用いてプラズマイオン密度の半径方向のプロファイルを決定するという熟練した作業員による試行錯誤により成されうる。
【0044】
図17はソレノイド42が逆円錐形である実施態様を示しており、一方、図18はソレノイド42が直立した円錐形である実施態様を示している。
【0045】
図19は、ソレノイド42が、平面の螺旋状に巻かれた巻き線120と組み合わされている実施態様を示している。平面状の螺旋状巻き線は、RF電流の一部を中心から幾分離れて配分させることにより、ソレノイド巻き線42が加工物の中心近くに誘導場を集中させるという困難を減少するという効果を有する。この特徴は、通常の中心の空を、過剰修正することを避けることが必要な場合に有用である。中心から離れての誘導場のこのような転用の程度は、平面状の螺旋状巻き線120の半径に対応する。図20は、図13の実施態様の変形を示しており、そこでは、ソレノイド42は、図17と同様に、逆円錐形である。図21は、図19の実施態様の変形を示しており、そこでは、ソレノイド42は、図18の実施態様と同様に、直立した円錐形である。
【0046】
天井板52上のRF電位は、たとえばその上へのポリマーの堆積を防ぐために、その有効容量性の電極面積を槽の他の電極(例えば、加工物及び側壁)に対して減少させることにより増加されうる。図22は、天井板のより小さな面積52’を外側の環帯200上に保持し、外側の環帯200からより小さな面積の天井板52’を隔離することにより、このことが達成できることを示している。環帯200は、天井板52’と同じ物質(たとえば珪素)から作られることができ、そして、円錐台形(破線で示した)又は先端が切り取られたドーム形(実線で示した)でありうる。別個のRF電源205が、環帯200に連結されて、加工物の中心と円周の加工の調節をより可能にしうる。
【0047】
図23は、図9の実施態様の変形を示しており、そこでは、天井板52及び側壁50は、お互いに隔離された別々の半導体(例えば珪素)部品からなり、それぞれのRF供給源210、212から供給される別々に制御されたRFバイアス電流レベルを有し、中心のエッチング率及び円周に対する選択性の制御を高めている。上記で引用した米国特許出願番号08/597577号明細書(1996年、2月2日、Kenneth S. Collinsらによって出願された)にかなり詳細に記載されているように、天井板52は、ドープされた半導体(例えば珪素)物質であることができ、それは、それに供給されたRFバイアス電流を槽40中へと容量的に結合する電極として、そして同時にそこを通って、ソレノイド42に供給されたRF電流が槽40中に誘導的に連結されうるための窓として働きうる。このような、窓−電極の利点は、RF電位が、(例えば、イオンエネルギーの制御のために)ウエハ56の真上に形成できることであり、同時にウエハ56の真上に誘導的カップリングRF電流が形成できることである。この後者の性質は、別々に制御された内側及び外側のソレノイド42、90及び中心及び円周のガス供給路64a、64b−dと組み合わされて、最適な均一性を達成するために、種々のプラズマ加工パラメーター、例えば、加工物の端に対する加工物の中心のイオン密度、イオンエネルギー、エッチング速度及びエッチング選択制を調節する能力を非常に高める。この組み合わせにて、個々のガス供給路を通じてのそれぞれのガス流速は、個々にかつ別個に制御されて、プラズマ加工パラメーターのこのような最適の均一性を達成する。
【0048】
図23は、ランプヒーター72が電気的加熱要素72’によってどのように置換されうるかを示している。図4の実施態様と同様に、使い捨ての珪素部材は、台座54を取り囲む環状環62である。
【0049】
図24は、他の変形を説明しており、そこでは、天井板52それ自身は、内側の板52aと外側の環帯52bへと分割されることができ、それらは、互いに電気的に絶縁(隔離)されており、そして別々のRF電源214、216(これらは、単一の独立に制御されるRF電源の別個の出力でありうる)によって別個にバイアスされている。
【0050】
他の実施態様に従って、図23及び図24に示されたユーザーが利用しやすい中央制御器300、たとえば、慣用のマイクロプロセッサー及びメモリ等を含むプログラム可能な電気制御器が、中心ガス供給路64a及び円周のガス供給路64b−dを通じてのガス流速、内側及び外側のソレノイド42、90に供給されるRFプラズマ源電流レベル、天井板52及び側壁50にそれぞれ供給されるRFバイアス電流レベル(図23)及び内側及び外側の天井板部分52a、52bに供給されるRFバイアス電流レベル(図24)、天井板52の温度及び珪素環62の温度を同時に制御するために連結される。天井板温度制御器218は、天井板温度センサー76により測定された温度を制御器218に記憶された所望の温度と比較することにより、電源220によってヒーター72’に供給される電流を管理する。環温度制御器222は、環センサー79により測定された環温度を制御器222に記憶された所望の環温度と比較することにより、電源224によってヒーターランプ77に供給される電流を制御する。中央制御器300は、温度制御器218及び222の所望の温度、ソレノイド電流源68、96のRF電流レベル、バイアス電流源210、212(図23)及び214、216(図24)のRF電流レベル、RF電流源70により供給されるウエハバイアスレベル及び種々のガス供給源(又は別々のバルブ)によりガス注入口64a−dへと供給されるガス流速を管理する。ウエハバイアスレベルを制御することの重要な点は、ウエハ台座54と天井板52間のRF電位差である。従って、台座RF電流源70又は天井板RF電流源210のいずれかが、単にRFアースへの短絡でありうる。このようなプラグラム可能な一体型制御器を用いて、ユーザーは、RF源電流、RFバイアス電流及びガス流速の、加工物中心及び円周間での配分を容易に最適化でき、加工物の表面を横切る最も大きな中心−円周加工均一性(例えば、エッチング率及びエッチング選択性の均一な半径方向の分布)を達成できる。また、台座54と天井板52間のRF電流差に対してソレノイド42、90に供給されるRF電流を(制御器300を通じて)調節することにより、ユーザーは、優れた誘導性の連結様式又は優れた容量性の連結様式でリアクター槽を操作できる。
【0051】
ソレノイド42、90、天井板52、側壁50(または、図24に示されている内側及び外側の天井板部分52a、52b)へ連結されている種々の電流源(図23に示されている)は、RF周波数にての操作として記載されているが、本発明は特定の周波数範囲に限定されず、RF以外の周波数も、本発明の実施において熟練した作業員により選択されうる。
【0052】
本発明の好ましい実施態様においては、高い熱伝導率のスペーサー75、天井板52及び側壁50が、結晶珪素の単一の部品から一体成形される。
【0053】
本発明は、複数の別個のRF源を用いて実施するように記載してきたけれども、
本明細書中に記載されたRF源のいくつか又は全てが、異なったRF電流レベルでの異なった出力を用いて、別個のRF発生器からの出力に由来してもよくまた共通のRF発生器からの出力に由来しても良い。また、可変の電流分配器にて作られた周波数及び相、周波数逓倍器及び/又は位相遅れも適当であり得る。さらに、本発明は、複数の別個の加工ガス供給を用いて実施するように記載してきたけれども、いくつかの又は全ての加工ガス供給が、複数の別々に制御されたガス注入口64へと分配される共通の加工ガス供給から由来してもよい。
【0054】
本発明を好ましい実施態様を特に参照して詳細に記載してきたが、それらの変更又は改変が、本発明の本質的な考え及び本発明の範囲から離れることなく行われうるということが理解される。
【0055】
【発明の効果】
本発明の電磁結合RFプラズマリアクターは、加工物−天井板間の高さを減少した場合に生じる加工物中心付近のプラズマイオン密度の空の問題を解決できる。その結果、本発明の装置を用いて、例えば半導体ウエハの種々の加工が可能である。
【図面の簡単な説明】
【図1】上記で引用した同時係属している米国特許出願中で用いられている、一般の平面コイルアンテナを用いた電磁結合プラズマリアクターの横断面図である。
【図2】圧(トル、水平軸)に対する、プラズマ中の誘導場表皮深度(cm、実線)及び電子−中性子の弾性衝突平均自由路長(破線)の対数−対数尺度で表したグラフである。
【図3】(a)は、図1のリアクター槽中の加工物中心からの半径位置に対するプラズマイオン密度のグラフで、加工物−天井板間の高さは4インチであり、曲線A及びBは、それぞれ外側及び内側のコイルアンテナにより作られるプラズマイオン密度に対応する。
(b)は、図1のリアクター槽中の加工物中心からの半径位置に対するプラズマイオン密度のグラフで、加工物−天井板間の高さは3インチであり、曲線A及びBは、それぞれ外側及び内側のコイルアンテナにより作られるプラズマイオン密度に対応する。
(c)は、図1のリアクター槽中の加工物中心からの半径位置に対するプラズマイオン密度のグラフで、加工物−天井板間の高さは2.5インチであり、曲線A及びBは、それぞれ外側及び内側のコイルアンテナにより作られるプラズマイオン密度に対応する。
(d)は、図1のリアクター槽中の加工物中心からの半径位置に対するプラズマイオン密度のグラフで、加工物−天井板間の高さは1.25インチであり、曲線A及びBは、それぞれ外側及び内側のコイルアンテナにより作られるプラズマイオン密度に対応する。
(e)は、図1のリアクター槽中の加工物中心からの半径位置に対するプラズマイオン密度のグラフで、加工物−天井板間の高さは0.8インチであり、曲線A及びBは、それぞれ外側及び内側のコイルアンテナにより作られるプラズマイオン密度に対応する。
【図4】単一の3次元の中心非平面ソレノイド巻き線を用いた本発明の実施態様に従ったプラズマリアクターの横断面図である。
【図5】ソレノイド巻き線の巻きの好ましい様式を示した、図4のリアクター槽の一部の拡大図である。
【図6】図4に対応するプラズマリアクターの横断面図である。ただし、ドーム型の天井板を有する。
【図7】図4に対応するプラズマリアクターの横断面図である。ただし、円錐形の天井板を有する。
【図8】図7に対応するプラズマリアクターの横断面図である。ただし、円錐台形の天井板を有する。
【図9】内側及び外側の垂直なソレノイド巻き線を用いた本発明の好ましい実施態様に従ったプラズマリアクターの横断面図である。
【図10】外側の巻き線が平らである、図9に対応する本発明の第二の実施態様に従ったプラズマリアクターの横断面図である。
【図11】中央のソレノイドの巻き線が複数の直立した筒状の巻き線からなる、図4に対応する本発明の第三の実施態様に従ったプラズマリアクターの横断面図である。
【図12】図11の実施態様の第一の器具の詳細図である。
【図13】図11の実施態様の第二の器具の詳細図である。
【図14】内側及び外側の巻き線が共に複数の直立した筒状巻き線からなる、図9に対応する本発明の第四の実施態様に従ったプラズマリアクターの横断面図である。
【図15】内側の巻き線が複数の直立した筒状巻き線からなりかつ外側の巻き線が単一の直立した筒状の巻き線からなる、図9に対応する本発明の第五の実施態様に従ったプラズマリアクター槽の横断面図である。
【図16】単一のソレノイド巻き線が最大のプラズマイオン密度均一性のための最適の半径位置に配置されている、本発明の第六の実施態様に従ったプラズマリアクターの横断面図である。
【図17】ソレノイド巻き線が逆円錐形である、図4に対応する本発明の第七の実施態様に従ったプラズマリアクターの横断面図である。
【図18】ソレノイド巻き線が直立した円錐形である、図4に対応する本発明の第八の実施態様に従ったプラズマリアクターの横断面図である。
【図19】ソレノイド巻き線が内側の直立した筒状の部分及び外側の平らな部分からなる、図4に対応する本発明の第九の実施態様に従ったプラズマリアクターの横断面図である。
【図20】ソレノイド巻き線が逆円錐形の部分及び平らな部分を共に含む、図16に対応する本発明の第十の実施態様に従ったプラズマリアクターの横断面図である。
【図21】ソレノイド巻き線が直立した円錐形の部分及び平らな部分を共に含む、図18に対応する本発明の第十の実施態様に従ったプラズマリアクターの横断面図である。
【図22】平面の、円錐形の及びドーム型の天井板要素を組み合わせて用いた本発明の他の実施態様を示す図である。
【図23】別々にバイアスされた珪素側壁及び天井板を用い、かつ電気的ヒーターを用いた本発明の他の実施態様を示す図である。
【図24】別々にバイアスされた内側及び外側の珪素天井板部分を用い、かつ電気的ヒーターを用いた本発明の他の実施態様を示す図である。
【符号の説明】
10,40・・リアクター槽、12,52・・天井板、14,50・・側壁、16,54・・台座、18,56・・加工物、20,24,42・・アンテナ、22,26・・プラズマRF電流発生器、42,90,106,110,112・・ソレノイド、44,45,92,100,104,120・・巻き線、46・・アンテナ対称軸、56・・ウエハ、58・・環状通路、60,200・・環帯、62・・平面環、64・・ガス供給路、68,96・・プラズマ源RF電源、70・・バイアスRF電源、72・・ヒーター、74・・冷却板、75・・円環体、76・・熱電対、73・・エラストマー、78・・窓、79・・温度センサー、80,82・・マグネット、84・・バルブ、97a・・RF電源、97b・・電流スプリッタ、210,212,214,216・・RF電源、218,222・・温度制御器、220・・加熱電源、300・・中央制御器。

Claims (6)

  1. プラズマリアクター槽と、加工中、該槽内で加工物支持平面に加工物を保持するための加工物支持体であって、該槽は、前記支持平面に対向する平坦な天井板を有するリアクター囲壁部を備える、該加工物支持体と、
    前記天井板に対向する複数の誘導アンテナであって、前記槽に電力を結合するように適合されている、該複数の誘導アンテナと、
    前記複数の誘導アンテナに結合されたRFプラズマ源電源と、
    を備え、
    前記複数の誘導アンテナは、内側の誘導アンテナと外側の誘導アンテナを含み、
    前記内側の誘導アンテナは、前記支持平面から伸びた軸に沿って垂直に積み重ねられた複数の巻き線を備え、
    前記外側の誘導アンテナは、垂直に積み上げられておらず、
    前記内側の誘導アンテナと前記外側の誘導アンテナは、同心であり、該内側の誘導アンテナと該外側の誘導アンテナとの間に空間を形成するように離間して設けられており、
    前記内側の誘導アンテナと前記外側の誘導アンテナとの間の前記空間において前記天井板に設けられた温度制御要素を更に備える、
    プラズマリアクター。
  2. 前記RFプラズマ源電源は、異なるRF電力レベルを前記複数の誘導アンテナのうち異なる誘導アンテナに印加する能力を有する、請求項1記載のリアクター。
  3. 前記少なくとも一つ誘導アンテナの高さを該少なくとも一つの誘導アンテナの幅で割った値が、前記天井板の高さを該天井板の幅で割った値を超える、請求項1記載のリアクター。
  4. 前記外側の誘導アンテナは、前記支持平面を横切る方向に空間を空けて配置された巻回部を備える、請求項記載のリアクター。
  5. 前記複数の誘導アンテナは、前記天井板の上に位置し、前記槽の外側に配置されている、請求項1記載のリアクター。
  6. 前記支持平面の中心及び円周の近くに、各々がガス注入口を有する独立した複数のガス供給路を含む請求項1に記載のリアクター。
JP12267997A 1996-05-13 1997-05-13 上部にソレノイドアンテナを有する電磁結合rfプラズマリアクター Expired - Lifetime JP4236294B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/648254 1996-05-13
US08/648,254 US6165311A (en) 1991-06-27 1996-05-13 Inductively coupled RF plasma reactor having an overhead solenoidal antenna

Publications (2)

Publication Number Publication Date
JPH1092598A JPH1092598A (ja) 1998-04-10
JP4236294B2 true JP4236294B2 (ja) 2009-03-11

Family

ID=24600054

Family Applications (1)

Application Number Title Priority Date Filing Date
JP12267997A Expired - Lifetime JP4236294B2 (ja) 1996-05-13 1997-05-13 上部にソレノイドアンテナを有する電磁結合rfプラズマリアクター

Country Status (5)

Country Link
US (4) US6165311A (ja)
EP (1) EP0807953A1 (ja)
JP (1) JP4236294B2 (ja)
KR (1) KR970077318A (ja)
TW (1) TW329018B (ja)

Families Citing this family (135)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US6231776B1 (en) 1995-12-04 2001-05-15 Daniel L. Flamm Multi-temperature processing
US6534922B2 (en) * 1996-09-27 2003-03-18 Surface Technology Systems, Plc Plasma processing apparatus
US6028395A (en) * 1997-09-16 2000-02-22 Lam Research Corporation Vacuum plasma processor having coil with added conducting segments to its peripheral part
US6123862A (en) 1998-04-24 2000-09-26 Micron Technology, Inc. Method of forming high aspect ratio apertures
JP3567736B2 (ja) * 1998-05-25 2004-09-22 株式会社日立製作所 プラズマ処理装置
JP4014300B2 (ja) * 1998-06-19 2007-11-28 東京エレクトロン株式会社 プラズマ処理装置
EP1125314A1 (en) 1998-07-10 2001-08-22 Applied Materials, Inc. Improved endpoint detection for substrate fabrication processes
JP2000315598A (ja) * 1999-03-03 2000-11-14 Anelva Corp プラズマ処理装置
JP3959200B2 (ja) * 1999-03-19 2007-08-15 株式会社東芝 半導体装置の製造装置
KR100338057B1 (ko) * 1999-08-26 2002-05-24 황 철 주 유도 결합형 플라즈마 발생용 안테나 장치
US6320320B1 (en) * 1999-11-15 2001-11-20 Lam Research Corporation Method and apparatus for producing uniform process rates
KR100581998B1 (ko) * 1999-11-17 2006-05-23 삼성전자주식회사 웨이퍼 식각장치
KR20010047103A (ko) * 1999-11-17 2001-06-15 윤종용 웨이퍼 식각장치
JP3645768B2 (ja) * 1999-12-07 2005-05-11 シャープ株式会社 プラズマプロセス装置
US6598559B1 (en) 2000-03-24 2003-07-29 Applied Materials, Inc. Temperature controlled chamber
US7096819B2 (en) * 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6527912B2 (en) * 2001-03-30 2003-03-04 Lam Research Corporation Stacked RF excitation coil for inductive plasma processor
US6554954B2 (en) 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
US6676760B2 (en) * 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
JP4209774B2 (ja) * 2001-09-28 2009-01-14 住友精密工業株式会社 シリコン基板のエッチング方法およびエッチング装置
US7100532B2 (en) * 2001-10-09 2006-09-05 Plasma Control Systems, Llc Plasma production device and method and RF driver circuit with adjustable duty cycle
US7084832B2 (en) * 2001-10-09 2006-08-01 Plasma Control Systems, Llc Plasma production device and method and RF driver circuit with adjustable duty cycle
US7132996B2 (en) * 2001-10-09 2006-11-07 Plasma Control Systems Llc Plasma production device and method and RF driver circuit
US6597117B2 (en) * 2001-11-30 2003-07-22 Samsung Austin Semiconductor, L.P. Plasma coil
US20030141178A1 (en) * 2002-01-30 2003-07-31 Applied Materials, Inc. Energizing gas for substrate processing with shockwaves
TWI241868B (en) * 2002-02-06 2005-10-11 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
US6737358B2 (en) * 2002-02-13 2004-05-18 Intel Corporation Plasma etching uniformity control
JP3820188B2 (ja) * 2002-06-19 2006-09-13 三菱重工業株式会社 プラズマ処理装置及びプラズマ処理方法
JP2004047696A (ja) * 2002-07-11 2004-02-12 Matsushita Electric Ind Co Ltd プラズマドーピング方法及び装置、整合回路
US20040018741A1 (en) * 2002-07-26 2004-01-29 Applied Materials, Inc. Method For Enhancing Critical Dimension Uniformity After Etch
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
KR100457844B1 (ko) * 2002-08-27 2004-11-18 삼성전자주식회사 반도체 장치의 식각 방법
US6837937B2 (en) * 2002-08-27 2005-01-04 Hitachi High-Technologies Corporation Plasma processing apparatus
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US6872909B2 (en) * 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
US6905624B2 (en) * 2003-07-07 2005-06-14 Applied Materials, Inc. Interferometric endpoint detection in a substrate etching process
US20050011459A1 (en) * 2003-07-15 2005-01-20 Heng Liu Chemical vapor deposition reactor
US20050178336A1 (en) * 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
US20050106873A1 (en) * 2003-08-15 2005-05-19 Hoffman Daniel J. Plasma chamber having multiple RF source frequencies
KR101038204B1 (ko) * 2004-02-25 2011-05-31 주성엔지니어링(주) 플라즈마 발생용 안테나
US20050227382A1 (en) * 2004-04-02 2005-10-13 Hui Angela T In-situ surface treatment for memory cell formation
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
DE602005024702D1 (de) * 2004-09-29 2010-12-23 Sekisui Chemical Co Ltd Plasmaverarbeitungssystem
US8328942B2 (en) * 2004-12-17 2012-12-11 Lam Research Corporation Wafer heating and temperature control by backside fluid injection
JP2006216903A (ja) * 2005-02-07 2006-08-17 Hitachi High-Technologies Corp プラズマ処理装置
JP2006237479A (ja) * 2005-02-28 2006-09-07 Mitsubishi Heavy Ind Ltd プラズマ処理装置
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
US7651587B2 (en) * 2005-08-11 2010-01-26 Applied Materials, Inc. Two-piece dome with separate RF coils for inductively coupled plasma reactors
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US8911590B2 (en) * 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
JP4782585B2 (ja) * 2006-02-28 2011-09-28 株式会社日立ハイテクノロジーズ プラズマエッチング装置及び方法
US20070249173A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch process using etch uniformity control by using compositionally independent gas feed
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US20070245961A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7264688B1 (en) 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070246161A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7541292B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US7540971B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US7431859B2 (en) * 2006-04-28 2008-10-07 Applied Materials, Inc. Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
CN101136279B (zh) * 2006-08-28 2010-05-12 北京北方微电子基地设备工艺研究中心有限责任公司 电感耦合线圈及电感耦合等离子体装置
US7845310B2 (en) * 2006-12-06 2010-12-07 Axcelis Technologies, Inc. Wide area radio frequency plasma apparatus for processing multiple substrates
US8444926B2 (en) 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
US8956500B2 (en) * 2007-04-24 2015-02-17 Applied Materials, Inc. Methods to eliminate “M-shape” etch rate profile in inductively coupled plasma reactor
US8216419B2 (en) * 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
US20090096349A1 (en) * 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
US20090004873A1 (en) * 2007-06-26 2009-01-01 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
US7832354B2 (en) * 2007-09-05 2010-11-16 Applied Materials, Inc. Cathode liner with wafer edge gas injection in a plasma reactor chamber
US7879250B2 (en) * 2007-09-05 2011-02-01 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
KR100881954B1 (ko) * 2007-11-09 2009-02-06 한국전자통신연구원 반응성 스퍼터링 증착 장치
US8999106B2 (en) * 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US20090162570A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Apparatus and method for processing a substrate using inductively coupled plasma technology
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US8062472B2 (en) * 2007-12-19 2011-11-22 Applied Materials, Inc. Method of correcting baseline skew by a novel motorized source coil assembly
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
US20090220865A1 (en) * 2008-02-29 2009-09-03 Applied Materials, Inc. Method and apparatus for source field shaping in a plasma etch reactor
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
TWI458850B (zh) * 2008-07-07 2014-11-01 Lam Res Corp 用來鑑定電漿處理腔室中之薄膜之特性的射頻偏壓電容耦合靜電探針裝置
WO2010005932A2 (en) * 2008-07-07 2010-01-14 Lam Research Corporation Plasma-facing probe arrangement including vacuum gap for use in a plasma processing chamber
TWI467623B (zh) 2008-07-07 2015-01-01 Lam Res Corp 於電漿處理系統之處理腔室內識別一穩定電漿的方法及裝置、及其電腦可讀儲存媒體
TWI460439B (zh) * 2008-07-07 2014-11-11 Lam Res Corp 用來辨識電漿處理系統之處理腔室內的解除吸附情形之信號擾動特性的方法及裝置、及其電腦可讀儲存媒體
KR101606736B1 (ko) * 2008-07-07 2016-03-28 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 플라즈마 불안정성을 검출하기 위한 패시브 용량성-결합된 정전식 (cce) 프로브 장치
US8159233B2 (en) 2008-07-07 2012-04-17 Lam Research Corporation Passive capacitively-coupled electrostatic (CCE) probe arrangement for detecting in-situ arcing events in a plasma processing chamber
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8627783B2 (en) * 2008-12-19 2014-01-14 Lam Research Corporation Combined wafer area pressure control and plasma confinement assembly
CN102405511B (zh) * 2009-04-20 2014-06-11 应用材料公司 使用处理腔室壁上的硅涂层增强清除残余的氟自由基的方法
WO2010124268A2 (en) * 2009-04-24 2010-10-28 Applied Materials, Inc. Substrate support having side gas outlets and methods
US20110120375A1 (en) * 2009-11-23 2011-05-26 Jusung Engineering Co., Ltd. Apparatus for processing substrate
US8591755B2 (en) * 2010-09-15 2013-11-26 Lam Research Corporation Methods for controlling plasma constituent flux and deposition during semiconductor fabrication and apparatus for implementing the same
WO2012082854A2 (en) * 2010-12-17 2012-06-21 Mattson Technology, Inc. Inductively coupled plasma source for plasma processing
US20120152900A1 (en) * 2010-12-20 2012-06-21 Applied Materials, Inc. Methods and apparatus for gas delivery into plasma processing chambers
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
JP5929429B2 (ja) * 2012-03-30 2016-06-08 東京エレクトロン株式会社 成膜装置
JP6011417B2 (ja) * 2012-06-15 2016-10-19 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
CN106304597B (zh) 2013-03-12 2019-05-10 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
WO2014149143A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Enhanced productivity for an etch system through polymer management
US9275869B2 (en) 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
SG11201600129XA (en) * 2013-08-09 2016-02-26 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
US20150162169A1 (en) * 2013-12-05 2015-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Etching apparatus and method
CN104743496B (zh) * 2013-12-29 2017-03-22 北京北方微电子基地设备工艺研究中心有限责任公司 深硅刻蚀方法和用于深硅刻蚀的设备
US10249511B2 (en) 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US10883168B2 (en) 2014-09-11 2021-01-05 Massachusetts Institute Of Technology Processing system for small substrates
CN104918401A (zh) * 2015-05-26 2015-09-16 山东专利工程总公司 一种感应耦合型等离子体处理装置
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) * 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10504720B2 (en) 2016-11-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Etching using chamber with top plate formed of non-oxygen containing material
US11424104B2 (en) 2017-04-24 2022-08-23 Applied Materials, Inc. Plasma reactor with electrode filaments extending from ceiling
US10510515B2 (en) 2017-06-22 2019-12-17 Applied Materials, Inc. Processing tool with electrically switched electrode assembly
US11114284B2 (en) * 2017-06-22 2021-09-07 Applied Materials, Inc. Plasma reactor with electrode array in ceiling
US11355321B2 (en) 2017-06-22 2022-06-07 Applied Materials, Inc. Plasma reactor with electrode assembly for moving substrate
JP7050090B2 (ja) * 2017-12-21 2022-04-07 東京エレクトロン株式会社 基板搬送装置
US11153960B1 (en) * 2018-06-08 2021-10-19 Innoveering, LLC Plasma-based electro-optical sensing and methods
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
KR102041518B1 (ko) * 2019-07-18 2019-11-06 에이피티씨 주식회사 분리형 플라즈마 소스 코일 및 이의 제어 방법
CN111638569B (zh) * 2020-07-17 2022-04-22 中国人民解放军空军工程大学 一种射频感性耦合等离子体叠加相位梯度超表面吸波结构
CN112011774B (zh) * 2020-08-25 2022-09-16 北京北方华创微电子装备有限公司 半导体设备及其半导体腔室以及半导体冷却方法
KR20220040804A (ko) 2020-09-24 2022-03-31 삼성전자주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
WO2023043768A1 (en) * 2021-09-20 2023-03-23 Lam Research Corporation Enclosure for mitigating rf power ramp up in icp source

Family Cites Families (142)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB231197A (en) 1924-03-24 1925-08-06 Peter August Nordling Improvement in hooks and the like
DE2518853C3 (de) * 1975-04-28 1979-03-22 Siemens Ag, 1000 Berlin Und 8000 Muenchen Vorrichtung zum Abscheiden von elementarem Silicium aus einem Reaktionsgas
GB1550853A (en) * 1975-10-06 1979-08-22 Hitachi Ltd Apparatus and process for plasma treatment
US4233109A (en) 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
JPS559464A (en) 1978-07-07 1980-01-23 Toshiba Corp Production method of bipolar integrated circuit containing i2 l
JPS55154582A (en) * 1979-05-21 1980-12-02 Chiyou Lsi Gijutsu Kenkyu Kumiai Gas plasma etching method
US4261762A (en) * 1979-09-14 1981-04-14 Eaton Corporation Method for conducting heat to or from an article being treated under vacuum
JPS6056431B2 (ja) * 1980-10-09 1985-12-10 三菱電機株式会社 プラズマエツチング装置
JPS57155732A (en) * 1981-03-20 1982-09-25 Sharp Corp Dry etching
US4368092A (en) 1981-04-02 1983-01-11 The Perkin-Elmer Corporation Apparatus for the etching for semiconductor devices
US4350578A (en) * 1981-05-11 1982-09-21 International Business Machines Corporation Cathode for etching
US4427516A (en) * 1981-08-24 1984-01-24 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
EP0082015A1 (en) * 1981-12-16 1983-06-22 Konica Corporation Method of forming an image with a photographic cuprous halide material
US4512391A (en) * 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4457359A (en) * 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4579080A (en) 1983-12-09 1986-04-01 Applied Materials, Inc. Induction heated reactor system for chemical vapor deposition
JPS6191377A (ja) * 1984-10-12 1986-05-09 Anelva Corp 表面処理装置
US4735902A (en) 1984-10-23 1988-04-05 Matti Siren Stabilized composition containing inositoltriphosphate
JPH07118474B2 (ja) * 1984-12-17 1995-12-18 ソニー株式会社 エツチングガス及びこれを用いたエツチング方法
JPS61147531A (ja) * 1984-12-21 1986-07-05 Toshiba Corp 反応性イオンエツチング方法
US4572759A (en) 1984-12-26 1986-02-25 Benzing Technology, Inc. Troide plasma reactor with magnetic enhancement
US4870245A (en) * 1985-04-01 1989-09-26 Motorola, Inc. Plasma enhanced thermal treatment apparatus
EP0221164B1 (en) * 1985-05-03 1990-10-24 The Australian National University Method and apparatus for producing large volume magnetoplasmas
JPS627268A (ja) 1985-07-03 1987-01-14 Nec Corp フアクシミリ装置
JPS6212129A (ja) * 1985-07-10 1987-01-21 Hitachi Ltd プラズマ処理装置
US4711698A (en) * 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
JPH0680650B2 (ja) 1986-04-23 1994-10-12 株式会社日立マイコンシステム 半導体集積回路装置の製造方法
JPS62254428A (ja) * 1986-04-28 1987-11-06 Nippon Telegr & Teleph Corp <Ntt> 反応性スパツタエツチング方法と反応性スパツタエツチング装置
DE3717985A1 (de) 1986-05-28 1987-12-03 Minolta Camera Kk Elektrochrome vorrichtung
JPS639120A (ja) * 1986-06-30 1988-01-14 Canon Inc ドライエツチング用ウエハステ−ジ
US4755345A (en) * 1986-08-01 1988-07-05 The United States Of America As Represented By The United States Department Of Energy Impedance matched, high-power, rf antenna for ion cyclotron resonance heating of a plasma
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4859908A (en) 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
DE3632340C2 (de) 1986-09-24 1998-01-15 Leybold Ag Induktiv angeregte Ionenquelle
KR900007687B1 (ko) 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US4756810A (en) * 1986-12-04 1988-07-12 Machine Technology, Inc. Deposition and planarizing methods and apparatus
GB8629634D0 (en) 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
JPS63155728A (ja) 1986-12-19 1988-06-28 Canon Inc プラズマ処理装置
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4793897A (en) * 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786359A (en) * 1987-06-24 1988-11-22 Tegal Corporation Xenon enhanced plasma etch
JPS6415928A (en) 1987-07-10 1989-01-19 Hitachi Ltd Dry etching method
JPH0741153Y2 (ja) * 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
EP0334109B1 (de) * 1988-03-24 1993-06-02 Siemens Aktiengesellschaft Verfahren und Vorrichtung zum Herstellen von aus amorphen Silizium-Germanium-Legierungen bestehenden Halbleiterschichten nach der Glimmentladungstechnik, insbesondere für Solarzellen
JPH01296600A (ja) * 1988-05-23 1989-11-29 Nissin Electric Co Ltd プラズマ発生源
JPH02148235A (ja) 1988-11-30 1990-06-07 Toshiba Corp データ退避方式
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
US5015330A (en) * 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5421891A (en) 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5032202A (en) 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing
US5210466A (en) 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5142198A (en) * 1989-12-21 1992-08-25 Applied Science And Technology, Inc. Microwave reactive gas discharge device
DE3942964A1 (de) 1989-12-23 1991-06-27 Leybold Ag Einrichtung fuer die erzeugung eines plasmas
US5203956A (en) * 1990-01-08 1993-04-20 Lsi Logic Corporation Method for performing in-situ etch of a CVD chamber
US5085727A (en) * 1990-05-21 1992-02-04 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
US5707486A (en) 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5258824A (en) * 1990-08-09 1993-11-02 Applied Materials, Inc. In-situ measurement of a thin film deposited on a wafer
US5169487A (en) * 1990-08-27 1992-12-08 Micron Technology, Inc. Anisotropic etch method
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
FI915231A (fi) 1990-11-08 1992-05-09 Lonza Ag Mikrobiologiskt foerfarande foer framstaellning av hydroxylerade pyrazinderivat.
JP2519364B2 (ja) 1990-12-03 1996-07-31 アプライド マテリアルズ インコーポレイテッド Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ
DE69224640T2 (de) * 1991-05-17 1998-10-01 Lam Res Corp VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6095083A (en) * 1991-06-27 2000-08-01 Applied Materiels, Inc. Vacuum processing chamber having multi-mode access
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6024826A (en) 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
KR100255703B1 (ko) * 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5392018A (en) * 1991-06-27 1995-02-21 Applied Materials, Inc. Electronically tuned matching networks using adjustable inductance elements and resonant tank circuits
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US5187454A (en) * 1992-01-23 1993-02-16 Applied Materials, Inc. Electronically tuned matching network using predictor-corrector control system
US5164945A (en) * 1991-07-01 1992-11-17 Laser Centers Of America, Inc. Laser device with intermediate refraction index layer for reduced fresnel losses
KR100297358B1 (ko) 1991-07-23 2001-11-30 히가시 데쓰로 플라즈마에칭장치
US5249251A (en) * 1991-09-16 1993-09-28 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Optical fiber sensor having an active core
US5275683A (en) 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
JP3221025B2 (ja) * 1991-12-19 2001-10-22 ソニー株式会社 プラズマプロセス装置
US5349313A (en) * 1992-01-23 1994-09-20 Applied Materials Inc. Variable RF power splitter
EP0552491B1 (en) * 1992-01-24 1998-07-15 Applied Materials, Inc. Plasma etch process and plasma processing reactor
US5423945A (en) * 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
EP0552490A1 (en) * 1992-01-24 1993-07-28 Applied Materials, Inc. Process for etching an oxide layer over a nitride
US5241245A (en) * 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5286344A (en) 1992-06-15 1994-02-15 Micron Technology, Inc. Process for selectively etching a layer of silicon dioxide on an underlying stop layer of silicon nitride
US5277751A (en) * 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
JP2625072B2 (ja) * 1992-09-08 1997-06-25 アプライド マテリアルズ インコーポレイテッド 電磁rf結合を用いたプラズマ反応装置及びその方法
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
KR100281345B1 (ko) * 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
JP2581386B2 (ja) * 1992-12-24 1997-02-12 日本電気株式会社 高周波磁場励起処理装置
KR100238627B1 (ko) * 1993-01-12 2000-01-15 히가시 데쓰로 플라즈마 처리장치
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
JP3252518B2 (ja) 1993-03-19 2002-02-04 ソニー株式会社 ドライエッチング方法
US5770098A (en) 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
JP3081885B2 (ja) * 1993-03-26 2000-08-28 東京エレクトロン株式会社 プラズマ処理装置
JPH0722322A (ja) * 1993-06-30 1995-01-24 Kokusai Electric Co Ltd プラズマ発生装置
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
JP3045444B2 (ja) * 1993-10-20 2000-05-29 東京エレクトロン株式会社 プラズマ処理装置およびその制御方法
JP3172759B2 (ja) * 1993-12-02 2001-06-04 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP3043217B2 (ja) * 1994-02-22 2000-05-22 東京エレクトロン株式会社 プラズマ発生装置
US5449432A (en) 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication
JPH07161702A (ja) * 1993-10-29 1995-06-23 Applied Materials Inc 酸化物のプラズマエッチング方法
US5518547A (en) 1993-12-23 1996-05-21 International Business Machines Corporation Method and apparatus for reducing particulates in a plasma tool through steady state flows
US5414246A (en) * 1993-12-27 1995-05-09 Ford Motor Company Apparatus for scaleless induction heating
US5468341A (en) 1993-12-28 1995-11-21 Nec Corporation Plasma-etching method and apparatus therefor
US5399237A (en) * 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
JPH07245195A (ja) * 1994-03-07 1995-09-19 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
US5435881A (en) * 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
EP0680072B1 (en) * 1994-04-28 2003-10-08 Applied Materials, Inc. A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling
US5514246A (en) 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
US5587038A (en) * 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
JPH0817799A (ja) * 1994-06-28 1996-01-19 Plasma Syst:Kk プラズマ処理装置
US5540824A (en) 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
JP3410558B2 (ja) * 1994-08-11 2003-05-26 アネルバ株式会社 プラズマ処理装置
JP3140934B2 (ja) * 1994-08-23 2001-03-05 東京エレクトロン株式会社 プラズマ装置
JPH0878191A (ja) * 1994-09-06 1996-03-22 Kobe Steel Ltd プラズマ処理方法及びその装置
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5783101A (en) 1994-09-16 1998-07-21 Applied Materials, Inc. High etch rate residue free metal etch process with low frequency high power inductive coupled plasma
DE69510427T2 (de) 1994-10-31 1999-12-30 Applied Materials Inc Plasmareaktoren zur Halbleiterscheibenbehandlung
US5607542A (en) 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
US5683538A (en) 1994-12-23 1997-11-04 International Business Machines Corporation Control of etch selectivity
US5688357A (en) 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5523261A (en) 1995-02-28 1996-06-04 Micron Technology, Inc. Method of cleaning high density inductively coupled plasma chamber using capacitive coupling
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
EP0756309A1 (en) 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates
JPH09180897A (ja) * 1995-12-12 1997-07-11 Applied Materials Inc 高密度プラズマリアクタのためのガス供給装置
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5683548A (en) * 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
JPH1079372A (ja) 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5944942A (en) * 1998-03-04 1999-08-31 Ogle; John Seldon Varying multipole plasma source

Also Published As

Publication number Publication date
KR970077318A (ko) 1997-12-12
JPH1092598A (ja) 1998-04-10
US6736931B2 (en) 2004-05-18
EP0807953A1 (en) 1997-11-19
US6165311A (en) 2000-12-26
US20040163764A1 (en) 2004-08-26
US6444085B1 (en) 2002-09-03
TW329018B (en) 1998-04-01
US20020020499A1 (en) 2002-02-21

Similar Documents

Publication Publication Date Title
JP4236294B2 (ja) 上部にソレノイドアンテナを有する電磁結合rfプラズマリアクター
US6238588B1 (en) High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
KR100853577B1 (ko) 플라즈마 균일성 및 장치 손상 감소를 위해 첨단, 솔레노이드 및 미러 자기장들을 위한 최소 d.c. 코일들을 구비한 플라즈마 반응기
JP4698222B2 (ja) プラズマを径方向に均一に分布する容量結合プラズマリアクタ
US6853141B2 (en) Capacitively coupled plasma reactor with magnetic plasma control
US7955986B2 (en) Capacitively coupled plasma reactor with magnetic plasma control
US6790311B2 (en) Plasma reactor having RF power applicator and a dual-purpose window
US6074512A (en) Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US5990017A (en) Plasma reactor with heated source of a polymer-hardening precursor material
US6218312B1 (en) Plasma reactor with heated source of a polymer-hardening precursor material
US6095084A (en) High density plasma process chamber
US20080023443A1 (en) Alternating asymmetrical plasma generation in a process chamber
US6514376B1 (en) Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040512

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040512

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070628

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070703

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070912

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071009

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071226

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20071226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080507

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080711

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20081118

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20081216

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111226

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111226

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111226

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121226

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121226

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131226

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term