TW329018B - RF plasma reactor plasma - Google Patents
RF plasma reactor plasmaInfo
- Publication number
- TW329018B TW329018B TW086105338A TW86105338A TW329018B TW 329018 B TW329018 B TW 329018B TW 086105338 A TW086105338 A TW 086105338A TW 86105338 A TW86105338 A TW 86105338A TW 329018 B TW329018 B TW 329018B
- Authority
- TW
- Taiwan
- Prior art keywords
- plasma
- reactor chamber
- planar
- antenna
- inductive
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01D—SEPARATION
- B01D53/00—Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
- B01D53/22—Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by diffusion
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01D—SEPARATION
- B01D53/00—Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
- B01D53/22—Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by diffusion
- B01D53/225—Multiple stage diffusion
- B01D53/226—Multiple stage diffusion in serial connexion
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01D—SEPARATION
- B01D53/00—Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
- B01D53/26—Drying gases or vapours
- B01D53/268—Drying gases or vapours by diffusion
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/517—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/321—Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32137—Radio frequency generated discharge controlling of the discharge by modulation of energy
- H01J37/32146—Amplitude modulation, includes pulsing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32137—Radio frequency generated discharge controlling of the discharge by modulation of energy
- H01J37/32155—Frequency modulation
- H01J37/32165—Plural frequencies
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32467—Material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32522—Temperature
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3266—Magnetic control means
- H01J37/32688—Multi-cusp fields
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32697—Electrostatic control
- H01J37/32706—Polarising the substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32853—Hygiene
- H01J37/32871—Means for trapping or directing unwanted particles
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01F—MAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
- H01F29/00—Variable transformers or inductances not covered by group H01F21/00
- H01F29/14—Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias
- H01F2029/143—Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias with control winding for generating magnetic bias
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
- H01J2237/3343—Problems associated with etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
- H01J2237/3343—Problems associated with etching
- H01J2237/3345—Problems associated with etching anisotropy
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
- H01J2237/3343—Problems associated with etching
- H01J2237/3346—Selectivity
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/648,254 US6165311A (en) | 1991-06-27 | 1996-05-13 | Inductively coupled RF plasma reactor having an overhead solenoidal antenna |
Publications (1)
Publication Number | Publication Date |
---|---|
TW329018B true TW329018B (en) | 1998-04-01 |
Family
ID=24600054
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW086105338A TW329018B (en) | 1996-05-13 | 1997-04-24 | RF plasma reactor plasma |
Country Status (5)
Country | Link |
---|---|
US (4) | US6165311A (zh) |
EP (1) | EP0807953A1 (zh) |
JP (1) | JP4236294B2 (zh) |
KR (1) | KR970077318A (zh) |
TW (1) | TW329018B (zh) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7510667B2 (en) | 2002-02-06 | 2009-03-31 | Panasonic Corporation | Plasma processing method and apparatus |
TWI466186B (zh) * | 2007-04-24 | 2014-12-21 | Applied Materials Inc | 消除感應耦合電漿反應器中之m形蝕刻速率分佈之方法 |
TWI736582B (zh) * | 2016-02-12 | 2021-08-21 | 美商蘭姆研究公司 | 電漿源之腔室構件、電漿源、保護殼、基板處理腔室與系統 |
Families Citing this family (132)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6063233A (en) | 1991-06-27 | 2000-05-16 | Applied Materials, Inc. | Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna |
US6165311A (en) * | 1991-06-27 | 2000-12-26 | Applied Materials, Inc. | Inductively coupled RF plasma reactor having an overhead solenoidal antenna |
US6074512A (en) * | 1991-06-27 | 2000-06-13 | Applied Materials, Inc. | Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners |
TW279240B (en) | 1995-08-30 | 1996-06-21 | Applied Materials Inc | Parallel-plate icp source/rf bias electrode head |
US6231776B1 (en) | 1995-12-04 | 2001-05-15 | Daniel L. Flamm | Multi-temperature processing |
US6534922B2 (en) * | 1996-09-27 | 2003-03-18 | Surface Technology Systems, Plc | Plasma processing apparatus |
US6028395A (en) * | 1997-09-16 | 2000-02-22 | Lam Research Corporation | Vacuum plasma processor having coil with added conducting segments to its peripheral part |
US6123862A (en) | 1998-04-24 | 2000-09-26 | Micron Technology, Inc. | Method of forming high aspect ratio apertures |
JP3567736B2 (ja) * | 1998-05-25 | 2004-09-22 | 株式会社日立製作所 | プラズマ処理装置 |
JP4014300B2 (ja) * | 1998-06-19 | 2007-11-28 | 東京エレクトロン株式会社 | プラズマ処理装置 |
EP1125314A1 (en) | 1998-07-10 | 2001-08-22 | Applied Materials, Inc. | Improved endpoint detection for substrate fabrication processes |
JP2000315598A (ja) * | 1999-03-03 | 2000-11-14 | Anelva Corp | プラズマ処理装置 |
JP3959200B2 (ja) * | 1999-03-19 | 2007-08-15 | 株式会社東芝 | 半導体装置の製造装置 |
KR100338057B1 (ko) * | 1999-08-26 | 2002-05-24 | 황 철 주 | 유도 결합형 플라즈마 발생용 안테나 장치 |
US6320320B1 (en) * | 1999-11-15 | 2001-11-20 | Lam Research Corporation | Method and apparatus for producing uniform process rates |
KR100581998B1 (ko) * | 1999-11-17 | 2006-05-23 | 삼성전자주식회사 | 웨이퍼 식각장치 |
KR20010047103A (ko) * | 1999-11-17 | 2001-06-15 | 윤종용 | 웨이퍼 식각장치 |
JP3645768B2 (ja) * | 1999-12-07 | 2005-05-11 | シャープ株式会社 | プラズマプロセス装置 |
US6598559B1 (en) | 2000-03-24 | 2003-07-29 | Applied Materials, Inc. | Temperature controlled chamber |
US7096819B2 (en) * | 2001-03-30 | 2006-08-29 | Lam Research Corporation | Inductive plasma processor having coil with plural windings and method of controlling plasma density |
US6527912B2 (en) * | 2001-03-30 | 2003-03-04 | Lam Research Corporation | Stacked RF excitation coil for inductive plasma processor |
US6554954B2 (en) | 2001-04-03 | 2003-04-29 | Applied Materials Inc. | Conductive collar surrounding semiconductor workpiece in plasma chamber |
US6676760B2 (en) * | 2001-08-16 | 2004-01-13 | Appiled Materials, Inc. | Process chamber having multiple gas distributors and method |
WO2003030239A1 (fr) * | 2001-09-28 | 2003-04-10 | Sumitomo Precision Products Co., Ltd. | Procede de gravure de substrat de silicium et appareil de gravure |
US7100532B2 (en) * | 2001-10-09 | 2006-09-05 | Plasma Control Systems, Llc | Plasma production device and method and RF driver circuit with adjustable duty cycle |
US7132996B2 (en) * | 2001-10-09 | 2006-11-07 | Plasma Control Systems Llc | Plasma production device and method and RF driver circuit |
US7084832B2 (en) * | 2001-10-09 | 2006-08-01 | Plasma Control Systems, Llc | Plasma production device and method and RF driver circuit with adjustable duty cycle |
US6597117B2 (en) * | 2001-11-30 | 2003-07-22 | Samsung Austin Semiconductor, L.P. | Plasma coil |
US20030141178A1 (en) * | 2002-01-30 | 2003-07-31 | Applied Materials, Inc. | Energizing gas for substrate processing with shockwaves |
US6737358B2 (en) * | 2002-02-13 | 2004-05-18 | Intel Corporation | Plasma etching uniformity control |
JP3820188B2 (ja) * | 2002-06-19 | 2006-09-13 | 三菱重工業株式会社 | プラズマ処理装置及びプラズマ処理方法 |
JP2004047696A (ja) * | 2002-07-11 | 2004-02-12 | Matsushita Electric Ind Co Ltd | プラズマドーピング方法及び装置、整合回路 |
US20040018741A1 (en) * | 2002-07-26 | 2004-01-29 | Applied Materials, Inc. | Method For Enhancing Critical Dimension Uniformity After Etch |
US20040025791A1 (en) * | 2002-08-09 | 2004-02-12 | Applied Materials, Inc. | Etch chamber with dual frequency biasing sources and a single frequency plasma generating source |
KR100457844B1 (ko) * | 2002-08-27 | 2004-11-18 | 삼성전자주식회사 | 반도체 장치의 식각 방법 |
US6837937B2 (en) * | 2002-08-27 | 2005-01-04 | Hitachi High-Technologies Corporation | Plasma processing apparatus |
JP4753276B2 (ja) * | 2002-11-26 | 2011-08-24 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US6872909B2 (en) * | 2003-04-16 | 2005-03-29 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel |
US6905624B2 (en) * | 2003-07-07 | 2005-06-14 | Applied Materials, Inc. | Interferometric endpoint detection in a substrate etching process |
US20050178336A1 (en) * | 2003-07-15 | 2005-08-18 | Heng Liu | Chemical vapor deposition reactor having multiple inlets |
US20050011459A1 (en) * | 2003-07-15 | 2005-01-20 | Heng Liu | Chemical vapor deposition reactor |
US20050106873A1 (en) * | 2003-08-15 | 2005-05-19 | Hoffman Daniel J. | Plasma chamber having multiple RF source frequencies |
KR101038204B1 (ko) * | 2004-02-25 | 2011-05-31 | 주성엔지니어링(주) | 플라즈마 발생용 안테나 |
US20050227382A1 (en) * | 2004-04-02 | 2005-10-13 | Hui Angela T | In-situ surface treatment for memory cell formation |
JP4550507B2 (ja) * | 2004-07-26 | 2010-09-22 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
WO2006035628A1 (ja) * | 2004-09-29 | 2006-04-06 | Sekisui Chemical Co., Ltd. | プラズマ処理装置 |
US8328942B2 (en) * | 2004-12-17 | 2012-12-11 | Lam Research Corporation | Wafer heating and temperature control by backside fluid injection |
JP2006216903A (ja) * | 2005-02-07 | 2006-08-17 | Hitachi High-Technologies Corp | プラズマ処理装置 |
JP2006237479A (ja) * | 2005-02-28 | 2006-09-07 | Mitsubishi Heavy Ind Ltd | プラズマ処理装置 |
US7674393B2 (en) | 2005-03-25 | 2010-03-09 | Tokyo Electron Limited | Etching method and apparatus |
US7651587B2 (en) * | 2005-08-11 | 2010-01-26 | Applied Materials, Inc. | Two-piece dome with separate RF coils for inductively coupled plasma reactors |
US7695633B2 (en) * | 2005-10-18 | 2010-04-13 | Applied Materials, Inc. | Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor |
US8911590B2 (en) * | 2006-02-27 | 2014-12-16 | Lam Research Corporation | Integrated capacitive and inductive power sources for a plasma etching chamber |
JP4782585B2 (ja) * | 2006-02-28 | 2011-09-28 | 株式会社日立ハイテクノロジーズ | プラズマエッチング装置及び方法 |
US20070249173A1 (en) * | 2006-04-21 | 2007-10-25 | Applied Materials, Inc. | Plasma etch process using etch uniformity control by using compositionally independent gas feed |
US8187415B2 (en) * | 2006-04-21 | 2012-05-29 | Applied Materials, Inc. | Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone |
US20070245961A1 (en) * | 2006-04-24 | 2007-10-25 | Applied Materials, Inc. | Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation |
US7645357B2 (en) * | 2006-04-24 | 2010-01-12 | Applied Materials, Inc. | Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency |
US7780864B2 (en) * | 2006-04-24 | 2010-08-24 | Applied Materials, Inc. | Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution |
US20070246443A1 (en) * | 2006-04-24 | 2007-10-25 | Applied Materials, Inc. | Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation |
US7264688B1 (en) | 2006-04-24 | 2007-09-04 | Applied Materials, Inc. | Plasma reactor apparatus with independent capacitive and toroidal plasma sources |
US20070245960A1 (en) * | 2006-04-24 | 2007-10-25 | Applied Materials, Inc. | Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density |
US20070246161A1 (en) * | 2006-04-24 | 2007-10-25 | Applied Materials, Inc. | Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency |
US20070246163A1 (en) * | 2006-04-24 | 2007-10-25 | Applied Materials, Inc. | Plasma reactor apparatus with independent capacitive and inductive plasma sources |
US7727413B2 (en) * | 2006-04-24 | 2010-06-01 | Applied Materials, Inc. | Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density |
US20070246162A1 (en) * | 2006-04-24 | 2007-10-25 | Applied Materials, Inc. | Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency |
US20070245958A1 (en) * | 2006-04-24 | 2007-10-25 | Applied Materials, Inc. | Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution |
US7431859B2 (en) * | 2006-04-28 | 2008-10-07 | Applied Materials, Inc. | Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation |
US20070254483A1 (en) * | 2006-04-28 | 2007-11-01 | Applied Materials, Inc. | Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity |
US7540971B2 (en) * | 2006-04-28 | 2009-06-02 | Applied Materials, Inc. | Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content |
US7541292B2 (en) * | 2006-04-28 | 2009-06-02 | Applied Materials, Inc. | Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones |
CN101136279B (zh) | 2006-08-28 | 2010-05-12 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 电感耦合线圈及电感耦合等离子体装置 |
US7845310B2 (en) * | 2006-12-06 | 2010-12-07 | Axcelis Technologies, Inc. | Wide area radio frequency plasma apparatus for processing multiple substrates |
US8444926B2 (en) | 2007-01-30 | 2013-05-21 | Applied Materials, Inc. | Processing chamber with heated chamber liner |
US8216419B2 (en) * | 2008-03-28 | 2012-07-10 | Bridgelux, Inc. | Drilled CVD shower head |
US20090096349A1 (en) * | 2007-04-26 | 2009-04-16 | Moshtagh Vahid S | Cross flow cvd reactor |
US20090004873A1 (en) * | 2007-06-26 | 2009-01-01 | Intevac, Inc. | Hybrid etch chamber with decoupled plasma controls |
US7832354B2 (en) * | 2007-09-05 | 2010-11-16 | Applied Materials, Inc. | Cathode liner with wafer edge gas injection in a plasma reactor chamber |
US7879250B2 (en) | 2007-09-05 | 2011-02-01 | Applied Materials, Inc. | Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection |
JP5347294B2 (ja) * | 2007-09-12 | 2013-11-20 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
US8668775B2 (en) * | 2007-10-31 | 2014-03-11 | Toshiba Techno Center Inc. | Machine CVD shower head |
KR100881954B1 (ko) * | 2007-11-09 | 2009-02-06 | 한국전자통신연구원 | 반응성 스퍼터링 증착 장치 |
US8137463B2 (en) * | 2007-12-19 | 2012-03-20 | Applied Materials, Inc. | Dual zone gas injection nozzle |
US20090162570A1 (en) * | 2007-12-19 | 2009-06-25 | Applied Materials, Inc. | Apparatus and method for processing a substrate using inductively coupled plasma technology |
US8999106B2 (en) * | 2007-12-19 | 2015-04-07 | Applied Materials, Inc. | Apparatus and method for controlling edge performance in an inductively coupled plasma chamber |
US8062472B2 (en) * | 2007-12-19 | 2011-11-22 | Applied Materials, Inc. | Method of correcting baseline skew by a novel motorized source coil assembly |
US8066895B2 (en) * | 2008-02-28 | 2011-11-29 | Applied Materials, Inc. | Method to control uniformity using tri-zone showerhead |
US20090220865A1 (en) * | 2008-02-29 | 2009-09-03 | Applied Materials, Inc. | Method and apparatus for source field shaping in a plasma etch reactor |
US8849585B2 (en) * | 2008-06-26 | 2014-09-30 | Lam Research Corporation | Methods for automatically characterizing a plasma |
WO2010005929A2 (en) | 2008-07-07 | 2010-01-14 | Lam Research Corporation | Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting in-situ arcing events in a plasma processing chamber |
US8780522B2 (en) * | 2008-07-07 | 2014-07-15 | Lam Research Corporation | Capacitively-coupled electrostatic (CCE) probe arrangement for detecting dechucking in a plasma processing chamber and methods thereof |
CN104320899A (zh) | 2008-07-07 | 2015-01-28 | 朗姆研究公司 | 用于检测等离子处理室中激发步骤的电容耦合静电(cce)探针装置及其方法 |
WO2010005933A2 (en) | 2008-07-07 | 2010-01-14 | Lam Research Corporation | Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting plasma instabilities in a plasma processing chamber |
WO2010005934A2 (en) * | 2008-07-07 | 2010-01-14 | Lam Research Corporation | Rf-biased capacitively-coupled electrostatic (rfb-cce) probe arrangement for characterizing a film in a plasma processing chamber |
US8547085B2 (en) * | 2008-07-07 | 2013-10-01 | Lam Research Corporation | Plasma-facing probe arrangement including vacuum gap for use in a plasma processing chamber |
US8540844B2 (en) | 2008-12-19 | 2013-09-24 | Lam Research Corporation | Plasma confinement structures in plasma processing systems |
US8627783B2 (en) * | 2008-12-19 | 2014-01-14 | Lam Research Corporation | Combined wafer area pressure control and plasma confinement assembly |
WO2010123707A2 (en) * | 2009-04-20 | 2010-10-28 | Applied Materials, Inc. | Enhanced scavenging of residual fluorine radicals using silicon coating on process chamber walls |
WO2010124268A2 (en) * | 2009-04-24 | 2010-10-28 | Applied Materials, Inc. | Substrate support having side gas outlets and methods |
US20110120375A1 (en) * | 2009-11-23 | 2011-05-26 | Jusung Engineering Co., Ltd. | Apparatus for processing substrate |
US8591755B2 (en) * | 2010-09-15 | 2013-11-26 | Lam Research Corporation | Methods for controlling plasma constituent flux and deposition during semiconductor fabrication and apparatus for implementing the same |
WO2012082854A2 (en) * | 2010-12-17 | 2012-06-21 | Mattson Technology, Inc. | Inductively coupled plasma source for plasma processing |
US20120152900A1 (en) * | 2010-12-20 | 2012-06-21 | Applied Materials, Inc. | Methods and apparatus for gas delivery into plasma processing chambers |
US8808561B2 (en) * | 2011-11-15 | 2014-08-19 | Lam Research Coporation | Inert-dominant pulsing in plasma processing systems |
JP5929429B2 (ja) * | 2012-03-30 | 2016-06-08 | 東京エレクトロン株式会社 | 成膜装置 |
JP6011417B2 (ja) * | 2012-06-15 | 2016-10-19 | 東京エレクトロン株式会社 | 成膜装置、基板処理装置及び成膜方法 |
KR102152858B1 (ko) | 2013-03-12 | 2020-09-07 | 어플라이드 머티어리얼스, 인코포레이티드 | 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체 |
KR102163381B1 (ko) * | 2013-03-15 | 2020-10-08 | 어플라이드 머티어리얼스, 인코포레이티드 | 폴리머 관리를 통한 에칭 시스템의 생산성 개선 |
US9275869B2 (en) | 2013-08-02 | 2016-03-01 | Lam Research Corporation | Fast-gas switching for etching |
JP6169701B2 (ja) | 2013-08-09 | 2017-07-26 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
US20150162169A1 (en) * | 2013-12-05 | 2015-06-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etching apparatus and method |
CN104743496B (zh) * | 2013-12-29 | 2017-03-22 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 深硅刻蚀方法和用于深硅刻蚀的设备 |
US10249511B2 (en) | 2014-06-27 | 2019-04-02 | Lam Research Corporation | Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus |
US10883168B2 (en) | 2014-09-11 | 2021-01-05 | Massachusetts Institute Of Technology | Processing system for small substrates |
CN104918401A (zh) * | 2015-05-26 | 2015-09-16 | 山东专利工程总公司 | 一种感应耦合型等离子体处理装置 |
US10957561B2 (en) | 2015-07-30 | 2021-03-23 | Lam Research Corporation | Gas delivery system |
US10825659B2 (en) | 2016-01-07 | 2020-11-03 | Lam Research Corporation | Substrate processing chamber including multiple gas injection points and dual injector |
US10651015B2 (en) | 2016-02-12 | 2020-05-12 | Lam Research Corporation | Variable depth edge ring for etch uniformity control |
US10410832B2 (en) | 2016-08-19 | 2019-09-10 | Lam Research Corporation | Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment |
US10504720B2 (en) * | 2016-11-29 | 2019-12-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Etching using chamber with top plate formed of non-oxygen containing material |
US20180308661A1 (en) | 2017-04-24 | 2018-10-25 | Applied Materials, Inc. | Plasma reactor with electrode filaments |
US11355321B2 (en) | 2017-06-22 | 2022-06-07 | Applied Materials, Inc. | Plasma reactor with electrode assembly for moving substrate |
US10510515B2 (en) | 2017-06-22 | 2019-12-17 | Applied Materials, Inc. | Processing tool with electrically switched electrode assembly |
US11114284B2 (en) * | 2017-06-22 | 2021-09-07 | Applied Materials, Inc. | Plasma reactor with electrode array in ceiling |
KR102652636B1 (ko) * | 2017-12-21 | 2024-04-01 | 도쿄엘렉트론가부시키가이샤 | 기판 지지 부재, 기판 처리 장치 및 기판 반송 장치 |
US11153960B1 (en) * | 2018-06-08 | 2021-10-19 | Innoveering, LLC | Plasma-based electro-optical sensing and methods |
US11114306B2 (en) * | 2018-09-17 | 2021-09-07 | Applied Materials, Inc. | Methods for depositing dielectric material |
KR102041518B1 (ko) * | 2019-07-18 | 2019-11-06 | 에이피티씨 주식회사 | 분리형 플라즈마 소스 코일 및 이의 제어 방법 |
CN111638569B (zh) * | 2020-07-17 | 2022-04-22 | 中国人民解放军空军工程大学 | 一种射频感性耦合等离子体叠加相位梯度超表面吸波结构 |
CN112011774B (zh) * | 2020-08-25 | 2022-09-16 | 北京北方华创微电子装备有限公司 | 半导体设备及其半导体腔室以及半导体冷却方法 |
KR20220040804A (ko) | 2020-09-24 | 2022-03-31 | 삼성전자주식회사 | 플라즈마 처리 장치 및 플라즈마 처리 방법 |
WO2023043768A1 (en) * | 2021-09-20 | 2023-03-23 | Lam Research Corporation | Enclosure for mitigating rf power ramp up in icp source |
Family Cites Families (142)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
GB231197A (en) | 1924-03-24 | 1925-08-06 | Peter August Nordling | Improvement in hooks and the like |
DE2518853C3 (de) * | 1975-04-28 | 1979-03-22 | Siemens Ag, 1000 Berlin Und 8000 Muenchen | Vorrichtung zum Abscheiden von elementarem Silicium aus einem Reaktionsgas |
GB1550853A (en) * | 1975-10-06 | 1979-08-22 | Hitachi Ltd | Apparatus and process for plasma treatment |
US4233109A (en) | 1976-01-16 | 1980-11-11 | Zaidan Hojin Handotai Kenkyu Shinkokai | Dry etching method |
JPS559464A (en) | 1978-07-07 | 1980-01-23 | Toshiba Corp | Production method of bipolar integrated circuit containing i2 l |
JPS55154582A (en) * | 1979-05-21 | 1980-12-02 | Chiyou Lsi Gijutsu Kenkyu Kumiai | Gas plasma etching method |
US4261762A (en) * | 1979-09-14 | 1981-04-14 | Eaton Corporation | Method for conducting heat to or from an article being treated under vacuum |
JPS6056431B2 (ja) * | 1980-10-09 | 1985-12-10 | 三菱電機株式会社 | プラズマエツチング装置 |
JPS57155732A (en) * | 1981-03-20 | 1982-09-25 | Sharp Corp | Dry etching |
US4368092A (en) | 1981-04-02 | 1983-01-11 | The Perkin-Elmer Corporation | Apparatus for the etching for semiconductor devices |
US4350578A (en) * | 1981-05-11 | 1982-09-21 | International Business Machines Corporation | Cathode for etching |
US4427516A (en) * | 1981-08-24 | 1984-01-24 | Bell Telephone Laboratories, Incorporated | Apparatus and method for plasma-assisted etching of wafers |
EP0082015A1 (en) * | 1981-12-16 | 1983-06-22 | Konica Corporation | Method of forming an image with a photographic cuprous halide material |
US4512391A (en) * | 1982-01-29 | 1985-04-23 | Varian Associates, Inc. | Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet |
US4457359A (en) * | 1982-05-25 | 1984-07-03 | Varian Associates, Inc. | Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer |
JPS6060060A (ja) * | 1983-09-12 | 1985-04-06 | 株式会社日立製作所 | 鉄道車両の扉開閉装置 |
US4579080A (en) | 1983-12-09 | 1986-04-01 | Applied Materials, Inc. | Induction heated reactor system for chemical vapor deposition |
JPS6191377A (ja) * | 1984-10-12 | 1986-05-09 | Anelva Corp | 表面処理装置 |
US4735902A (en) | 1984-10-23 | 1988-04-05 | Matti Siren | Stabilized composition containing inositoltriphosphate |
JPH07118474B2 (ja) * | 1984-12-17 | 1995-12-18 | ソニー株式会社 | エツチングガス及びこれを用いたエツチング方法 |
JPS61147531A (ja) * | 1984-12-21 | 1986-07-05 | Toshiba Corp | 反応性イオンエツチング方法 |
US4572759A (en) | 1984-12-26 | 1986-02-25 | Benzing Technology, Inc. | Troide plasma reactor with magnetic enhancement |
US4870245A (en) * | 1985-04-01 | 1989-09-26 | Motorola, Inc. | Plasma enhanced thermal treatment apparatus |
WO1986006923A1 (en) * | 1985-05-03 | 1986-11-20 | The Australian National University | Method and apparatus for producing large volume magnetoplasmas |
JPS627268A (ja) | 1985-07-03 | 1987-01-14 | Nec Corp | フアクシミリ装置 |
JPS6212129A (ja) * | 1985-07-10 | 1987-01-21 | Hitachi Ltd | プラズマ処理装置 |
US4807016A (en) * | 1985-07-15 | 1989-02-21 | Texas Instruments Incorporated | Dry etch of phosphosilicate glass with selectivity to undoped oxide |
US4711698A (en) * | 1985-07-15 | 1987-12-08 | Texas Instruments Incorporated | Silicon oxide thin film etching process |
JPH0680650B2 (ja) | 1986-04-23 | 1994-10-12 | 株式会社日立マイコンシステム | 半導体集積回路装置の製造方法 |
JPS62254428A (ja) * | 1986-04-28 | 1987-11-06 | Nippon Telegr & Teleph Corp <Ntt> | 反応性スパツタエツチング方法と反応性スパツタエツチング装置 |
US4828369A (en) | 1986-05-28 | 1989-05-09 | Minolta Camera Kabushiki Kaisha | Electrochromic device |
JPS639120A (ja) * | 1986-06-30 | 1988-01-14 | Canon Inc | ドライエツチング用ウエハステ−ジ |
US4755345A (en) * | 1986-08-01 | 1988-07-05 | The United States Of America As Represented By The United States Department Of Energy | Impedance matched, high-power, rf antenna for ion cyclotron resonance heating of a plasma |
US4786352A (en) * | 1986-09-12 | 1988-11-22 | Benzing Technologies, Inc. | Apparatus for in-situ chamber cleaning |
DE3632340C2 (de) | 1986-09-24 | 1998-01-15 | Leybold Ag | Induktiv angeregte Ionenquelle |
US4859908A (en) | 1986-09-24 | 1989-08-22 | Matsushita Electric Industrial Co., Ltd. | Plasma processing apparatus for large area ion irradiation |
KR900007687B1 (ko) | 1986-10-17 | 1990-10-18 | 가부시기가이샤 히다찌세이사꾸쇼 | 플라즈마처리방법 및 장치 |
US4756810A (en) * | 1986-12-04 | 1988-07-12 | Machine Technology, Inc. | Deposition and planarizing methods and apparatus |
GB8629634D0 (en) | 1986-12-11 | 1987-01-21 | Dobson C D | Reactive ion & sputter etching |
US5000113A (en) * | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
JPS63155728A (ja) | 1986-12-19 | 1988-06-28 | Canon Inc | プラズマ処理装置 |
US4842683A (en) * | 1986-12-19 | 1989-06-27 | Applied Materials, Inc. | Magnetic field-enhanced plasma etch reactor |
US4793897A (en) * | 1987-03-20 | 1988-12-27 | Applied Materials, Inc. | Selective thin film etch process |
US4786359A (en) * | 1987-06-24 | 1988-11-22 | Tegal Corporation | Xenon enhanced plasma etch |
JPS6415928A (en) | 1987-07-10 | 1989-01-19 | Hitachi Ltd | Dry etching method |
JPH0741153Y2 (ja) * | 1987-10-26 | 1995-09-20 | 東京応化工業株式会社 | 試料処理用電極 |
EP0334109B1 (de) * | 1988-03-24 | 1993-06-02 | Siemens Aktiengesellschaft | Verfahren und Vorrichtung zum Herstellen von aus amorphen Silizium-Germanium-Legierungen bestehenden Halbleiterschichten nach der Glimmentladungstechnik, insbesondere für Solarzellen |
JPH01296600A (ja) * | 1988-05-23 | 1989-11-29 | Nissin Electric Co Ltd | プラズマ発生源 |
JPH02148235A (ja) | 1988-11-30 | 1990-06-07 | Toshiba Corp | データ退避方式 |
US4918031A (en) * | 1988-12-28 | 1990-04-17 | American Telephone And Telegraph Company,At&T Bell Laboratories | Processes depending on plasma generation using a helical resonator |
US5015330A (en) * | 1989-02-28 | 1991-05-14 | Kabushiki Kaisha Toshiba | Film forming method and film forming device |
US5122251A (en) | 1989-06-13 | 1992-06-16 | Plasma & Materials Technologies, Inc. | High density plasma deposition and etching apparatus |
US4990229A (en) * | 1989-06-13 | 1991-02-05 | Plasma & Materials Technologies, Inc. | High density plasma deposition and etching apparatus |
US5421891A (en) | 1989-06-13 | 1995-06-06 | Plasma & Materials Technologies, Inc. | High density plasma deposition and etching apparatus |
US4948458A (en) * | 1989-08-14 | 1990-08-14 | Lam Research Corporation | Method and apparatus for producing magnetically-coupled planar plasma |
US5032202A (en) | 1989-10-03 | 1991-07-16 | Martin Marietta Energy Systems, Inc. | Plasma generating apparatus for large area plasma processing |
US5556501A (en) * | 1989-10-03 | 1996-09-17 | Applied Materials, Inc. | Silicon scavenger in an inductively coupled RF plasma reactor |
US5210466A (en) | 1989-10-03 | 1993-05-11 | Applied Materials, Inc. | VHF/UHF reactor system |
US5142198A (en) * | 1989-12-21 | 1992-08-25 | Applied Science And Technology, Inc. | Microwave reactive gas discharge device |
DE3942964A1 (de) | 1989-12-23 | 1991-06-27 | Leybold Ag | Einrichtung fuer die erzeugung eines plasmas |
US5203956A (en) * | 1990-01-08 | 1993-04-20 | Lsi Logic Corporation | Method for performing in-situ etch of a CVD chamber |
US5085727A (en) * | 1990-05-21 | 1992-02-04 | Applied Materials, Inc. | Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion |
US5707486A (en) | 1990-07-31 | 1998-01-13 | Applied Materials, Inc. | Plasma reactor using UHF/VHF and RF triode source, and process |
US5258824A (en) * | 1990-08-09 | 1993-11-02 | Applied Materials, Inc. | In-situ measurement of a thin film deposited on a wafer |
US5169487A (en) * | 1990-08-27 | 1992-12-08 | Micron Technology, Inc. | Anisotropic etch method |
US5074456A (en) * | 1990-09-18 | 1991-12-24 | Lam Research Corporation | Composite electrode for plasma processes |
US5356515A (en) | 1990-10-19 | 1994-10-18 | Tokyo Electron Limited | Dry etching method |
FI915231A (fi) | 1990-11-08 | 1992-05-09 | Lonza Ag | Mikrobiologiskt foerfarande foer framstaellning av hydroxylerade pyrazinderivat. |
EP0489407A3 (en) | 1990-12-03 | 1992-07-22 | Applied Materials, Inc. | Plasma reactor using uhf/vhf resonant antenna source, and processes |
EP0584252B1 (en) * | 1991-05-17 | 1998-03-04 | Lam Research Corporation | A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT |
US6090303A (en) * | 1991-06-27 | 2000-07-18 | Applied Materials, Inc. | Process for etching oxides in an electromagnetically coupled planar plasma apparatus |
US6024826A (en) | 1996-05-13 | 2000-02-15 | Applied Materials, Inc. | Plasma reactor with heated source of a polymer-hardening precursor material |
US6036877A (en) | 1991-06-27 | 2000-03-14 | Applied Materials, Inc. | Plasma reactor with heated source of a polymer-hardening precursor material |
US5392018A (en) * | 1991-06-27 | 1995-02-21 | Applied Materials, Inc. | Electronically tuned matching networks using adjustable inductance elements and resonant tank circuits |
US6074512A (en) | 1991-06-27 | 2000-06-13 | Applied Materials, Inc. | Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners |
KR100255703B1 (ko) * | 1991-06-27 | 2000-05-01 | 조셉 제이. 스위니 | 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법 |
US6077384A (en) * | 1994-08-11 | 2000-06-20 | Applied Materials, Inc. | Plasma reactor having an inductive antenna coupling power through a parallel plate electrode |
US5187454A (en) * | 1992-01-23 | 1993-02-16 | Applied Materials, Inc. | Electronically tuned matching network using predictor-corrector control system |
US6095083A (en) * | 1991-06-27 | 2000-08-01 | Applied Materiels, Inc. | Vacuum processing chamber having multi-mode access |
US6063233A (en) | 1991-06-27 | 2000-05-16 | Applied Materials, Inc. | Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna |
US6165311A (en) * | 1991-06-27 | 2000-12-26 | Applied Materials, Inc. | Inductively coupled RF plasma reactor having an overhead solenoidal antenna |
US5477975A (en) * | 1993-10-15 | 1995-12-26 | Applied Materials Inc | Plasma etch apparatus with heated scavenging surfaces |
US5164945A (en) * | 1991-07-01 | 1992-11-17 | Laser Centers Of America, Inc. | Laser device with intermediate refraction index layer for reduced fresnel losses |
KR100297358B1 (ko) | 1991-07-23 | 2001-11-30 | 히가시 데쓰로 | 플라즈마에칭장치 |
US5249251A (en) * | 1991-09-16 | 1993-09-28 | The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration | Optical fiber sensor having an active core |
US5275683A (en) | 1991-10-24 | 1994-01-04 | Tokyo Electron Limited | Mount for supporting substrates and plasma processing apparatus using the same |
JP3221025B2 (ja) * | 1991-12-19 | 2001-10-22 | ソニー株式会社 | プラズマプロセス装置 |
US5349313A (en) * | 1992-01-23 | 1994-09-20 | Applied Materials Inc. | Variable RF power splitter |
EP0552490A1 (en) * | 1992-01-24 | 1993-07-28 | Applied Materials, Inc. | Process for etching an oxide layer over a nitride |
DE69226253T2 (de) * | 1992-01-24 | 1998-12-17 | Applied Materials Inc | Plasmaätzverfahren und Reaktor zur Plasmabearbeitung |
US5423945A (en) * | 1992-09-08 | 1995-06-13 | Applied Materials, Inc. | Selectivity for etching an oxide over a nitride |
US5241245A (en) * | 1992-05-06 | 1993-08-31 | International Business Machines Corporation | Optimized helical resonator for plasma processing |
US5286344A (en) | 1992-06-15 | 1994-02-15 | Micron Technology, Inc. | Process for selectively etching a layer of silicon dioxide on an underlying stop layer of silicon nitride |
US5277751A (en) * | 1992-06-18 | 1994-01-11 | Ogle John S | Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window |
JP2625072B2 (ja) * | 1992-09-08 | 1997-06-25 | アプライド マテリアルズ インコーポレイテッド | 電磁rf結合を用いたプラズマ反応装置及びその方法 |
US5346578A (en) | 1992-11-04 | 1994-09-13 | Novellus Systems, Inc. | Induction plasma source |
KR100281345B1 (ko) * | 1992-12-01 | 2001-03-02 | 조셉 제이. 스위니 | 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정 |
JP2581386B2 (ja) * | 1992-12-24 | 1997-02-12 | 日本電気株式会社 | 高周波磁場励起処理装置 |
KR100238627B1 (ko) * | 1993-01-12 | 2000-01-15 | 히가시 데쓰로 | 플라즈마 처리장치 |
US5401350A (en) * | 1993-03-08 | 1995-03-28 | Lsi Logic Corporation | Coil configurations for improved uniformity in inductively coupled plasma systems |
US5770098A (en) | 1993-03-19 | 1998-06-23 | Tokyo Electron Kabushiki Kaisha | Etching process |
JP3252518B2 (ja) | 1993-03-19 | 2002-02-04 | ソニー株式会社 | ドライエッチング方法 |
JP3081885B2 (ja) * | 1993-03-26 | 2000-08-28 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JPH0722322A (ja) * | 1993-06-30 | 1995-01-24 | Kokusai Electric Co Ltd | プラズマ発生装置 |
US5614055A (en) | 1993-08-27 | 1997-03-25 | Applied Materials, Inc. | High density plasma CVD and etching reactor |
US5529657A (en) * | 1993-10-04 | 1996-06-25 | Tokyo Electron Limited | Plasma processing apparatus |
JP3043217B2 (ja) * | 1994-02-22 | 2000-05-22 | 東京エレクトロン株式会社 | プラズマ発生装置 |
JP3172759B2 (ja) * | 1993-12-02 | 2001-06-04 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP3045444B2 (ja) * | 1993-10-20 | 2000-05-29 | 東京エレクトロン株式会社 | プラズマ処理装置およびその制御方法 |
US5449432A (en) | 1993-10-25 | 1995-09-12 | Applied Materials, Inc. | Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication |
JPH07161702A (ja) * | 1993-10-29 | 1995-06-23 | Applied Materials Inc | 酸化物のプラズマエッチング方法 |
US5518547A (en) | 1993-12-23 | 1996-05-21 | International Business Machines Corporation | Method and apparatus for reducing particulates in a plasma tool through steady state flows |
US5414246A (en) * | 1993-12-27 | 1995-05-09 | Ford Motor Company | Apparatus for scaleless induction heating |
US5468341A (en) | 1993-12-28 | 1995-11-21 | Nec Corporation | Plasma-etching method and apparatus therefor |
US5399237A (en) * | 1994-01-27 | 1995-03-21 | Applied Materials, Inc. | Etching titanium nitride using carbon-fluoride and carbon-oxide gas |
JPH07245195A (ja) * | 1994-03-07 | 1995-09-19 | Matsushita Electric Ind Co Ltd | プラズマ処理方法及び装置 |
US5435881A (en) * | 1994-03-17 | 1995-07-25 | Ogle; John S. | Apparatus for producing planar plasma using varying magnetic poles |
EP0680072B1 (en) * | 1994-04-28 | 2003-10-08 | Applied Materials, Inc. | A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling |
US5514246A (en) | 1994-06-02 | 1996-05-07 | Micron Technology, Inc. | Plasma reactors and method of cleaning a plasma reactor |
US5587038A (en) * | 1994-06-16 | 1996-12-24 | Princeton University | Apparatus and process for producing high density axially extending plasmas |
JPH0817799A (ja) * | 1994-06-28 | 1996-01-19 | Plasma Syst:Kk | プラズマ処理装置 |
US5540824A (en) | 1994-07-18 | 1996-07-30 | Applied Materials | Plasma reactor with multi-section RF coil and isolated conducting lid |
JP3410558B2 (ja) * | 1994-08-11 | 2003-05-26 | アネルバ株式会社 | プラズマ処理装置 |
JP3140934B2 (ja) * | 1994-08-23 | 2001-03-05 | 東京エレクトロン株式会社 | プラズマ装置 |
JPH0878191A (ja) * | 1994-09-06 | 1996-03-22 | Kobe Steel Ltd | プラズマ処理方法及びその装置 |
US5783101A (en) | 1994-09-16 | 1998-07-21 | Applied Materials, Inc. | High etch rate residue free metal etch process with low frequency high power inductive coupled plasma |
US5753044A (en) * | 1995-02-15 | 1998-05-19 | Applied Materials, Inc. | RF plasma reactor with hybrid conductor and multi-radius dome ceiling |
ATE181637T1 (de) | 1994-10-31 | 1999-07-15 | Applied Materials Inc | Plasmareaktoren zur halbleiterscheibenbehandlung |
US5607542A (en) | 1994-11-01 | 1997-03-04 | Applied Materials Inc. | Inductively enhanced reactive ion etching |
US5683538A (en) | 1994-12-23 | 1997-11-04 | International Business Machines Corporation | Control of etch selectivity |
US5688357A (en) | 1995-02-15 | 1997-11-18 | Applied Materials, Inc. | Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor |
US5523261A (en) | 1995-02-28 | 1996-06-04 | Micron Technology, Inc. | Method of cleaning high density inductively coupled plasma chamber using capacitive coupling |
US5710486A (en) * | 1995-05-08 | 1998-01-20 | Applied Materials, Inc. | Inductively and multi-capacitively coupled plasma reactor |
EP0756309A1 (en) | 1995-07-26 | 1997-01-29 | Applied Materials, Inc. | Plasma systems for processing substrates |
JPH09180897A (ja) * | 1995-12-12 | 1997-07-11 | Applied Materials Inc | 高密度プラズマリアクタのためのガス供給装置 |
US5772771A (en) * | 1995-12-13 | 1998-06-30 | Applied Materials, Inc. | Deposition chamber for improved deposition thickness uniformity |
US6054013A (en) * | 1996-02-02 | 2000-04-25 | Applied Materials, Inc. | Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density |
US6095084A (en) * | 1996-02-02 | 2000-08-01 | Applied Materials, Inc. | High density plasma process chamber |
US5683548A (en) * | 1996-02-22 | 1997-11-04 | Motorola, Inc. | Inductively coupled plasma reactor and process |
JPH1079372A (ja) | 1996-09-03 | 1998-03-24 | Matsushita Electric Ind Co Ltd | プラズマ処理方法及びプラズマ処理装置 |
JP3220394B2 (ja) | 1996-09-27 | 2001-10-22 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US5944942A (en) * | 1998-03-04 | 1999-08-31 | Ogle; John Seldon | Varying multipole plasma source |
-
1996
- 1996-05-13 US US08/648,254 patent/US6165311A/en not_active Expired - Fee Related
-
1997
- 1997-04-24 TW TW086105338A patent/TW329018B/zh active
- 1997-05-13 KR KR1019970018374A patent/KR970077318A/ko active IP Right Grant
- 1997-05-13 EP EP97303244A patent/EP0807953A1/en not_active Withdrawn
- 1997-05-13 JP JP12267997A patent/JP4236294B2/ja not_active Expired - Lifetime
-
2000
- 2000-09-29 US US09/675,319 patent/US6444085B1/en not_active Expired - Fee Related
-
2001
- 2001-10-02 US US09/970,121 patent/US6736931B2/en not_active Expired - Fee Related
-
2004
- 2004-02-25 US US10/786,424 patent/US20040163764A1/en not_active Abandoned
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7510667B2 (en) | 2002-02-06 | 2009-03-31 | Panasonic Corporation | Plasma processing method and apparatus |
TWI466186B (zh) * | 2007-04-24 | 2014-12-21 | Applied Materials Inc | 消除感應耦合電漿反應器中之m形蝕刻速率分佈之方法 |
US8956500B2 (en) | 2007-04-24 | 2015-02-17 | Applied Materials, Inc. | Methods to eliminate “M-shape” etch rate profile in inductively coupled plasma reactor |
TWI736582B (zh) * | 2016-02-12 | 2021-08-21 | 美商蘭姆研究公司 | 電漿源之腔室構件、電漿源、保護殼、基板處理腔室與系統 |
Also Published As
Publication number | Publication date |
---|---|
JP4236294B2 (ja) | 2009-03-11 |
JPH1092598A (ja) | 1998-04-10 |
US6444085B1 (en) | 2002-09-03 |
EP0807953A1 (en) | 1997-11-19 |
US6736931B2 (en) | 2004-05-18 |
US20020020499A1 (en) | 2002-02-21 |
US6165311A (en) | 2000-12-26 |
KR970077318A (ko) | 1997-12-12 |
US20040163764A1 (en) | 2004-08-26 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW329018B (en) | RF plasma reactor plasma | |
TW344936B (en) | Plasma apparatus | |
TW349235B (en) | Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna | |
TW360913B (en) | Plasma source for HDP-CVD chamber | |
TW279240B (en) | Parallel-plate icp source/rf bias electrode head | |
TW327267B (en) | RF induction plasma source for plasma processing | |
TW345677B (en) | Plasma uniformity control for an inductive plasma source | |
TW277141B (en) | Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source | |
TW326617B (en) | Plasma generator and surface treatment apparatus using this plasma generator | |
IL107902A0 (en) | High frequency power supply using a non-saturating magnetic amplifier controller | |
GB9226335D0 (en) | Inductively coupled plasma spectrometers and radio-frequency power supply therefor | |
CA2444766A1 (en) | Method and apparatus for sequential plasma treatment | |
TW501842U (en) | Structure for incorporationg an inductively coupled plasma source in plasma processing chamber | |
TW376547B (en) | Method and apparatus for plasma processing | |
WO2000058995A3 (en) | Apparatus for improving plasma distribution and performance in an inductively coupled plasma | |
WO2002019364A3 (en) | Inductively coupled plasma using an internal inductive element | |
DE60033312D1 (de) | Plasmabehandlungsvorrichtung und -verfahren | |
WO2003095058A3 (en) | Plasma-assisted multi-part processing | |
EP0379828A3 (en) | Radio frequency induction/multipole plasma processing tool | |
TW325582B (en) | Plasma treatment device and plasma treatment method | |
DE3850422D1 (de) | Energieversorgung für einen induktiv gekoppelten Plasmabrenner. | |
TW347549B (en) | Plasma processor for large workpieces | |
WO2002033729A3 (en) | Plasma reactor with reduced reaction chamber | |
IL136874A0 (en) | Improved focus rings and methods therefor | |
TW200632980A (en) | Plasma generation apparatus |