TWI736582B - 電漿源之腔室構件、電漿源、保護殼、基板處理腔室與系統 - Google Patents
電漿源之腔室構件、電漿源、保護殼、基板處理腔室與系統 Download PDFInfo
- Publication number
- TWI736582B TWI736582B TW106104387A TW106104387A TWI736582B TW I736582 B TWI736582 B TW I736582B TW 106104387 A TW106104387 A TW 106104387A TW 106104387 A TW106104387 A TW 106104387A TW I736582 B TWI736582 B TW I736582B
- Authority
- TW
- Taiwan
- Prior art keywords
- coil
- plasma source
- chamber
- patent application
- scope
- Prior art date
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/321—Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32174—Circuits specially adapted for controlling the RF discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32477—Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
- H01J37/32495—Means for protecting the vessel against plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32623—Mechanical discharge control means
- H01J37/32651—Shields, e.g. dark space shields, Faraday shields
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
- H01J37/32724—Temperature
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68742—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Power Engineering (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Plasma Technology (AREA)
- Drying Of Semiconductors (AREA)
Abstract
提供電漿源的腔室構件,且其包括側部壁、過渡構件、頂部壁、及注
射器連接構件。側部壁為圓柱狀並圍繞基板處理腔室的上方區域。過渡構件連接至側部壁。頂部壁連接至過渡構件。注射器連接構件連接至頂部壁,在垂直方向上定位於高於側部壁之處,且配置以連接至氣體注射器。氣體經由氣體注射器通過注射器連接構件並進入基板處理腔室的上方區域。腔室構件之中央高度對下方內側直徑之比例為0.25-0.5及/或腔室構件之中央高度對外側高度之比例為0.4-0.85。
Description
[相關申請案之交互參照]本申請案主張2016年2月12日申請之美國臨時專利申請案第62/294574號。前面引述的申請案以全文併入本案之參考資料。
本發明係關於基板處理,且更具體而言,係關於電漿源與底座的結構。
提供於本文之先前技術的敘述,係為了整體地呈現本發明之背景。在先前技術部分中敘述的成果之範圍內,本案列名之發明人的成果,以及在申請期間不適格作為先前技術之敘述觀點,皆非直接或間接地被承認係對抗本發明之先前技術。
基板處理系統可用以蝕刻基板(例如半導體晶圓)上的薄膜。基板處理系統一般包括基板處理腔室、氣體配送裝置、及基板支持器。在處理期間,可將基板設置在基板支持器上。可將不同的氣體混合物引入基板處理腔室中並產生射頻(RF)電漿以將化學反應加以活化。RF電漿可為感應耦合型電漿
(ICP)或變壓器耦合型電漿(TCP)。可藉由電漿源提供ICP或TCP(對一或更多線圈充電之結果)。電漿源包括基板處理腔室之上部與一或更多線圈。
ICP源的腔室構件可為圓柱狀或圓頂狀。TCP源的腔室構件可為平面狀。ICP源的圓柱狀腔室構件具有位於腔室構件之圓周周圍的線圈。ICP源的圓頂狀腔室構件為球狀且具有設置於腔室構件之上方的線圈。TCP源的平面狀腔室構件包括平面圓形介電窗,而線圈安裝於其上方。
具有圓柱狀、圓頂狀及平面狀腔室構件之電漿源具有相關的缺點。圓柱狀腔室構件之缺點為:所有對應的線圈均位於圓柱體之圓周周圍,不允許調整從圓柱狀腔室構件之中央(例如,延伸通過圓柱狀腔室之中央的垂直中線)到外側圓周邊緣的徑向電漿密度。
圓頂狀腔室構件具有中央安裝線圈及外側安裝線圈。中央安裝線圈可位於圓頂狀腔室構件之頂部與中央附近處。外側安裝線圈可位於較下方處、圓頂狀腔室之周邊上方與附近處。圓頂狀腔室構件之缺點為:和外側安裝線圈與基板之間的距離相較之下,中央安裝線圈與基板的中央之間存在較長的距離。在對應之電漿源的中央的較長距離可能導致在中央產生的電漿物種比起在外側邊緣產生者有較長的滯留時間。當供應高壓氣體及/或當供應負電性氣體時,由於在高密度電漿之情況下的局部化區域,此影響更為顯著。
平面狀腔室構件的缺點為:由於厚介電窗(例如,厚度為1-2英吋),故對應的線圈通常位在離電漿相當遠的距離處。介電窗厚以承受跨介電窗之壓力差所產生的機械力。因為線圈與電漿之間的互感降低,故腔室構件與電漿之間的物理性分離降低了對應之TCP源之效率。這導致流經線圈的電流增加、及銅損失增加(亦即磁場損失增加)。線圈離腔室構件的內部腔室區域愈
遠,磁場損失愈多,也因此為提供內部腔室區域中要求之磁場所需之電流愈多。
提供電漿源的腔室構件,且其包括側部壁、過渡構件、頂部壁、及注射器連接構件。該側部壁為圓柱狀並圍繞基板處理腔室的上方區域。
該過渡構件連接至該側部壁。該頂部壁連接至該過渡構件。該注射器連接構件連接至該頂部壁,在垂直方向上定位於高於該側部壁之處,且配置以連接至氣體注射器。氣體經由該氣體注射器通過該注射器連接構件並進入該基板處理腔室的該上方區域。該腔室構件之中央高度對下方內側直徑之比例為0.25-0.5及/或該腔室構件之中央高度對外側高度之比例為0.4-0.85。
在其他特徵中,提供一底座且其包括射頻電極、上升銷、c形環、絕緣件、及接地屏蔽。該上升銷位於該射頻電極之徑向外側處。該c形環接收基板,其中該上升銷相對於該底座而移動該c形環。該射頻電極、該上升銷、及該絕緣件設置於該接地屏蔽之中,其中該絕緣件將該射頻電極隔離於該上升銷及該接地屏蔽。
從詳細的實施方式、申請專利範圍,以及圖式,本發明的實用
性的更遠範圍將變得明顯。該詳細的實施方式與具體的例子僅是為了描述之目的,而非欲限制本發明之範圍。
100:基板處理系統
101:基板處理腔室
102:下腔室區
104:上腔室區
108:腔室側部壁表面
110:腔室底部表面
114:氣體配送裝置
118:上部
121:第一環狀支持器
122:基板支持器
123:間隔孔洞
125:第二環狀支持器
126:基板
127:孔洞
128:噴淋頭/板
129:氣體流動通道/間隔孔洞
131:孔洞
133:加熱元件
134:氣體流動通道
136:通道或注射器
138:箭頭
140:感應線圈
142:氣體注射器
150-1:氣體輸送系統
150-2:氣體輸送系統
152:氣體源
154:閥
156:MFCs
158:混合歧管
170:電漿產生器
172:RF產生器
174:匹配網路
176:控制器
178:閥
180:泵浦
186:RF(偏壓)產生器
188:匹配網路
190:電漿
200:上部
202:側部壁
204:過渡構件
206:頂部壁
208:注射器連接構件
220:腔室部分
222:支持板
224:注射器
225:箭頭
226:箭頭
228:箭頭
230:腔室部分
232:側部壁
234:支持板
235:箭頭/下方內側直徑
236:注射器
237:點
238:箭頭
239:點
240:箭頭
250:電漿源
251:腔室構件
252:線圈
254:線圈
256:注射器
258:注射器連接構件
260:側部壁
262:刪節號
280:串聯線圈配置
282:線圈
284:電容器
286:線圈
288:電容器
290:終端
292:接地終端
300:外側線圈
302:電容器
304:線圈
306:電容器
310:終端
312:終端
314:接地終端
320:腔室部分
322:線圈
324:線圈
326:功率源
340:保護殼
342:電漿源
344:上腔室部
346:接地(分離)屏蔽
350:第一區域
352:第二區域
354:線圈
356:線圈
360:銷
362:絕緣件
364:保護殼頂部壁
366:電容器
370:腔室部分
372:擴散器
380:腔室部分
382:擴散器
384:側部朝上注射器
390:腔室部分
392:擴散器
394:側部朝下注射器
396:噴淋頭
400:腔室部分
402:擴散器
404:側部朝下注射器
406:配送板
420:噴淋頭
422:孔洞
430:底座
432:絕緣件
434:接地屏蔽
436:RF電極
437:開放區域
438:基板
450:上升銷
451:導引件
452:c形環
453:內側表面
455:中線
460:制動器
470:底座系統
472:馬達
474:傳動螺桿組件
476:匹配網路
478:凸出部分
500:作業
502:作業
504:作業
506:作業
508:作業
510:作業
512:作業
514:作業
515:作業
516:作業
α:角度
C1:電容器
C2:電容器
從詳細的實施方式與隨附圖式,將會更完整地了解本發明,在其中:圖1根據本發明之實施例,為基板處理系統之範例之功能性方塊圖;圖2根據本發明之實施例,為基板處理腔室之上部的立體剖面圖;圖3為習知電漿源的圓頂狀腔室部的剖面側視圖;圖4為圖2的基板處理腔室之上部的剖面側視圖,標示某些高度與直徑;圖5根據本發明之實施例,為電漿源的剖面側視圖,其包括圖2的基板處理腔室之上部並圖解例示性線圈實施例;圖6根據本發明之實施例,為串聯線圈配置之示意圖;圖7根據本發明之實施例,為並聯線圈配置之示意圖;圖8根據本發明之實施例,為電漿源之腔室部分的功能性方塊與剖面側視圖,其具有獨立控制線圈。
圖9根據本發明之實施例,為腔室之一部分的剖面側視圖,其包括電漿源與接地分離屏蔽;圖10根據本發明之實施例,為電漿源之腔室部分的剖面側視圖,其包括擴散器;圖11根據本發明之實施例,為電漿源之腔室部分的剖面側視圖,其包括擴散器與側部朝上注射器;
圖12根據本發明之實施例,為電漿源之腔室部分的剖面側視圖,其包括擴散器與側部朝下注射器;圖13根據本發明之實施例,為電漿源之腔室部分的剖面側視圖,其包括擴散器、側部朝下注射器、及配送板;圖14根據本發明之實施例,為噴淋頭之底視圖;圖15根據本發明之實施例,為底座之剖面側視圖,其併入絕緣件與接地屏蔽;圖16根據本發明之實施例,為底座之剖面側視圖,其併入上升銷與c形環;圖17為底座系統之功能性方塊與剖面側視圖,圖解底座與基板的z方向移動;圖18根據本發明之實施例,圖解操作基板處理系統之方法。
在該等圖式中,參考數字可重複使用來註記相似及/或相同的元件。
本文中揭露之範例用以改善基板的蝕刻均勻性,包括邊緣均勻性及可調諧徑向均勻性。改善的蝕刻均勻性降低了因電漿而造成的基板損壞風險,且允許各種將氣體注射至基板處理腔室的選擇。範例包括電漿源之腔室構件,其所具有的幾何形狀與對應的線圈配置允許跨基板的受控制且均勻之電漿生成。電漿源允許控制電漿源之中央附近處的電漿密度,而與具有圓頂狀腔室構件的習知電漿源不同。與具有圓頂狀腔室構件的習知電漿源相比,所揭露的
電漿源使中央線圈放置得更靠近基板。這縮短電漿在所揭露之腔室構件的頂部中央表面與基板之間的滯留時間。滯留時間指涉電漿通過下列兩者之間的時間量:相鄰於頂部中央表面的區域,與對應基板的中央。
範例亦提供底座設計,其允許從底座輕易移出基板。底座設計包括未通過RF電極及/或板的上升銷。這改善跨底座與基板之背側(面對底座之側)的熱及RF均勻性。底座設計亦降低了上升銷區域中的電弧風險,這係因為上升銷未位於RF能量發射的區域中。
現參照圖1,根據本發明呈現基板處理系統100之範例,其中具有用以蝕刻基板之薄膜層的基板處理腔室101。雖然呈現並描述特定的基板處理腔室,但本文中所述的方法可實施在其他類型的基板處理系統上。雖然圖1呈現具有各式各樣特徵的基板處理系統100,但這些特徵中的一或更多者可不同及/或可不包含其中。參考圖2-17呈現並描述替代性實施例之範例。
再參照圖1,基板處理腔室101包括下腔室區102及上腔室區104。下腔室區102由腔室側部壁表面108、腔室底部表面110、及氣體配送裝置114之下表面所界定。結合對應的線圈(其範例描述如下)的基板處理腔室101之上部(或腔室構件)118可稱為電漿源且可提供ICP。
上腔室區104由氣體配送裝置114之上表面及上部118之內側表面所界定。在一些範例中,上部118置放於第一環狀支持器121上。在一些範例中,第一環狀支持器121包括用以將處理氣體輸送到上腔室區104的一或更多間隔孔洞123(將進一步描述如下)。在一些範例中,一或更多間隔孔洞123相對於平面(包括氣體配送裝置114)呈銳角而以朝上方向輸送處理氣體,但可使用其他角度/方向。在一些範例中,第一環狀支持器121中的氣體流動通道134將氣體供
應到(i)一或更多間隔孔洞123及/或(ii)一或更多通道或注射器136,其向上(以箭頭138標記)引導氣體以與上腔室區104中的氣體及/或電漿混合。
第一環狀支持器121可置放在第二環狀支持器125之上及/或上方。第二環狀支持器125界定用以將處理氣體從氣體流動通道129輸送到下腔室區102的一或更多間隔孔洞127。在一些範例中,氣體配送裝置114中的孔洞131與孔洞127對齊。在其他範例中,氣體配送裝置114具有較小的直徑且不需要孔洞131。在一些範例中,一或更多間隔孔洞127相對於平面(包括氣體配送裝置114)呈銳角而以朝下方向對基板126輸送處理氣體,但可使用其他角度/方向。
在其他範例中,上腔室區104為具有平坦頂部表面的圓柱狀。又在其他範例中,單一腔室可與位在噴淋頭128與基板支持器122之間的間隔件一起使用。
基板支持器122設置在下腔室區102中。在一些範例中,基板支持器122包括靜電卡盤(ESC),但可使用其他類型的基板支持器。在蝕刻期間,基板126設置在基板支持器122的上表面上。在一些範例中,可藉由加熱元件(或加熱板)133、具有流體通道的選用性冷卻板、及一或更多感測器(未圖示)來控制基板126之溫度;但可使用任何其他適合的基板支持器溫度控制系統。
在一些範例中,氣體配送裝置114包括噴淋頭(例如,具有複數間隔孔洞129的板128)。複數間隔孔洞129從板128的上表面延伸到板128的下表面。在一些範例中,間隔孔洞129具有範圍從0.4”到0.75”的直徑,且噴淋頭係由傳導性材料(例如鋁)或非傳導性材料(例如陶瓷)所製成並具有由傳導性材料所製成之嵌入式電極。
一或更多感應線圈140可設置在上部118之外側部分的周圍。當被施加能量時,一或更多感應線圈140在上部118的內側產生電磁場。在一些範例中,使用上方線圈與下方線圈。氣體注射器142注射來自氣體輸送系統150-1的一或更多氣體混合物。
在一些範例中,氣體輸送系統150-1包括一或更多氣體源152、一或更多閥154、一或更多質流控制器(MFCs)156、及混合歧管158,但可使用其他類型的氣體輸送系統。可使用氣體分離器(未圖示)來改變氣體混合物的流率。可使用另一氣體輸送系統150-2將蝕刻氣體或蝕刻氣體混合物供應至氣體流動通道129及/或134(除了來自氣體注射器142的蝕刻氣體之外又另外加上、或取代來自氣體注射器142的蝕刻氣體)。
適當的氣體輸送系統呈現並描述於共同受讓的美國專利申請案第14/945680號,其案名為「Gas Delivery System」且申請日為2015年12月4日,該案以全文併入本案之參考資料。適當的單或雙氣體注射器與其他氣體注射位置呈現並描述於共同受讓的美國臨時專利申請案第62/275837號,其案名為「Substrate Processing System with Multiple Injection Points and Dual Injector」且申請日為2016年1月7日,該案以全文併入本案之參考資料。
在一些範例中,氣體注射器142包括:一中央注射位置,以朝下方向導引氣體;及一或更多側注射位置,以相對該朝下方向之一角度注射氣體。在一些範例中,氣體輸送系統150-1以第一流率將氣體混合物的第一部分輸送至氣體注射器142之中央注射位置,並以第二流率將氣體混合物的第二部分輸送至氣體注射器142之側注射位置。在其他範例中,由氣體注射器142輸送不
同的氣體混合物。在一些範例中,氣體輸送系統150-1將調諧氣體輸送至氣體流動通道129及134、及/或輸送至處理腔室中的其他位置(將描述如下)。
可使用電漿產生器170來產生輸出至一或更多感應線圈140的RF功率。電漿190在上腔室區104中產生。在一些範例中,電漿產生器170包括RF產生器172及匹配網路174。匹配網路174將RF產生器172的阻抗與一或更多感應線圈140的阻抗加以匹配。在一些範例中,氣體配送裝置114連接至參考電位(例如地面)。可使用閥178及泵浦180來控制下及上腔室區102及104中的壓力,並將反應物排空。
控制器176與氣體輸送系統150-1及150-2、閥178、泵浦180、及/或電漿產生器170通訊,以控制處理氣體與沖洗氣體的流量、RF電漿、及腔室壓力。在一些範例中,透過一或更多感應線圈140將電漿維持在上部118之內側。使用氣體注射器142(及/或孔洞123)從基板處理腔室101的頂部引入一或更多氣體混合物,並使用氣體配送裝置114將電漿限制在上部118中。
將電漿限制在上部118中允許電漿物種的體積復合(volume recombination)及期望的蝕刻劑物種經由氣體配送裝置114而流出(enfusing)。在一些範例中,未施加RF偏壓至基板126。因此,基板126上無活性鞘層(active sheath),且離子未以任何有限的(finite)能量撞擊基板。某些離子會通過氣體配送裝置114而擴散至電漿區域之外。然而,擴散之電漿的量比位在上部118之內側之電漿的量低一個數量級。電漿中大部分的離子因在高壓下的體積復合而耗損(lost)。在氣體配送裝置114之上表面的表面復合損失(surface recombination loss)亦使氣體配送裝置114之下的離子密度降低。
在其他範例中,基板處理系統100包括RF偏壓產生器186及匹配網路188。RF偏壓產生器186產生RF偏壓,其可用以在氣體配送裝置114與基板支持器122之間產生電漿,或可用以在基板126上產生自偏壓以吸引離子。可使用控制器176來控制RF偏壓。RF偏壓產生器186及/或匹配網路188的輸出頻率可為0.5-60百萬赫茲(MHz)。
雖然主要將基板處理系統100描述為與ICP源一起操作,但基板處理系統100亦可(或替代地)操作為電容耦合型電漿(CCP)反應器及/或平行板反應器,其中基板支持器122的RF電極作為下板,且噴淋頭128或電漿源的腔室構件作為上板(視噴淋頭128是否包含在內而定)。
再參照圖2,呈現基板處理腔室之上部200的立體剖面圖。上部200可替代圖1之上部118,且可稱為電漿源之腔室部分或構件。上部200可由陶瓷、石英、及/或其他適當的介電材料形成。上部200可稱為「壓縮的」(squashed)腔室部分。上部200非為圓頂狀、球狀、或半球狀。上部200包括側部壁202、過渡構件204、頂部壁206、及注射器連接構件208。雖然呈現單一元件,但上部200可包括複數個不同元件。例如,元件202、204、206、208可分別地形成並連接在一起,或可形成為單一元件的一部分,如圖所示。
側部壁202可為圓柱狀,且可相對於第一環狀支持器121、基板支持器122、及/或基板處理系統100之其他水平定向的元件而定向於垂直方向上。過渡構件204將側部壁202連接至頂部壁206並設定側部壁202與頂部壁206之間的角度α。角度α可介於100-145°,取決於角度α從頂部壁的哪個地方判定。
在一實施例中,角度α為115-135°。頂部壁206之剖面及/或頂部壁206之剖面的部分可為半線或線狀。頂部壁206在過渡構件204與注射器連接構件208之間延
伸。頂部壁206可為內凹狀及/或半球狀。頂部壁206非為球狀。元件202、204、206、及/或208可具有相同的厚度。
在另一實施例中,側部壁202與頂部壁206之間的角度α可較圖2中所示者更小,及/或頂部壁206之剖面可較圖2中所示者更呈線狀。頂部壁之剖面可在過渡構件204與注射器連接構件208之間呈線狀。因此,上部200之頂部可較圖2中所示者的形狀更平坦。
現參照圖3-4,呈現習知電漿源的圓頂狀腔室部分220與本文中揭露之電漿源的腔室部分230。圓頂狀腔室部分220可具有0.6的中央高度對下方內側直徑之比例。中央高度指涉下列兩者之間的高度:(i)圓頂狀腔室部分220的底部或支持板222之頂部表面及(ii)注射器224之底部。下方內側直徑指涉位於圓頂狀腔室部分220之底部的內側直徑。下方內側直徑以箭頭225呈現。腔室部分230可具有0.25-0.5的中央高度對下方內側直徑之比例,視側部壁232之高度而定。中央高度指涉下列兩者之間的高度:(i)腔室部分230的底部或支持板234之頂部表面及(ii)注射器236之底部。對下方內側直徑以箭頭235標記。在一實施例中,腔室部分230之中央高度對下方內側直徑之比例為0.35-0.45。在另一實施例中,中央高度對下方內側直徑之比例為0.4。在一實施例中,下方內側直徑235大於或等於在腔室部分230下方被處理的基板之直徑。
圓頂狀腔室部分220可具有0.18的外側高度對中央高度之比例。
腔室部分230可具有0.4-0.85的外側高度對中央高度之比例。在一實施例中,腔室部分230可具有0.5-0.6的外側高度對中央高度之比例。在另一實施例中,腔室部分230可具有0.55的外側高度對中央高度之比例。圓頂狀腔室部分220之外側高度指涉下列兩者之間的高度:(i)圓頂狀腔室部分220的底部或支持板222之頂
部表面及(ii)圓頂狀腔室部分220開始向內彎曲(沿著圓頂狀腔室部分220的內側表面)的點(呈現例示性點237)的高度。外側高度與中央高度以箭頭226與228表示。腔室部分230之外側高度可指涉下列兩者之間的高度:(i)腔室部分230的底部或支持板234之頂部表面及(ii)腔室部分230開始向內彎曲(沿著腔室部分230的內側表面)的點(呈現例示性點239)的高度。外側高度可等於側部壁232之高度。
外側高度與中央高度以箭頭238與240表示。
圖5呈現電漿源250,其包括上部200、中央(內側)線圈252及外側(邊緣)線圈254。中央線圈252位在腔室構件251之中央面積的上方、注射器256及注射器連接構件258周圍、及電漿源250之頂部附近。外側線圈254位在側部壁260之周圍、電漿源250之底部附近。線圈252、254提供電漿密度之徑向控制。刪節號262表示所產生的電漿。
由於外側線圈係放置在離所產生之電漿的徑向朝外處,本文中所揭露之電漿源之腔室部分的幾何形狀在電漿源的外側邊緣附近處提供良好的電漿生成。幾何形狀亦使用中央線圈(位在電漿源的中央區域之上及附近處)而達成在腔室部分之中央附近處之電漿密度的良好控制。這些配置亦使中央電漿位在更靠近基板之處,而縮短(i)電漿在中央區域的滯留時間,且因此減少(ii)位於中央區域之電漿與位於基板邊緣附近處之電漿之間的滯留時間差異。縮短在中央區域的滯留時間,避免了在較長的滯留時間中可能發生的電漿變化。例如,當電漿產生時,原子可能分離。在較長的滯留時間中,若干原子可能再結合,而因此降低電漿的有效性。
因為能夠以較薄的壁厚度來支撐基板處理腔室之上部上的壓力,故相較於平面TCP配置,所揭露的壓縮狀設計亦允許線圈更靠近電漿。例
如圖2之元件202、204、206、208可薄於TCP配置之介電窗或壁厚度。元件202、204、206、208可具有0.4-0.6英吋之厚度。在一實施例中,各個元件202、204、206、208之厚度為0.5英吋。電漿源之較薄上部使線圈能夠放置得更靠近電漿,而增加互感與電漿源功率效率(因為可供應較少的電流至線圈)。
壓縮的圓頂狀提供有效率的電漿源,其具有良好的均勻性與徑向調諧電漿密度的能力。由於改善的均勻性特性而提高產率之情況下,本文中揭露的電漿源提供較小的設備足印。
圖6呈現串聯線圈配置280。串聯線圈配置280包括一或更多內側(或中央)線圈282、第一電容器284、一或更多外側(或邊緣)線圈286、及第二電容器288。內側線圈282可為圖5的中央線圈252。外側線圈286可為圖5的邊緣線圈254。內側線圈282可在終端290接收來自功率源(例如圖1的RF產生器172)的電流。第二電容器可連接至接地終端292。線圈282、286連接成串聯配置,其中電容器284、288平衡在線圈端部相對於地面的電壓。可改變終端290與接地終端292之間元件的串聯順序。
作為替代,本文中揭露之任何電漿源的內側與外側線圈可連接成並聯配置,如圖7所示。外側線圈300呈現為與第一電容器302串聯。內側線圈304呈現為與第二電容器306串聯。並聯配置允許外側線圈300獨立於內側線圈304而被加以控制。外側線圈300可接收不同於線圈304的電壓及/或電流量。
呈現供應終端310、312且該者可連接至圖1的匹配網路174。電容器302、306可接地。可改變終端310、312與接地終端314之間元件的串聯順序。
圖8呈現具有獨立控制線圈322、324的電漿源之腔室部分320。
線圈322與第一電容器C1可串聯。線圈324與第二電容器C2可串聯。線圈322與
電容器C1並聯至線圈324與電容器C2。功率源326可包括圖1的RF產生器172與匹配網路174並且獨立地供應功率至線圈322、324。在一實施例中,以下列方式對線圈322、324充電:控制通過線圈322之功率比上通過線圈324之功率的比例,以改變跨基板的徑向電漿密度。
圖9呈現保護殼340之一部分。電漿源342位在保護殼340之中且具有上腔室部344。保護殼340包括接地分離屏蔽346。接地分離屏蔽346將保護殼340之內部分成第一區域350與第二區域352。RF元件(例如電容器,例如圖6-8之電容器及/或其他RF元件)位在第一區域350中。電漿源342(其包括上腔室部344及線圈354、356)位在第二區域352中。
如圖所示,電容器位在接地屏蔽346之上方,而電漿源342位在接地屏蔽346之下方。接地屏蔽346使電磁場(由位在接地屏蔽346之上方的RF元件產生)及對應的連接件與電漿源342隔離。
連接件銷360可包括在第一區域350中並連接及/或插接至圖1的匹配網路174及/或圖8的功率源326。銷360可插進位在保護殼340之保護殼頂部壁364中的絕緣件362中。銷360可連接至電容器(呈現例示性電容器366)。
圖10呈現包括擴散器372之電漿源的腔室部分370。擴散器372可包括用以接收氣體的一或更多通道且具有用以將所接收之氣體散佈至腔室部分370中的開口。若僅包括一通道,則所接收之氣體可被提供至擴散器372中所有的孔洞。若包括多於一通道,則在各通道中的氣體可被提供至擴散器372中孔洞中之對應者。擴散器372可包括任何數量的孔洞。因此,各注射氣體可從擴散器372的一或更多孔洞通過而進入腔室部分370。
圖11呈現包括擴散器382及側部朝上注射器384之電漿源的腔室部分380。在另一實施例中,進入腔室部分380之氣體注射可來自擴散器382中的一或更多孔洞及/或來自一或更多側部朝上注射器(例如側部朝上注射器384)。
圖12呈現包括擴散器392及側部朝下注射器394之電漿源的腔室部分390。在一實施例中,在電漿源下方的氣體注射可來自側部朝下注射器394(將氣體注射至電漿源下方)及/或噴淋頭396並進入基板處理腔室中。此配置允許在不同角度的側部注射,其目的為注射進基板上的不同區域中。此配置亦允許氣體注射進電漿源的獨立控制。
圖13呈現包括擴散器402、側部朝下注射器404、及配送板(或噴淋頭)406之電漿源的腔室部分400。側部朝下注射器404將氣體提供至配送板406(而非將氣體注射至電漿源下方)、提供至配送板406下方、並提供至對應的基板處理腔室中。此配置亦允許氣體注射進電漿源與基板處理腔室的獨立控制。
圖14呈現噴淋頭420之底視圖。噴淋頭420可替代本文中揭露之其他噴淋頭且可具有孔洞422之圖案。孔洞422可具有大於預設直徑的直徑。具有指定直徑之孔洞的圖案降低氣體及/或電漿在下列兩者之間的流動阻力:(i)電漿源中的區域及(ii)噴淋頭420與基板之間的區域。
圖15呈現包括絕緣件432、接地屏蔽434、RF電極436、及基板438的底座430。RF電極可包括靜電卡盤。開放區域437可處於大氣壓力下且可存在於(i)絕緣件432之間及(ii)RF電極436與接地屏蔽434之間。絕緣件432與RF電極436設置在接地屏蔽434中。因此,接地屏蔽434將RF電極436的底座側部與
底部和基板處理腔室的內部隔離,而避免寄生電漿形成在底座430的下方或其側部上。
圖16呈現併入上升銷450與c形環452的底座430。上升銷450用以相對於底座430而抬起及降下c形環452。上升銷450位在RF電極436的徑向外側處且可滑進導引件451(其可位於一或更多絕緣件432中)中。RF電極436、c形環452、及基板438可相對於中線4 55(其垂直延伸通過底座)而中置於底座430之上或之中。
提供c形環452以相對於底座430而抬起及降下基板(例如基板438)。c形環452可具有相同於或大於基板的厚度且具有推拔(tapered)或階梯狀內側表面453,其在當基板設置在c形環452上時接觸基板。內側表面453可經塑形以接收並固持基板。基板的外側直徑可匹配於內側表面453的內側直徑。上升銷450可在z-方向(或垂直地)上移動或底座430可在z-方向上移動,以使c形環452及基板相對於底座430移動。若底座430在z-方向上移動,則上升銷450的底部可接觸基板處理腔室的底部,並使得上升銷450相對於底座430向上移動,藉此將基板438抬離底座。基板438相對於底座430的移動與c形環452的形狀允許基板438經由基板傳送板(或踏板(paddle))放置在底座430上並從底座430移除。上升銷450位在RF電極436的外側且不位於基板438下方。傳送板可側向地插進c形環452的開放端。
上升銷450可經由一或更多氣動式、電氣、及/或機械式制動器(呈現單一制動器460),可位於底座430中及(作為範例)RF電極436的下方。控制器176可連接而控制上升銷450相對於底座430的位置。各個制動器及/或對應的制動器組件可包括低壓電磁圈、低壓電氣馬達、氣動式馬達、連動桿等。
在RF電極436的外側併入上升銷450提供改善的RF電極436中之熱均勻性與RF均勻性。這係因為RF電極436不具有用於上升銷的孔洞,且氣體無法如同習知底座般在RF電極436之與上升銷相關的孔洞中被離子化。因為上升銷450未位於RF電極436中且經由絕緣件432而與RF電極436隔離,故上升銷區域中的電弧風險亦較低。這改善了系統可靠性。
圖17呈現包括底座430、馬達472、及傳動螺桿組件474的底座系統470。圖1的基板支持器122可包括底座430及/或可實施為底座430。底座430可經由馬達472及傳動螺桿組件474而在z-方向上移動。馬達472可由控制器176控制。RF電極436可連接至匹配網路476,其可從圖1的RF產生器172接收RF功率。當底座430向下移動時,上升銷450可撞擊在凸出部分478上,藉此抬起c形環452及基板438。
圖1之基板處理系統100的控制器及/或其他裝置的進一步界定結構請見提供如下之圖18的方法及提供如下之用語「控制器」的定義。可使用許多方法來操作基板處理系統100,一例示性方法圖解於圖18。在圖18中,呈現操作基板處理系統的方法。雖然以下作業主要係參考圖1-17之實施例來描述,但可簡單地修改作業以適用於本發明的其他實施例。可重複地執行作業。
方法可始於500。在502,將基板設置在下腔室區102中的基板支持器(例如基板支持器122或底座430)上。這可透過下列動作來完成:在z-方向上移動底座430及/或c形環452,使得c形環452移動離開底座430及/或RF電極436並允許基板設置在c形環452上。一旦基板設置在c形環452上,c形環452可朝底座430及/或RF電極436移動。基板可包括複數層(包括一或更多薄膜層)。一或更多薄膜層(例如半導體薄膜層)由SiN形成。
在504,透過氣體輸送系統150-1及/或150-2並經由氣體注射器142提供一或更多蝕刻氣體及/或一或更多蝕刻氣體混合物至上腔室區104。蝕刻氣體混合物可包括蝕刻前驅物,例如四氟化碳(CF4)、六氟化硫(SF6)、三氟化氮(NF3)、及/或其他蝕刻前驅物。
在506,在上腔室區104中擊出電漿。在508,選擇性地經由RF產生器186及匹配網路188供應RF偏壓至基板支持器。在510,控制器176可判定預設的蝕刻週期是否已結束。若蝕刻週期已結束,執行作業512。在512,當預設的蝕刻週期結束時熄滅電漿。在514,停止RF偏壓(若使用)。在515,將基板從底座430移除。這可包括制動底座及/或c形環452以將基板從底座430及/或RF電極436移開。然後可經由傳送板(或踏板)將基板從c形環452移除。方法可結束於516。
上述作業意圖作為說明性範例,視應用而定,可依次地、同步地、同時地、連續地、在重疊時期期間、或以不同順序執行作業。此外,取決於事件之實行及/或次序,可執行或省略任何作業。
前文的敘述僅係本質上地說明,而非意欲限制本發明、其應用或使用。本發明廣泛的教示可以各種形式實行。因此,即使本發明包含具體的例子,本發明的真正範圍不應如此受限制,因為一旦研讀圖式、說明書與下列之申請專利範圍,其他修改將變得顯而易見。須了解在不改變本發明之原則的情況下,可依不同的順序(或同時)執行一方法中一或更多的步驟。再者,雖然前文中將每一實施例描述為具有某些特徵,但所述之關於本發明之任一實施例的該等特徵的任一或更多者可在任何其他實施例中實行、及/或可與任何其他實
施例的特徵組合,即使未明確地描述該組合。換句話說,所描述的實施例並非係互相排斥的,且一或更多實施例之間互相的置換仍屬於本發明的範疇。
本文中利用各種詞語說明複數元件之間(如複數模組之間、電路元件之間、半導體膜層之間等)的空間與功能關係,此些詞語包含「連接」、「銜合」、「耦合」、「鄰近(adjacent)」、「相鄰(next to)」、「在上部上(on top of)」、「在...上方」、「在...下方」、及「設置」。在上文中說明第一與第二元件間的關係時,除非特別限定「直接」,否則兩者之間的關係可以是直接關係即第一與第二元件之間不存在其他干擾元件或兩者之間的關係亦可以是間接關係即第一與第二元件之間尚存在(可以是空間上的存在或功能上的存在)一或多個干擾元件。在文中所用之「A、B與C中至少一者」的表達方式應被解讀為使用非排他性邏輯OR的邏輯式(A OR B OR C),而不應被解讀為「A之至少一者、B之至少一者與C之至少一者」。
在某些實施例中,控制器為系統的一部分,其為上述實例的一部分。此類系統可包含半導體製程設備,半導體製程設備包含一製程工具或複數製程工具、一製程室或複數製程室、一製程平臺或複數製程平臺、及/或複數的特定製程元件(晶圓支座、氣體流動系統等)。此些系統係與一些電子設備整合,此些電子設備係用以在半導體晶圓或基板製程之前、期間及之後控制系統的操作。此些電子設備可被稱為「控制器」,其可控制一系統或複數系統的各種元件或子部件。取決於製程需求及/或系統類型,控制器可被程式化以控制文中所揭露的任何製程包含輸送製程氣體、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設
定、流率設定、流體輸送設定、位置與操作設定、進出工具及連接至特定系統或與特定系統介接的其他傳送工具及/或負載鎖室之晶圓傳送。。
廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含儲存了程式指令之具有韌體形式的晶片、數位訊號處理器(DSPs)、被定義為特殊應用積體電路(ASICs)的晶片及/或能執行程式指令(如軟體)的一或多個微處理器或微控制器。程式指令可為與控制器通訊之具有各種獨立設定(或程式檔案)形式的指令,其定義為了在半導體晶圓上或針對半導體晶圓、或對一系統進行特定製程所用的操作參數。在某些實施例中,操作參數為製程工程師為了完成一或多膜層、材料、金屬、氧化物、矽、二氧化矽、表面、電路及/或晶圓之晶粒之製造期間的一或多個製程步驟所定義之配方的一部分。
在一些實施例中,控制器可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,控制器係可位於「雲端」(in the “cloud”)、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在一些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方至系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在一些範例中,控制器接收資料形式之指令,在一或
更多的操作期間,其針對待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器係配置成透過介面與該工具接合或控制該工具)的類型。因此,如上所述,控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文所述之製程及控制。用於此類用途的分開之控制器的範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
例示性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統,但不限於此。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、牽引工具、鄰近工具、遍及工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
100:基板處理系統
102:下腔室區
104:上腔室區
108:腔室側部壁表面
110:腔室底部表面
114:氣體配送裝置
118:上部
121:第一環狀支持器
122:基板支持器
123:間隔孔洞
125:第二環狀支持器
127:孔洞
128:噴淋頭/板
129:氣體流動通道/間隔孔洞
131:孔洞
133:加熱元件
134:氣體流動通道
136:通道或注射器
138:箭頭
140:感應線圈
142:氣體注射器
150-1:氣體輸送系統
150-2:氣體輸送系統
152:氣體源
154:閥
156:MFCs
158:混合歧管
170:電漿產生器
172:RF產生器
174:匹配網路
176:控制器
178:閥
180:泵浦
186:RF(偏壓)產生器
188:匹配網路
190:電漿
Claims (27)
- 一種電漿源的腔室構件,包含:一側部壁,圍繞一基板處理腔室的上方區域,其中該側部壁為圓柱狀;一過渡構件,連接至該側部壁;一頂部壁,連接至該過渡構件;以及一注射器連接構件,連接至該頂部壁,在垂直方向上定位於高於該側部壁之處,且配置以連接至一氣體注射器,其中氣體經由該氣體注射器通過該注射器連接構件並進入該基板處理腔室的該上方區域;其中下列至少一者成立:該側部壁與該頂部壁之間的角度為介於100-145°之間,該側部壁、該過渡構件、該頂部壁、或該注射器連接構件中之至少一者的厚度為0.4-0.6英吋,該腔室構件之中央高度對下方內側直徑之一比例為0.25-0.5;或該腔室構件之外側高度對中央高度之一比例為0.4-0.85。
- 如申請專利範圍第1項之電漿源的腔室構件,其中該頂部壁為半線狀。
- 如申請專利範圍第1項之電漿源的腔室構件,其中該頂部壁為線狀。
- 如申請專利範圍第1項之電漿源的腔室構件,其中該腔室構件之中央高度對下方內側直徑之該比例為0.35-0.45。
- 如申請專利範圍第1項之電漿源的腔室構件,其中該腔室構件之外側高度對中央高度之該比例為0.5-0.6。
- 如申請專利範圍第1項之電漿源的腔室構件,其中該側部壁、該過渡構件、該頂部壁、或該注射器連接構件中之該至少一者的厚度為0.5英吋。
- 如申請專利範圍第1項之電漿源的腔室構件,其中該側部壁、該過渡構件、該頂部壁、及該注射器連接構件之各者的厚度為0.4-0.6英吋。
- 一種電漿源,包含:如申請專利範圍第1項之腔室構件;第一線圈,設置在該腔室構件之一中央部分上方及該注射器連接構件之周圍;以及第二線圈,設置在該側部壁之周圍。
- 如申請專利範圍第8項之電漿源,其中該第一線圈與該第二線圈串聯。
- 如申請專利範圍第8項之電漿源,其中該第一線圈與該第二線圈並聯。
- 如申請專利範圍第10項之電漿源,更包含第三線圈,其係與該第一線圈及該第二線圈之其中一者串聯。
- 如申請專利範圍第10項之電漿源,更包含:第三線圈,設置在該腔室構件之該中央部分上方及該注射器連接構件之周圍,並與該第一線圈串聯;以及第四線圈,設置在該側部壁之周圍,並與該第二線圈串聯。
- 如申請專利範圍第8項之電漿源,更包含: 一產生器,供應電流至該第一線圈與該第二線圈;以及一控制器,配置以控制對該第一線圈與該第二線圈之電流供應,其中供應至該第一線圈的電流係獨立於供應至該第二線圈的電流而控制。
- 如申請專利範圍第8項之電漿源,更包含一擴散器,配置以將氣體散佈於該基板處理腔室的該上方區域中。
- 如申請專利範圍第14項之電漿源,其中:該擴散器包括複數通道;且該複數通道接收個別的氣體。
- 一種基板處理腔室,包含:如申請專利範圍第1項之腔室構件;以及第二注射器,將氣體朝上注射至該基板處理腔室中。
- 一種基板處理腔室,包含:如申請專利範圍第1項之腔室構件;以及第二注射器,將氣體朝下注射至一配送板或噴淋頭之下方、並進入該基板處理腔室中。
- 一種基板處理腔室,包含:如申請專利範圍第1項之腔室構件;一配送板;以及第二注射器,將氣體注射通過該配送板並進入該基板處理腔室中。
- 一種基板處理系統,包含:如申請專利範圍第1項之腔室構件;該電漿源,包含該腔室構件; 複數射頻元件,包括一電容器;一接地屏蔽;以及一保護殼;其中該電漿源、該複數射頻元件、及該接地屏蔽設置於該保護殼之中;且該接地屏蔽將該複數射頻元件與該電漿源隔離。
- 如申請專利範圍第19項之基板處理系統,其中該保護殼包含:一保護殼頂部壁;絕緣件,連接至該保護殼頂部壁;銷,穿過該絕緣件;以及一控制器,連接至該銷並供應電流至該複數射頻元件。
- 如申請專利範圍第19項之基板處理系統,更包含一底座,其中該底座包含:一射頻電極;複數上升銷,位於該射頻電極之徑向外側;以及一c形環,接收一基板,其中該複數上升銷相對於該底座而移動該c形環。
- 一種保護殼,包含:如申請專利範圍第8項之電漿源;一接地分離屏蔽,使該保護殼之第一封閉區域與該保護殼之第二封閉區域分離;以及連接件銷,部分設置於該第一封閉區域內並連接至一電容器及該第一線圈,其中該電容器係設置於該第一封閉區域內,且其中該電漿源係設置於該第二封閉區域內。
- 一種電漿源,包含:一腔室構件,包含:一側部壁,圍繞一基板處理腔室的上方區域,其中該側部壁為圓柱狀;一過渡構件,連接至該側部壁;一頂部壁,連接至該過渡構件;以及一注射器連接構件,連接至該頂部壁,在垂直方向上定位於高於該側部壁之處,且配置以連接至一氣體注射器,其中氣體經由該氣體注射器通過該注射器連接構件並進入該基板處理腔室的該上方區域,其中該側部壁與該頂部壁之間的角度為介於100-145°之間,且下列至少一者成立:該腔室構件之中央高度對下方內側直徑之一比例為0.25-0.5,或該腔室構件之外側高度對中央高度之一比例為0.4-0.85;第一線圈,設置在該腔室構件之一中央部分上方及該注射器連接構件之周圍;第二線圈,設置在該側部壁之周圍;以及第三線圈,與該第一線圈及該第二線圈之其中一者串聯,且設置在該注射器連接構件或該側部壁之其中一者的周圍。
- 如申請專利範圍第23項之電漿源,其中該第三線圈係與該第一線圈串聯且設置在該中央部分上方及該注射器連接構件之周圍。
- 如申請專利範圍第23項之電漿源,其中該第三線圈係與該第二線圈串聯且設置在該側部壁之周圍。
- 如申請專利範圍第23項之電漿源,更包含第四線圈,其係與該第二線圈串聯且設置在該側部壁之周圍,其中該第三線圈係與該第一線圈串聯且設置在該中央部分上方及該注射器連接構件之周圍。
- 一種保護殼,包含:如申請專利範圍第23項之電漿源;一接地分離屏蔽,使該保護殼之第一封閉區域與該保護殼之第二封閉區域分離;以及連接件銷,部分設置於該第一封閉區域內並連接至一電容器及該第一線圈,其中該電容器係設置於該第一封閉區域內,且其中該電漿源係設置於該第二封閉區域內。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201662294574P | 2016-02-12 | 2016-02-12 | |
US62/294,574 | 2016-02-12 | ||
US15/428,585 | 2017-02-09 | ||
US15/428,585 US10699878B2 (en) | 2016-02-12 | 2017-02-09 | Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201740425A TW201740425A (zh) | 2017-11-16 |
TWI736582B true TWI736582B (zh) | 2021-08-21 |
Family
ID=59562267
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW106104387A TWI736582B (zh) | 2016-02-12 | 2017-02-10 | 電漿源之腔室構件、電漿源、保護殼、基板處理腔室與系統 |
Country Status (5)
Country | Link |
---|---|
US (1) | US10699878B2 (zh) |
JP (1) | JP6947510B2 (zh) |
KR (1) | KR20170095151A (zh) |
CN (1) | CN107086169B (zh) |
TW (1) | TWI736582B (zh) |
Families Citing this family (73)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9873180B2 (en) | 2014-10-17 | 2018-01-23 | Applied Materials, Inc. | CMP pad construction with composite material properties using additive manufacturing processes |
KR102295988B1 (ko) | 2014-10-17 | 2021-09-01 | 어플라이드 머티어리얼스, 인코포레이티드 | 애디티브 제조 프로세스들을 이용한 복합 재료 특성들을 갖는 cmp 패드 구성 |
US10875153B2 (en) | 2014-10-17 | 2020-12-29 | Applied Materials, Inc. | Advanced polishing pad materials and formulations |
US9776361B2 (en) | 2014-10-17 | 2017-10-03 | Applied Materials, Inc. | Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles |
US11745302B2 (en) | 2014-10-17 | 2023-09-05 | Applied Materials, Inc. | Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process |
US10658222B2 (en) | 2015-01-16 | 2020-05-19 | Lam Research Corporation | Moveable edge coupling ring for edge process control during semiconductor wafer processing |
US10957561B2 (en) | 2015-07-30 | 2021-03-23 | Lam Research Corporation | Gas delivery system |
US10593574B2 (en) | 2015-11-06 | 2020-03-17 | Applied Materials, Inc. | Techniques for combining CMP process tracking data with 3D printed CMP consumables |
US10825659B2 (en) | 2016-01-07 | 2020-11-03 | Lam Research Corporation | Substrate processing chamber including multiple gas injection points and dual injector |
US10391605B2 (en) | 2016-01-19 | 2019-08-27 | Applied Materials, Inc. | Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process |
US10685862B2 (en) | 2016-01-22 | 2020-06-16 | Applied Materials, Inc. | Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device |
CN116110846A (zh) | 2016-01-26 | 2023-05-12 | 应用材料公司 | 晶片边缘环升降解决方案 |
KR102689380B1 (ko) | 2016-01-26 | 2024-07-26 | 어플라이드 머티어리얼스, 인코포레이티드 | 웨이퍼 에지 링 리프팅 솔루션 |
US10204795B2 (en) | 2016-02-04 | 2019-02-12 | Applied Materials, Inc. | Flow distribution plate for surface fluorine reduction |
US10651015B2 (en) | 2016-02-12 | 2020-05-12 | Lam Research Corporation | Variable depth edge ring for etch uniformity control |
US10699878B2 (en) | 2016-02-12 | 2020-06-30 | Lam Research Corporation | Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring |
KR102553629B1 (ko) * | 2016-06-17 | 2023-07-11 | 삼성전자주식회사 | 플라즈마 처리 장치 |
US10410832B2 (en) * | 2016-08-19 | 2019-09-10 | Lam Research Corporation | Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment |
US9947517B1 (en) | 2016-12-16 | 2018-04-17 | Applied Materials, Inc. | Adjustable extended electrode for edge uniformity control |
US10553404B2 (en) | 2017-02-01 | 2020-02-04 | Applied Materials, Inc. | Adjustable extended electrode for edge uniformity control |
US10840068B2 (en) * | 2017-02-15 | 2020-11-17 | Yield Engineering Systems, Inc. | Plasma spreading apparatus and method of spreading plasma in process ovens |
US10763081B2 (en) | 2017-07-10 | 2020-09-01 | Applied Materials, Inc. | Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device |
US11471999B2 (en) | 2017-07-26 | 2022-10-18 | Applied Materials, Inc. | Integrated abrasive polishing pads and manufacturing methods |
US10510575B2 (en) | 2017-09-20 | 2019-12-17 | Applied Materials, Inc. | Substrate support with multiple embedded electrodes |
US10763150B2 (en) | 2017-09-20 | 2020-09-01 | Applied Materials, Inc. | System for coupling a voltage to spatially segmented portions of the wafer with variable voltage |
US10811296B2 (en) | 2017-09-20 | 2020-10-20 | Applied Materials, Inc. | Substrate support with dual embedded electrodes |
US10714372B2 (en) | 2017-09-20 | 2020-07-14 | Applied Materials, Inc. | System for coupling a voltage to portions of a substrate |
US10904996B2 (en) | 2017-09-20 | 2021-01-26 | Applied Materials, Inc. | Substrate support with electrically floating power supply |
US11075105B2 (en) | 2017-09-21 | 2021-07-27 | Applied Materials, Inc. | In-situ apparatus for semiconductor process module |
US11043400B2 (en) | 2017-12-21 | 2021-06-22 | Applied Materials, Inc. | Movable and removable process kit |
US10727075B2 (en) | 2017-12-22 | 2020-07-28 | Applied Materials, Inc. | Uniform EUV photoresist patterning utilizing pulsed plasma process |
KR102518372B1 (ko) | 2018-03-23 | 2023-04-06 | 삼성전자주식회사 | 가스 분배 장치, 이를 포함하는 기판 처리 장치 및 이를 이용하는 반도체 공정 방법 |
US10555412B2 (en) | 2018-05-10 | 2020-02-04 | Applied Materials, Inc. | Method of controlling ion energy distribution using a pulse generator with a current-return output stage |
US10790123B2 (en) | 2018-05-28 | 2020-09-29 | Applied Materials, Inc. | Process kit with adjustable tuning ring for edge uniformity control |
US10347500B1 (en) | 2018-06-04 | 2019-07-09 | Applied Materials, Inc. | Device fabrication via pulsed plasma |
US11935773B2 (en) | 2018-06-14 | 2024-03-19 | Applied Materials, Inc. | Calibration jig and calibration method |
TWI662595B (zh) * | 2018-06-20 | 2019-06-11 | 凱樂士股份有限公司 | 噴淋頭結構及其多孔質陶瓷盤之製造方法 |
WO2020050932A1 (en) | 2018-09-04 | 2020-03-12 | Applied Materials, Inc. | Formulations for advanced polishing pads |
US11476145B2 (en) | 2018-11-20 | 2022-10-18 | Applied Materials, Inc. | Automatic ESC bias compensation when using pulsed DC bias |
US11289310B2 (en) | 2018-11-21 | 2022-03-29 | Applied Materials, Inc. | Circuits for edge ring control in shaped DC pulsed plasma process device |
CN118315254A (zh) | 2019-01-22 | 2024-07-09 | 应用材料公司 | 用于控制脉冲电压波形的反馈回路 |
US11508554B2 (en) | 2019-01-24 | 2022-11-22 | Applied Materials, Inc. | High voltage filter assembly |
US10784089B2 (en) | 2019-02-01 | 2020-09-22 | Applied Materials, Inc. | Temperature and bias control of edge ring |
US11279032B2 (en) | 2019-04-11 | 2022-03-22 | Applied Materials, Inc. | Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots |
US11101115B2 (en) | 2019-04-19 | 2021-08-24 | Applied Materials, Inc. | Ring removal from processing chamber |
US12009236B2 (en) | 2019-04-22 | 2024-06-11 | Applied Materials, Inc. | Sensors and system for in-situ edge ring erosion monitor |
CN112470249B (zh) * | 2019-05-14 | 2022-05-27 | 玛特森技术公司 | 具有聚焦环调整组件的等离子处理设备 |
US10964584B2 (en) | 2019-05-20 | 2021-03-30 | Applied Materials, Inc. | Process kit ring adaptor |
US11626305B2 (en) | 2019-06-25 | 2023-04-11 | Applied Materials, Inc. | Sensor-based correction of robot-held object |
CN112216646A (zh) * | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
US11211269B2 (en) | 2019-07-19 | 2021-12-28 | Applied Materials, Inc. | Multi-object capable loadlock system |
KR20210056646A (ko) | 2019-11-11 | 2021-05-20 | 삼성전자주식회사 | 플라즈마 처리 장비 |
KR102114891B1 (ko) * | 2019-11-18 | 2020-05-26 | 주식회사 기가레인 | 플라즈마 처리 장치 |
US11370114B2 (en) | 2019-12-09 | 2022-06-28 | Applied Materials, Inc. | Autoteach enclosure system |
US12027397B2 (en) | 2020-03-23 | 2024-07-02 | Applied Materials, Inc | Enclosure system shelf including alignment features |
CN111599717B (zh) * | 2020-05-09 | 2024-03-26 | 北京北方华创微电子装备有限公司 | 一种半导体反应腔室及原子层等离子体刻蚀机 |
USD954769S1 (en) | 2020-06-02 | 2022-06-14 | Applied Materials, Inc. | Enclosure system shelf |
USD980176S1 (en) | 2020-06-02 | 2023-03-07 | Applied Materials, Inc. | Substrate processing system carrier |
US11848176B2 (en) | 2020-07-31 | 2023-12-19 | Applied Materials, Inc. | Plasma processing using pulsed-voltage and radio-frequency power |
US11901157B2 (en) | 2020-11-16 | 2024-02-13 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11798790B2 (en) | 2020-11-16 | 2023-10-24 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
CN112582280B (zh) * | 2020-12-10 | 2021-07-30 | 深圳市冠禹半导体有限公司 | 一种半导体器件的制备装置 |
US20220285180A1 (en) * | 2021-03-08 | 2022-09-08 | Applied Materials, Inc. | Enclosure system structure |
US11495470B1 (en) | 2021-04-16 | 2022-11-08 | Applied Materials, Inc. | Method of enhancing etching selectivity using a pulsed plasma |
US11791138B2 (en) | 2021-05-12 | 2023-10-17 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11948780B2 (en) | 2021-05-12 | 2024-04-02 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11967483B2 (en) | 2021-06-02 | 2024-04-23 | Applied Materials, Inc. | Plasma excitation with ion energy control |
US11984306B2 (en) | 2021-06-09 | 2024-05-14 | Applied Materials, Inc. | Plasma chamber and chamber component cleaning methods |
US11810760B2 (en) | 2021-06-16 | 2023-11-07 | Applied Materials, Inc. | Apparatus and method of ion current compensation |
US11569066B2 (en) | 2021-06-23 | 2023-01-31 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
US11476090B1 (en) | 2021-08-24 | 2022-10-18 | Applied Materials, Inc. | Voltage pulse time-domain multiplexing |
JP7417569B2 (ja) * | 2021-10-29 | 2024-01-18 | 株式会社Kokusai Electric | 基板処理装置、半導体装置の製造方法及びプログラム |
US11972924B2 (en) | 2022-06-08 | 2024-04-30 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5346578A (en) * | 1992-11-04 | 1994-09-13 | Novellus Systems, Inc. | Induction plasma source |
TW329018B (en) * | 1996-05-13 | 1998-04-01 | Applied Materials Inc | RF plasma reactor plasma |
US5907221A (en) * | 1995-08-16 | 1999-05-25 | Applied Materials, Inc. | Inductively coupled plasma reactor with an inductive coil antenna having independent loops |
JP2002517086A (ja) * | 1998-05-28 | 2002-06-11 | アプライド マテリアルズ インコーポレイテッド | 半導体処理チャンバのガス分配器 |
US20060060141A1 (en) * | 2003-05-02 | 2006-03-23 | Tokyo Electron Limited | Process gas introducing mechanism and plasma processing device |
US20090236313A1 (en) * | 2008-03-20 | 2009-09-24 | Novellus Systems, Inc. | Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes |
TW201243078A (en) * | 2011-02-09 | 2012-11-01 | Applied Materials Inc | PVD sputtering target with a protected backing plate |
Family Cites Families (225)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE2831856B2 (de) | 1978-07-20 | 1981-07-02 | Drägerwerk AG, 2400 Lübeck | Anordnung zum elektrisch gesteuerten Dosieren und Mischen von Gasen |
NL8004005A (nl) | 1980-07-11 | 1982-02-01 | Philips Nv | Werkwijze voor het vervaardigen van een halfgeleiderinrichting. |
US4431477A (en) | 1983-07-05 | 1984-02-14 | Matheson Gas Products, Inc. | Plasma etching with nitrous oxide and fluoro compound gas mixture |
US4793897A (en) | 1987-03-20 | 1988-12-27 | Applied Materials, Inc. | Selective thin film etch process |
EP0424299A3 (en) | 1989-10-20 | 1991-08-28 | International Business Machines Corporation | Selective silicon nitride plasma etching |
US6024826A (en) | 1996-05-13 | 2000-02-15 | Applied Materials, Inc. | Plasma reactor with heated source of a polymer-hardening precursor material |
US5329965A (en) | 1993-07-30 | 1994-07-19 | The Perkin-Elmer Corporation | Hybrid valving system for varying fluid flow rate |
JP2638443B2 (ja) | 1993-08-31 | 1997-08-06 | 日本電気株式会社 | ドライエッチング方法およびドライエッチング装置 |
US5762714A (en) | 1994-10-18 | 1998-06-09 | Applied Materials, Inc. | Plasma guard for chamber equipped with electrostatic chuck |
US6270617B1 (en) * | 1995-02-15 | 2001-08-07 | Applied Materials, Inc. | RF plasma reactor with hybrid conductor and multi-radius dome ceiling |
US5605179A (en) | 1995-03-17 | 1997-02-25 | Insync Systems, Inc. | Integrated gas panel |
US6050283A (en) | 1995-07-07 | 2000-04-18 | Air Liquide America Corporation | System and method for on-site mixing of ultra-high-purity chemicals for semiconductor processing |
EP0756309A1 (en) * | 1995-07-26 | 1997-01-29 | Applied Materials, Inc. | Plasma systems for processing substrates |
JP2713276B2 (ja) | 1995-12-07 | 1998-02-16 | 日本電気株式会社 | 半導体装置の製造装置およびこれを用いた半導体装置の製造方法 |
US5662143A (en) | 1996-05-16 | 1997-09-02 | Gasonics International | Modular gas box system |
US6022609A (en) | 1996-10-02 | 2000-02-08 | Seagate Technology, Inc. | Magnetic recording medium with substantially uniform sub-micron-scale morphology |
US5744695A (en) | 1997-01-10 | 1998-04-28 | Sony Corporation | Apparatus to check calibration of mass flow controllers |
US6210593B1 (en) | 1997-02-06 | 2001-04-03 | Matsushita Electric Industrial Co., Ltd. | Etching method and etching apparatus |
US6376386B1 (en) | 1997-02-25 | 2002-04-23 | Fujitsu Limited | Method of etching silicon nitride by a mixture of CH2 F2, CH3F or CHF3 and an inert gas |
US6388226B1 (en) | 1997-06-26 | 2002-05-14 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas source |
US6042687A (en) | 1997-06-30 | 2000-03-28 | Lam Research Corporation | Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing |
JP3586075B2 (ja) | 1997-08-15 | 2004-11-10 | 忠弘 大見 | 圧力式流量制御装置 |
US6074959A (en) | 1997-09-19 | 2000-06-13 | Applied Materials, Inc. | Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide |
US6060400A (en) | 1998-03-26 | 2000-05-09 | The Research Foundation Of State University Of New York | Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide |
US6217937B1 (en) | 1998-07-15 | 2001-04-17 | Cornell Research Foundation, Inc. | High throughput OMVPE apparatus |
JP3830670B2 (ja) | 1998-09-03 | 2006-10-04 | 三菱電機株式会社 | 半導体製造装置 |
JP3164559B2 (ja) * | 1998-12-28 | 2001-05-08 | 太平洋セメント株式会社 | 処理容器用部材 |
US6579805B1 (en) | 1999-01-05 | 2003-06-17 | Ronal Systems Corp. | In situ chemical generator and method |
US7150994B2 (en) | 1999-03-03 | 2006-12-19 | Symyx Technologies, Inc. | Parallel flow process optimization reactor |
US6797189B2 (en) | 1999-03-25 | 2004-09-28 | Hoiman (Raymond) Hung | Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon |
WO2000063756A1 (fr) | 1999-04-16 | 2000-10-26 | Fujikin Incorporated | Dispositif d'alimentation en fluide du type derivation parallele, et procede et dispositif de commande du debit d'un systeme de pression du type a fluide variable utilise dans ledit dispositif |
US6210482B1 (en) | 1999-04-22 | 2001-04-03 | Fujikin Incorporated | Apparatus for feeding gases for use in semiconductor manufacturing |
JP2001023955A (ja) | 1999-07-07 | 2001-01-26 | Mitsubishi Electric Corp | プラズマ処理装置 |
KR100750420B1 (ko) | 1999-08-17 | 2007-08-21 | 동경 엘렉트론 주식회사 | 플라즈마 보조 처리 실행 방법 및 플라즈마 보조 처리실행 리액터 |
US6206976B1 (en) | 1999-08-27 | 2001-03-27 | Lucent Technologies Inc. | Deposition apparatus and related method with controllable edge exclusion |
JP4394778B2 (ja) | 1999-09-22 | 2010-01-06 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
US6589352B1 (en) | 1999-12-10 | 2003-07-08 | Applied Materials, Inc. | Self aligning non contact shadow ring process kit |
JP2001230239A (ja) | 2000-02-15 | 2001-08-24 | Tokyo Electron Ltd | 処理装置及び処理方法 |
DE60108482T2 (de) | 2000-03-07 | 2006-02-16 | Symyx Technologies, Inc., Santa Clara | Prozessoptimierungsreaktor mit parallelem durchfluss |
US6645302B2 (en) | 2000-04-26 | 2003-11-11 | Showa Denko Kabushiki Kaisha | Vapor phase deposition system |
TW506234B (en) | 2000-09-18 | 2002-10-11 | Tokyo Electron Ltd | Tunable focus ring for plasma processing |
US6492774B1 (en) | 2000-10-04 | 2002-12-10 | Lam Research Corporation | Wafer area pressure control for plasma confinement |
JP2002110570A (ja) | 2000-10-04 | 2002-04-12 | Asm Japan Kk | 半導体製造装置用ガスラインシステム |
US6333272B1 (en) | 2000-10-06 | 2001-12-25 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
JP3388228B2 (ja) | 2000-12-07 | 2003-03-17 | 株式会社半導体先端テクノロジーズ | プラズマエッチング装置、及びプラズマエッチング方法 |
US6962879B2 (en) | 2001-03-30 | 2005-11-08 | Lam Research Corporation | Method of plasma etching silicon nitride |
DE10216703A1 (de) | 2001-04-20 | 2002-11-28 | Festo Corp Hauppauge | Stapelbare Ventilverteileranordnung |
EP1399789A1 (en) | 2001-05-24 | 2004-03-24 | Unit Instruments, Inc. | Method and apparatus for providing a determined ratio of process fluids |
US20020189947A1 (en) | 2001-06-13 | 2002-12-19 | Eksigent Technologies Llp | Electroosmotic flow controller |
US20030070620A1 (en) | 2001-10-15 | 2003-04-17 | Cooperberg David J. | Tunable multi-zone gas injection system |
US6744212B2 (en) | 2002-02-14 | 2004-06-01 | Lam Research Corporation | Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions |
US6814813B2 (en) | 2002-04-24 | 2004-11-09 | Micron Technology, Inc. | Chemical vapor deposition apparatus |
JP3856730B2 (ja) | 2002-06-03 | 2006-12-13 | 東京エレクトロン株式会社 | 流量制御装置を備えたガス供給設備からのチャンバーへのガス分流供給方法。 |
US20040040664A1 (en) | 2002-06-03 | 2004-03-04 | Yang Jang Gyoo | Cathode pedestal for a plasma etch reactor |
US7136767B2 (en) | 2002-06-24 | 2006-11-14 | Mks Instruments, Inc. | Apparatus and method for calibration of mass flow controller |
US6810308B2 (en) | 2002-06-24 | 2004-10-26 | Mks Instruments, Inc. | Apparatus and method for mass flow controller with network access to diagnostics |
US7552015B2 (en) | 2002-06-24 | 2009-06-23 | Mks Instruments, Inc. | Apparatus and method for displaying mass flow controller pressure |
US6841943B2 (en) | 2002-06-27 | 2005-01-11 | Lam Research Corp. | Plasma processor with electrode simultaneously responsive to plural frequencies |
US6896765B2 (en) | 2002-09-18 | 2005-05-24 | Lam Research Corporation | Method and apparatus for the compensation of edge ring wear in a plasma processing chamber |
JP4502590B2 (ja) | 2002-11-15 | 2010-07-14 | 株式会社ルネサステクノロジ | 半導体製造装置 |
US7311784B2 (en) | 2002-11-26 | 2007-12-25 | Tokyo Electron Limited | Plasma processing device |
KR20040050080A (ko) | 2002-12-09 | 2004-06-16 | 주식회사 하이닉스반도체 | 플라즈마 식각 챔버용 포커스 링 구동 장치 |
US7169231B2 (en) | 2002-12-13 | 2007-01-30 | Lam Research Corporation | Gas distribution system with tuning gas |
US20040112540A1 (en) | 2002-12-13 | 2004-06-17 | Lam Research Corporation | Uniform etch system |
US6898558B2 (en) | 2002-12-31 | 2005-05-24 | Tokyo Electron Limited | Method and apparatus for monitoring a material processing system |
US20040163601A1 (en) | 2003-02-26 | 2004-08-26 | Masanori Kadotani | Plasma processing apparatus |
US20040168719A1 (en) | 2003-02-28 | 2004-09-02 | Masahiro Nambu | System for dividing gas flow |
US6907904B2 (en) | 2003-03-03 | 2005-06-21 | Redwood Microsystems, Inc. | Fluid delivery system and mounting panel therefor |
CN100508117C (zh) | 2003-05-02 | 2009-07-01 | 东京毅力科创株式会社 | 等离子体处理装置 |
CN100454200C (zh) | 2003-06-09 | 2009-01-21 | 喜开理株式会社 | 相对压力控制系统和相对流量控制系统 |
JP4195837B2 (ja) | 2003-06-20 | 2008-12-17 | 東京エレクトロン株式会社 | ガス分流供給装置及びガス分流供給方法 |
US7137400B2 (en) | 2003-09-30 | 2006-11-21 | Agere Systems Inc. | Bypass loop gas flow calibration |
JP4399227B2 (ja) | 2003-10-06 | 2010-01-13 | 株式会社フジキン | チャンバの内圧制御装置及び内圧被制御式チャンバ |
US7129171B2 (en) | 2003-10-14 | 2006-10-31 | Lam Research Corporation | Selective oxygen-free etching process for barrier materials |
US7128806B2 (en) | 2003-10-21 | 2006-10-31 | Applied Materials, Inc. | Mask etch processing apparatus |
US20050155625A1 (en) | 2004-01-20 | 2005-07-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Chamber cleaning method |
US7095179B2 (en) | 2004-02-22 | 2006-08-22 | Zond, Inc. | Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities |
US7072743B2 (en) | 2004-03-09 | 2006-07-04 | Mks Instruments, Inc. | Semiconductor manufacturing gas flow divider system and method |
US7708859B2 (en) | 2004-04-30 | 2010-05-04 | Lam Research Corporation | Gas distribution system having fast gas switching capabilities |
US20070066038A1 (en) | 2004-04-30 | 2007-03-22 | Lam Research Corporation | Fast gas switching plasma processing apparatus |
US7412986B2 (en) | 2004-07-09 | 2008-08-19 | Celerity, Inc. | Method and system for flow measurement and validation of a mass flow controller |
US7338907B2 (en) | 2004-10-04 | 2008-03-04 | Sharp Laboratories Of America, Inc. | Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications |
US20060124169A1 (en) | 2004-12-09 | 2006-06-15 | Tokyo Electron Limited | Gas supply unit, substrate processing apparatus, and supply gas setting method |
US7621290B2 (en) | 2005-04-21 | 2009-11-24 | Mks Instruments, Inc. | Gas delivery method and system including a flow ratio controller using antisymmetric optimal control |
US7288482B2 (en) | 2005-05-04 | 2007-10-30 | International Business Machines Corporation | Silicon nitride etching methods |
US20070021935A1 (en) | 2005-07-12 | 2007-01-25 | Larson Dean J | Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber |
US7291560B2 (en) | 2005-08-01 | 2007-11-06 | Infineon Technologies Ag | Method of production pitch fractionizations in semiconductor technology |
US8088248B2 (en) | 2006-01-11 | 2012-01-03 | Lam Research Corporation | Gas switching section including valves having different flow coefficients for gas distribution system |
JP4895167B2 (ja) | 2006-01-31 | 2012-03-14 | 東京エレクトロン株式会社 | ガス供給装置,基板処理装置,ガス供給方法 |
US20070204914A1 (en) | 2006-03-01 | 2007-09-06 | Asahi Organic Chemicals Industry Co., Ltd. | Fluid mixing system |
US7578258B2 (en) | 2006-03-03 | 2009-08-25 | Lam Research Corporation | Methods and apparatus for selective pre-coating of a plasma processing chamber |
JP4788920B2 (ja) | 2006-03-20 | 2011-10-05 | 日立金属株式会社 | 質量流量制御装置、その検定方法及び半導体製造装置 |
US7674337B2 (en) | 2006-04-07 | 2010-03-09 | Applied Materials, Inc. | Gas manifolds for use during epitaxial film formation |
US8997791B2 (en) | 2006-04-14 | 2015-04-07 | Mks Instruments, Inc. | Multiple-channel flow ratio controller |
US8440049B2 (en) | 2006-05-03 | 2013-05-14 | Applied Materials, Inc. | Apparatus for etching high aspect ratio features |
US8475625B2 (en) | 2006-05-03 | 2013-07-02 | Applied Materials, Inc. | Apparatus for etching high aspect ratio features |
JP4814706B2 (ja) | 2006-06-27 | 2011-11-16 | 株式会社フジキン | 流量比可変型流体供給装置 |
JP5037510B2 (ja) | 2006-08-23 | 2012-09-26 | 株式会社堀場エステック | 集積型ガスパネル装置 |
KR20080023569A (ko) | 2006-09-11 | 2008-03-14 | 주식회사 하이닉스반도체 | 식각프로파일 변형을 방지하는 플라즈마식각장치 |
US7757541B1 (en) | 2006-09-13 | 2010-07-20 | Pivotal Systems Corporation | Techniques for calibration of gas flows |
US7309646B1 (en) | 2006-10-10 | 2007-12-18 | Lam Research Corporation | De-fluoridation process |
US8592220B2 (en) | 2006-10-26 | 2013-11-26 | Intermolecular, Inc. | High pressure parallel fixed bed reactor and method |
US9405298B2 (en) | 2006-11-20 | 2016-08-02 | Applied Materials, Inc. | System and method to divide fluid flow in a predetermined ratio |
US7758698B2 (en) | 2006-11-28 | 2010-07-20 | Applied Materials, Inc. | Dual top gas feed through distributor for high density plasma chamber |
JP5002602B2 (ja) | 2006-12-05 | 2012-08-15 | 株式会社堀場エステック | 流量制御装置の検定方法 |
KR101428826B1 (ko) | 2006-12-12 | 2014-08-08 | 가부시키가이샤 호리바 에스텍 | 유량 비율 제어 장치 |
SE530902C2 (sv) | 2006-12-19 | 2008-10-14 | Alfa Laval Corp Ab | Sektionerad flödesanordning och förfarande för att reglera temperaturen i denna |
US7968469B2 (en) | 2007-01-30 | 2011-06-28 | Applied Materials, Inc. | Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity |
US8074677B2 (en) | 2007-02-26 | 2011-12-13 | Applied Materials, Inc. | Method and apparatus for controlling gas flow to a processing chamber |
US7846497B2 (en) | 2007-02-26 | 2010-12-07 | Applied Materials, Inc. | Method and apparatus for controlling gas flow to a processing chamber |
US7775236B2 (en) | 2007-02-26 | 2010-08-17 | Applied Materials, Inc. | Method and apparatus for controlling gas flow to a processing chamber |
US7988813B2 (en) | 2007-03-12 | 2011-08-02 | Tokyo Electron Limited | Dynamic control of process chemistry for improved within-substrate process uniformity |
JP5317424B2 (ja) | 2007-03-28 | 2013-10-16 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US8202393B2 (en) | 2007-08-29 | 2012-06-19 | Lam Research Corporation | Alternate gas delivery and evacuation system for plasma processing apparatuses |
US8440259B2 (en) | 2007-09-05 | 2013-05-14 | Intermolecular, Inc. | Vapor based combinatorial processing |
US7832354B2 (en) | 2007-09-05 | 2010-11-16 | Applied Materials, Inc. | Cathode liner with wafer edge gas injection in a plasma reactor chamber |
US7824146B2 (en) | 2007-09-07 | 2010-11-02 | Advanced Technology Development Facility | Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters |
JP5459895B2 (ja) | 2007-10-15 | 2014-04-02 | Ckd株式会社 | ガス分流供給ユニット |
KR101126536B1 (ko) | 2007-10-31 | 2012-03-22 | 고쿠리츠다이가쿠호진 도호쿠다이가쿠 | 플라즈마 처리 시스템 및 플라즈마 처리 방법 |
US8999106B2 (en) | 2007-12-19 | 2015-04-07 | Applied Materials, Inc. | Apparatus and method for controlling edge performance in an inductively coupled plasma chamber |
US8137463B2 (en) | 2007-12-19 | 2012-03-20 | Applied Materials, Inc. | Dual zone gas injection nozzle |
CN101903840B (zh) | 2007-12-27 | 2012-09-05 | 株式会社堀场Stec | 流量比率控制装置 |
US8037894B1 (en) | 2007-12-27 | 2011-10-18 | Intermolecular, Inc. | Maintaining flow rate of a fluid |
KR101840047B1 (ko) | 2008-01-18 | 2018-03-19 | 피포탈 시스템즈 코포레이션 | 가스 유동 제어기의 인 시투 시험을 위한 방법 및 장치 |
JP2009188173A (ja) | 2008-02-06 | 2009-08-20 | Tokyo Electron Ltd | 基板処理方法及び基板処理装置 |
JP5759177B2 (ja) | 2008-02-08 | 2015-08-05 | ラム リサーチ コーポレーションLam Research Corporation | プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット |
US8969151B2 (en) | 2008-02-29 | 2015-03-03 | Globalfoundries Singapore Pte. Ltd. | Integrated circuit system employing resistance altering techniques |
KR20100128333A (ko) | 2008-03-21 | 2010-12-07 | 어플라이드 머티어리얼스, 인코포레이티드 | 기판 에칭 시스템 및 프로세스의 방법 및 장치 |
US9591738B2 (en) * | 2008-04-03 | 2017-03-07 | Novellus Systems, Inc. | Plasma generator systems and methods of forming plasma |
JP2010034416A (ja) | 2008-07-30 | 2010-02-12 | Hitachi High-Technologies Corp | プラズマ処理装置およびプラズマ処理方法 |
BRPI0917012A2 (pt) | 2008-08-13 | 2016-02-16 | Shell Int Research | método e aparelho para controlar o fluxo de gás entre uma ou mais correntes de entrada e uma ou mais correntes de saída através de uma conjunção |
US8089046B2 (en) | 2008-09-19 | 2012-01-03 | Applied Materials, Inc. | Method and apparatus for calibrating mass flow controllers |
US8809196B2 (en) | 2009-01-14 | 2014-08-19 | Tokyo Electron Limited | Method of etching a thin film using pressure modulation |
JP5216632B2 (ja) | 2009-03-03 | 2013-06-19 | 東京エレクトロン株式会社 | 流体制御装置 |
US8409995B2 (en) | 2009-08-07 | 2013-04-02 | Tokyo Electron Limited | Substrate processing apparatus, positioning method and focus ring installation method |
KR101386552B1 (ko) | 2009-08-20 | 2014-04-17 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 및 방법과 플라즈마 에칭 처리 장치 및 방법 |
KR20120098751A (ko) | 2009-10-26 | 2012-09-05 | 솔베이 플루오르 게엠베하 | Tft 매트릭스 제조를 위한 식각 공정 |
US20120244715A1 (en) | 2009-12-02 | 2012-09-27 | Xactix, Inc. | High-selectivity etching system and method |
US9127361B2 (en) | 2009-12-07 | 2015-09-08 | Mks Instruments, Inc. | Methods of and apparatus for controlling pressure in multiple zones of a process tool |
EP2518581B1 (en) | 2009-12-25 | 2019-03-06 | Horiba Stec, Co., Ltd. | Mass flow controller system |
KR101430093B1 (ko) | 2010-03-04 | 2014-09-22 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 에칭 방법, 반도체 디바이스의 제조 방법 및 플라즈마 에칭 장치 |
JP2011226941A (ja) | 2010-04-21 | 2011-11-10 | Seiko Epson Corp | 振動型力検出センサー、及び振動型力検出装置 |
US20110265883A1 (en) | 2010-04-30 | 2011-11-03 | Applied Materials, Inc. | Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control |
JP5584517B2 (ja) | 2010-05-12 | 2014-09-03 | 東京エレクトロン株式会社 | プラズマ処理装置及び半導体装置の製造方法 |
US8485128B2 (en) | 2010-06-30 | 2013-07-16 | Lam Research Corporation | Movable ground ring for a plasma processing chamber |
WO2012016971A1 (en) | 2010-08-02 | 2012-02-09 | Basell Polyolefine Gmbh | Process and apparatus for mixing and splitting fluid streams |
US8869742B2 (en) | 2010-08-04 | 2014-10-28 | Lam Research Corporation | Plasma processing chamber with dual axial gas injection and exhaust |
US8905074B2 (en) | 2010-10-22 | 2014-12-09 | Applied Materials, Inc. | Apparatus for controlling gas distribution using orifice ratio conductance control |
EP2649218B1 (en) | 2010-12-08 | 2017-08-23 | Evatec AG | Apparatus and method for depositing a layer onto a substrate |
US20120149213A1 (en) | 2010-12-09 | 2012-06-14 | Lakshminarayana Nittala | Bottom up fill in high aspect ratio trenches |
JP5855921B2 (ja) | 2010-12-17 | 2016-02-09 | 株式会社堀場エステック | ガス濃度調整装置 |
US9303319B2 (en) | 2010-12-17 | 2016-04-05 | Veeco Instruments Inc. | Gas injection system for chemical vapor deposition using sequenced valves |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
WO2012134512A1 (en) | 2011-03-28 | 2012-10-04 | Applied Materials, Inc. | Method and apparatus for the selective deposition of epitaxial germanium stressor alloys |
US9059678B2 (en) | 2011-04-28 | 2015-06-16 | Lam Research Corporation | TCCT match circuit for plasma etch chambers |
US8746284B2 (en) | 2011-05-11 | 2014-06-10 | Intermolecular, Inc. | Apparatus and method for multiple symmetrical divisional gas distribution |
KR101926571B1 (ko) | 2011-05-31 | 2018-12-10 | 어플라이드 머티어리얼스, 인코포레이티드 | 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구 |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
JP5377587B2 (ja) | 2011-07-06 | 2013-12-25 | 東京エレクトロン株式会社 | アンテナ、プラズマ処理装置及びプラズマ処理方法 |
JP5739261B2 (ja) | 2011-07-28 | 2015-06-24 | 株式会社堀場エステック | ガス供給システム |
US8728239B2 (en) | 2011-07-29 | 2014-05-20 | Asm America, Inc. | Methods and apparatus for a gas panel with constant gas flow |
JP5948026B2 (ja) | 2011-08-17 | 2016-07-06 | 東京エレクトロン株式会社 | 半導体製造装置及び処理方法 |
US20130045605A1 (en) | 2011-08-18 | 2013-02-21 | Applied Materials, Inc. | Dry-etch for silicon-and-nitrogen-containing films |
US8849466B2 (en) | 2011-10-04 | 2014-09-30 | Mks Instruments, Inc. | Method of and apparatus for multiple channel flow ratio controller system |
US20130104996A1 (en) | 2011-10-26 | 2013-05-02 | Applied Materials, Inc. | Method for balancing gas flow supplying multiple cvd reactors |
US8933628B2 (en) | 2011-10-28 | 2015-01-13 | Applied Materials, Inc. | Inductively coupled plasma source with phase control |
JP5932599B2 (ja) | 2011-10-31 | 2016-06-08 | 株式会社日立ハイテクノロジーズ | プラズマエッチング方法 |
US8671733B2 (en) | 2011-12-13 | 2014-03-18 | Intermolecular, Inc. | Calibration procedure considering gas solubility |
US8900469B2 (en) | 2011-12-19 | 2014-12-02 | Applied Materials, Inc. | Etch rate detection for anti-reflective coating layer and absorber layer etching |
US8592328B2 (en) | 2012-01-20 | 2013-11-26 | Novellus Systems, Inc. | Method for depositing a chlorine-free conformal sin film |
CN104380101B (zh) | 2012-02-22 | 2016-10-19 | 安捷伦科技有限公司 | 质量流量控制器以及在不关闭质量流量控制器的情况下自动调零流量传感器的方法 |
JP5881467B2 (ja) | 2012-02-29 | 2016-03-09 | 株式会社フジキン | ガス分流供給装置及びこれを用いたガス分流供給方法 |
US9301383B2 (en) | 2012-03-30 | 2016-03-29 | Tokyo Electron Limited | Low electron temperature, edge-density enhanced, surface wave plasma (SWP) processing method and apparatus |
US20130255784A1 (en) | 2012-03-30 | 2013-10-03 | Applied Materials, Inc. | Gas delivery systems and methods of use thereof |
KR101974420B1 (ko) | 2012-06-08 | 2019-05-02 | 세메스 주식회사 | 기판처리장치 및 방법 |
KR101974422B1 (ko) | 2012-06-27 | 2019-05-02 | 세메스 주식회사 | 기판처리장치 및 방법 |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9355839B2 (en) | 2012-10-23 | 2016-05-31 | Lam Research Corporation | Sub-saturated atomic layer deposition and conformal film deposition |
JP5616416B2 (ja) | 2012-11-02 | 2014-10-29 | 株式会社フジキン | 集積型ガス供給装置 |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US20140144471A1 (en) | 2012-11-28 | 2014-05-29 | Intermolecular, Inc. | Contamination Control, Rinsing, and Purging Methods to Extend the Life of Components within Combinatorial Processing Systems |
US9090972B2 (en) | 2012-12-31 | 2015-07-28 | Lam Research Corporation | Gas supply systems for substrate processing chambers and methods therefor |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
KR102152858B1 (ko) | 2013-03-12 | 2020-09-07 | 어플라이드 머티어리얼스, 인코포레이티드 | 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체 |
US20140273460A1 (en) | 2013-03-13 | 2014-09-18 | Applied Materials, Inc. | Passive control for through silicon via tilt in icp chamber |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9425077B2 (en) | 2013-03-15 | 2016-08-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor apparatus with transportable edge ring for substrate transport |
US20150010381A1 (en) | 2013-07-08 | 2015-01-08 | United Microelectronics Corp. | Wafer processing chamber and method for transferring wafer in the same |
US9147581B2 (en) | 2013-07-11 | 2015-09-29 | Lam Research Corporation | Dual chamber plasma etcher with ion accelerator |
CN104299929A (zh) | 2013-07-19 | 2015-01-21 | 朗姆研究公司 | 用于原位晶片边缘和背侧等离子体清洁的系统和方法 |
JP6193679B2 (ja) | 2013-08-30 | 2017-09-06 | 株式会社フジキン | ガス分流供給装置及びガス分流供給方法 |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
CN103730318B (zh) | 2013-11-15 | 2016-04-06 | 中微半导体设备(上海)有限公司 | 一种晶圆边缘保护环及减少晶圆边缘颗粒的方法 |
WO2015099892A1 (en) | 2013-12-23 | 2015-07-02 | Applied Materials, Inc. | Extreme edge and skew control in icp plasma reactor |
US20150184287A1 (en) | 2013-12-26 | 2015-07-02 | Intermolecular, Inc. | Systems and Methods for Parallel Combinatorial Vapor Deposition Processing |
CN104752141B (zh) | 2013-12-31 | 2017-02-08 | 中微半导体设备(上海)有限公司 | 一种等离子体处理装置及其运行方法 |
CN104851832B (zh) | 2014-02-18 | 2018-01-19 | 北京北方华创微电子装备有限公司 | 一种固定装置、反应腔室及等离子体加工设备 |
US9580360B2 (en) | 2014-04-07 | 2017-02-28 | Lam Research Corporation | Monolithic ceramic component of gas delivery system and method of making and use thereof |
JP6204869B2 (ja) | 2014-04-09 | 2017-09-27 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
US20150340209A1 (en) | 2014-05-20 | 2015-11-26 | Micron Technology, Inc. | Focus ring replacement method for a plasma reactor, and associated systems and methods |
US9318343B2 (en) | 2014-06-11 | 2016-04-19 | Tokyo Electron Limited | Method to improve etch selectivity during silicon nitride spacer etch |
CN105336561B (zh) | 2014-07-18 | 2017-07-21 | 中微半导体设备(上海)有限公司 | 等离子体刻蚀装置 |
JP6789932B2 (ja) | 2014-10-17 | 2020-11-25 | ラム リサーチ コーポレーションLam Research Corporation | 調整可能ガスフロー制御のためのガス分離器を含むガス供給配送配置 |
US20160181116A1 (en) | 2014-12-18 | 2016-06-23 | Lam Research Corporation | Selective nitride etch |
US11605546B2 (en) | 2015-01-16 | 2023-03-14 | Lam Research Corporation | Moveable edge coupling ring for edge process control during semiconductor wafer processing |
US10658222B2 (en) | 2015-01-16 | 2020-05-19 | Lam Research Corporation | Moveable edge coupling ring for edge process control during semiconductor wafer processing |
TW201634738A (zh) | 2015-01-22 | 2016-10-01 | 應用材料股份有限公司 | 用於在空間上分離之原子層沉積腔室的經改良注射器 |
US9911620B2 (en) | 2015-02-23 | 2018-03-06 | Lam Research Corporation | Method for achieving ultra-high selectivity while etching silicon nitride |
US9966270B2 (en) | 2015-03-31 | 2018-05-08 | Lam Research Corporation | Gas reaction trajectory control through tunable plasma dissociation for wafer by-product distribution and etch feature profile uniformity |
US10957561B2 (en) | 2015-07-30 | 2021-03-23 | Lam Research Corporation | Gas delivery system |
US9837286B2 (en) | 2015-09-04 | 2017-12-05 | Lam Research Corporation | Systems and methods for selectively etching tungsten in a downstream reactor |
US10192751B2 (en) | 2015-10-15 | 2019-01-29 | Lam Research Corporation | Systems and methods for ultrahigh selective nitride etch |
US10825659B2 (en) | 2016-01-07 | 2020-11-03 | Lam Research Corporation | Substrate processing chamber including multiple gas injection points and dual injector |
KR102689380B1 (ko) | 2016-01-26 | 2024-07-26 | 어플라이드 머티어리얼스, 인코포레이티드 | 웨이퍼 에지 링 리프팅 솔루션 |
US9640409B1 (en) | 2016-02-02 | 2017-05-02 | Lam Research Corporation | Self-limited planarization of hardmask |
US10147588B2 (en) | 2016-02-12 | 2018-12-04 | Lam Research Corporation | System and method for increasing electron density levels in a plasma of a substrate processing system |
US10699878B2 (en) | 2016-02-12 | 2020-06-30 | Lam Research Corporation | Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring |
US10651015B2 (en) | 2016-02-12 | 2020-05-12 | Lam Research Corporation | Variable depth edge ring for etch uniformity control |
US10438833B2 (en) | 2016-02-16 | 2019-10-08 | Lam Research Corporation | Wafer lift ring system for wafer transfer |
CN107093569B (zh) | 2016-02-18 | 2019-07-05 | 北京北方华创微电子装备有限公司 | 一种晶片定位装置及反应腔室 |
US20170278679A1 (en) | 2016-03-24 | 2017-09-28 | Lam Research Corporation | Method and apparatus for controlling process within wafer uniformity |
US11011353B2 (en) | 2016-03-29 | 2021-05-18 | Lam Research Corporation | Systems and methods for performing edge ring characterization |
US10312121B2 (en) | 2016-03-29 | 2019-06-04 | Lam Research Corporation | Systems and methods for aligning measurement device in substrate processing systems |
US10410832B2 (en) | 2016-08-19 | 2019-09-10 | Lam Research Corporation | Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment |
-
2017
- 2017-02-09 US US15/428,585 patent/US10699878B2/en active Active
- 2017-02-10 KR KR1020170018561A patent/KR20170095151A/ko not_active Application Discontinuation
- 2017-02-10 TW TW106104387A patent/TWI736582B/zh active
- 2017-02-13 CN CN201710076420.1A patent/CN107086169B/zh active Active
- 2017-02-13 JP JP2017023976A patent/JP6947510B2/ja active Active
Patent Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5346578A (en) * | 1992-11-04 | 1994-09-13 | Novellus Systems, Inc. | Induction plasma source |
US5907221A (en) * | 1995-08-16 | 1999-05-25 | Applied Materials, Inc. | Inductively coupled plasma reactor with an inductive coil antenna having independent loops |
TW329018B (en) * | 1996-05-13 | 1998-04-01 | Applied Materials Inc | RF plasma reactor plasma |
JP2002517086A (ja) * | 1998-05-28 | 2002-06-11 | アプライド マテリアルズ インコーポレイテッド | 半導体処理チャンバのガス分配器 |
US20060060141A1 (en) * | 2003-05-02 | 2006-03-23 | Tokyo Electron Limited | Process gas introducing mechanism and plasma processing device |
US20090236313A1 (en) * | 2008-03-20 | 2009-09-24 | Novellus Systems, Inc. | Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes |
TW201243078A (en) * | 2011-02-09 | 2012-11-01 | Applied Materials Inc | PVD sputtering target with a protected backing plate |
Also Published As
Publication number | Publication date |
---|---|
JP6947510B2 (ja) | 2021-10-13 |
KR20170095151A (ko) | 2017-08-22 |
JP2017175121A (ja) | 2017-09-28 |
TW201740425A (zh) | 2017-11-16 |
CN107086169B (zh) | 2020-09-08 |
CN107086169A (zh) | 2017-08-22 |
US20170236688A1 (en) | 2017-08-17 |
US10699878B2 (en) | 2020-06-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI736582B (zh) | 電漿源之腔室構件、電漿源、保護殼、基板處理腔室與系統 | |
KR102503328B1 (ko) | 다운스트림 반응기에서 에지 에칭 레이트 제어를 위한 조정가능한 측면 가스 플레넘 | |
CN106992107B (zh) | 频率调制射频电源以控制等离子体不稳定性的系统和方法 | |
KR102454532B1 (ko) | 전기적 아크 및 발광을 방지하고 프로세스 균일도를 개선하기 위한 피처들을 갖는 정전 척 | |
TWI763969B (zh) | 用於電漿處理中之均勻性控制的漸縮上電極 | |
US9508530B2 (en) | Plasma processing chamber with flexible symmetric RF return strap | |
CN101199036B (zh) | 具有可调电极面积比的受约束等离子体 | |
KR102458699B1 (ko) | 개선된 프로세스 균일도를 갖는 기판 지지부 | |
US9021984B2 (en) | Plasma processing apparatus and semiconductor device manufacturing method | |
KR102521717B1 (ko) | 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계 | |
CN202285227U (zh) | 一种用作电容耦合等离子体处理室的部件的限定环 | |
CN107408486A (zh) | 用于循环与选择性材料移除与蚀刻的处理腔室 | |
US20120000605A1 (en) | Consumable isolation ring for movable substrate support assembly of a plasma processing chamber | |
KR20170114250A (ko) | 웨이퍼 내 프로세스 균일도를 제어하기 위한 방법 및 장치 | |
CN104342632A (zh) | 预清洗腔室及等离子体加工设备 | |
CN109994356B (zh) | 反应腔室和半导体加工设备 | |
KR20210149894A (ko) | 듀얼 주파수, 직접 구동 유도 결합 플라즈마 소스 | |
US20230290611A1 (en) | Distributed plasma source array | |
US9472379B2 (en) | Method of multiple zone symmetric gas injection for inductively coupled plasma | |
TW202020927A (zh) | 用於電漿腔室的l形電漿侷限環 | |
TW201527587A (zh) | 半導體系統組合件及操作方法 | |
US11967486B2 (en) | Substrate processing system including dual ion filter for downstream plasma | |
CN219716803U (zh) | 一种气体喷嘴组件及等离子体处理装置 | |
KR20080060834A (ko) | 플라즈마 처리 장치 | |
TWI851944B (zh) | 用於循環與選擇性材料移除與蝕刻的處理腔室 |