JP2017175121A - プラズマ源のチャンバ部材、および、基板c−リングの平行移動のために半径方向外側に配置されたリフトピンを備えるペデスタル - Google Patents

プラズマ源のチャンバ部材、および、基板c−リングの平行移動のために半径方向外側に配置されたリフトピンを備えるペデスタル Download PDF

Info

Publication number
JP2017175121A
JP2017175121A JP2017023976A JP2017023976A JP2017175121A JP 2017175121 A JP2017175121 A JP 2017175121A JP 2017023976 A JP2017023976 A JP 2017023976A JP 2017023976 A JP2017023976 A JP 2017023976A JP 2017175121 A JP2017175121 A JP 2017175121A
Authority
JP
Japan
Prior art keywords
chamber
substrate processing
plasma source
substrate
coil
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017023976A
Other languages
English (en)
Other versions
JP6947510B2 (ja
JP2017175121A5 (ja
Inventor
ジェームズ・ユージン・カロン
Eugene Caron James
イベリン・アンゲロフ
Angelov Ivelin
ジェーソン・リー・トレッドウェル
Lee Treadwell Jason
ユーン・ホン・パク
Joon Hong Park
カンフェン・ライ
Canfeng Lai
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2017175121A publication Critical patent/JP2017175121A/ja
Publication of JP2017175121A5 publication Critical patent/JP2017175121A5/ja
Application granted granted Critical
Publication of JP6947510B2 publication Critical patent/JP6947510B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】エッジ均一性や調整可能な半径方向均一性などの、基板のエッチング均一性を改善する。
【解決手段】基板処理チャンバは、下側チャンバ領域102および上側チャンバ領域104を備える。下側チャンバ領域は、チャンバ側壁面108、チャンバ底面110およびガス分配装置114の下面によって規定される。上側チャンバ領域は、ガス分配装置の上面および上側部分118の内面によって規定される。第2環状支持体125は、ガス流路129から下側チャンバ領域へ処理ガスを供給するための1または複数の離間した穴127を規定する。誘導コイル140が、上側部分の外側部分の周りに配列されてよい。励起されると、誘導コイルは、上側部分の内部に電磁場を生成する。ガスインジェクタ142が、ガス供給システム150−1からガス混合物を注入する
【選択図】図1

Description

関連出願への相互参照
本願は、2016年2月12日出願の米国仮出願第62/294,574号の利益を主張する。当該出願の開示全体が、参照によって本明細書に組み込まれる。
本開示は、基板処理に関し、特に、プラズマ源およびペデスタルの構造に関する。
本明細書で提供されている背景技術の記載は、本開示の背景を概略的に提示するためのものである。ここに名を挙げられている発明者の業績は、この背景技術に記載された範囲において、出願時に従来技術として通常見なされえない記載の態様と共に、明示的にも黙示的にも本開示に対する従来技術として認められない。
半導体ウエハなどの基板上の膜をエッチングするために、基板処理システムが利用されうる。基板処理システムは、通例、基板処理チャンバ、ガス分配装置、および、基板支持体を備える。処理中、基板は、基板支持体の上に配置される。異なるガス混合物が基板処理チャンバに導入され、高周波(RF)プラズマが化学反応を活性化するために生成されうる。RFプラズマは、誘導結合プラズマ(ICP)またはトランス結合プラズマ(TCP)であってよい。ICPまたはTCPは、1または複数のコイルを荷電する結果として、プラズマ源によって提供されうる。プラズマ源は、基板処理チャンバの上側部分と、1または複数のコイルとを備える。
ICP源のチャンバ部材は、円筒形またはドーム形でありうる。TCP源のチャンバ部材は、平坦形状でありうる。ICP源の円筒形チャンバ部材は、チャンバ部材の外周に配置されたコイルを有する。ICP源のドーム形チャンバ部材は、球形であり、チャンバ部材の上方に配置されたコイルを有する。TCP源の平坦形チャンバ部材は、上にコイルが取り付けられた平坦な円形誘電体窓を備える。
円筒形、ドーム形、および、平坦形のチャンバ部材を備えるプラズマ源には、関連する不利点がある。円筒形チャンバ部材の不利点は、対応するコイルすべてが円筒の周囲に配置されることであり、中心(例えば、円筒形チャンバの中心を通して伸びる垂直中心線)から円筒形チャンバ部材の周縁部までの半径方向のプラズマ密度の調整が可能ではない。
ドーム形チャンバ部材は、中央に取り付けられたコイルと、外側に取り付けられたコイルとを有しうる。中央に取り付けられたコイルは、ドーム形チャンバ部材の上部および中心の近くに位置しうる。外側に取り付けられたコイルは、ドーム形チャンバの外周上およびその近くでさらに下に位置しうる。ドーム形チャンバ部材の不利点は、外側に取り付けられたコイルと基板との間の距離に比べて、中央に取り付けられたコイルと基板の中心との間の距離が長いことである。対応するプラズマ源の中央におけるこの長い距離により、外縁に比べて中央で生成されるプラズマ種の滞留時間が長くなりうる。この影響は、高密度プラズマを備える局所的な領域により、高圧ガスが供給された時および/または電気的負性ガスが供給された時に、より顕著になる。
平坦形チャンバ部材の不利点は、対応するコイルが、通例、厚い誘電体窓(例えば、厚さ1〜2インチ(2.54〜5.08cm))により、プラズマから著しく離れた距離に位置することである。誘電体窓は、誘電体窓における圧力の差によって生じる機械力に耐えるために厚くなっている。チャンバ部材とプラズマとの間の物理的分離は、コイルとプラズマとの間の相互インダクタンスを減少させるため、対応するTCP源の効率を下げる。これは、コイルを通して流れる電流の増加および銅損失の増加(すなわち、磁場損失の増加)につながる。チャンバ部材の内部チャンバ領域からコイルが離れるほど、磁場損失が大きくなり、ひいては、内部チャンバ領域で必要な磁場を提供するのに必要な電流が増える。
プラズマ源のチャンバ部材が提供されており、側壁と、移行部材と、上壁と、インジェクタ接続部材と、を備える。側壁は、円筒形であり、基板処理チャンバの上側領域を囲む。移行部材は、側壁に結合されている。上壁は、移行部材に結合されている。インジェクタ接続部材は、上壁に結合され、垂直方向に側壁よりも高く配置され、ガスインジェクタに接続するよう構成されている。ガスが、ガスインジェクタを介して、インジェクタ接続部材を通り、基板処理チャンバの上側領域に入る。チャンバ部材の中心高さ対下方内径の比が、0.25〜0.5である、および/または、チャンバ部材の中心高さ対外側高さの比が、0.4〜0.85である。
別の特徴において、ペデスタルが提供されており、高周波電極と、リフトピンと、c−リングと、接地シールドと、を備える。リフトピンは、高周波電極の半径方向外側に配置されている。c−リングは、基板を受けて、リフトピンは、c−リングをペデスタルに対して移動させる。高周波電極、リフトピン、および、絶縁体は、接地シールド内に配置され、絶縁体は、高周波電極をリフトピンおよび接地シールドから絶縁する。
詳細な説明、特許請求の範囲、および、図面から、本開示を適用可能なさらなる領域が明らかになる。詳細な説明および具体的な例は、単に例示を目的としており、本開示の範囲を限定するものではない。
本開示は、詳細な説明および以下に説明する添付図面から、より十分に理解できる。
本開示の一実施形態に従う、基板処理システムの一例を示す機能ブロック図。
本開示の一実施形態に従う、基板処理チャンバの上側部分を示す斜視断面図。
従来のプラズマ源のドーム形チャンバ部分を示す断面図。
図2の基板処理チャンバの上側部分において、いくつかの高さおよび直径を特定する断面図。
本開示の一実施形態に従う、コイルの実施例を示す図2の基板処理チャンバの上側部分を備えるプラズマ源の断面図。
本開示の一実施形態に従う、直列コイル構成を示す概略図。
本開示の一実施形態に従う、並列コイル構成を示す概略図。
本開示の一実施形態に従う、独立制御されるコイルを有するプラズマ源のチャンバ部分を示す機能ブロック/断面図。
本開示の一実施形態に従う、プラズマ源および接地分離シールドを備えるチャンバの一部を示す断面図。
本開示の一実施形態に従う、拡散器を備えるプラズマ源のチャンバ部分を示す断面図。
本開示の一実施形態に従う、拡散器および側方上向きインジェクタを備えるプラズマ源のチャンバ部分を示す断面図。
本開示の一実施形態に従う、拡散器および側方下向きインジェクタを備えるプラズマ源のチャンバ部分を示す断面図。
本開示の一実施形態に従う、拡散器および側方下向きインジェクタおよび分配プレートを備えるプラズマ源のチャンバ部分を示す断面図。
本開示の一実施形態に従う、シャワーヘッドを示す底面図。
本開示の一実施形態に従う、絶縁体および接地分離シールドを組み込んだペデスタルを示す断面図。
本開示の一実施形態に従う、リフトピンおよびc−リングを組み込んだペデスタルを示す断面図。
ペデスタルおよび基板のz方向の動きを示すペデスタルシステムの機能ブロック/断面図。
本開示の一実施形態に従う、基板処理システムを動作させる方法を示す図。
図面において、同様および/または同一の要素を特定するために、同じ符号を用いる場合がある。
エッジ均一性および調整可能な半径方向均一性など、基板のエッチング均一性の改善のための例が、本明細書に開示されている。エッチング均一性が改善すると、プラズマによる基板損傷のリスクが減少し、基板処理チャンバにガスを注入するための様々なオプションが可能になる。これらの例は、基板にわたって制御された均一なプラズマ生成を可能にする形状および対応するコイル構成を備えるプラズマ源のチャンバ部材を含む。プラズマ源は、ドーム形チャンバ部材を備える従来のプラズマ源と異なり、プラズマ源の中央付近でのプラズマの密度の制御を可能にする。開示されているプラズマ源は、ドーム形チャンバ部材を備える従来のプラズマ源よりも基板の近くに中央コイルを配置する。これは、開示のチャンバ部材の上部中央面と基板との間でのプラズマの滞留時間を減少させる。滞留時間とは、上部中央面に隣接する領域と、対応する基板の中央との間を、プラズマが通過するのに掛かる時間のことである。
これらの例は、ペデスタルから基板を容易に除去することを可能にするペデスタル設計も提供する。ペデスタル設計は、RF電極および/またはプレートを通過しないリフトピンを備える。これは、ペデスタルおよび基板の背面(ペデスタルと対向する側)における熱およびRFの均一性を改善する。ペデスタル設計は、RFエネルギが放射される領域にリフトピンが配置されないので、リフトピン領域でのアーク放電のリスクも減少させる。
ここで、図1を参照すると、本開示に従う、基板の膜層をエッチングするための基板処理チャンバ101を備える基板処理システム100の一例が示されている。特定の基板処理チャンバが図示および説明されているが、本明細書に記載の方法は、他のタイプの基板処理システムで実施されてもよい。図1は、様々なフィーチャを有する基板処理システムを示しているが、これらのフィーチャの内の1または複数が、異なっていてもよい、および/または、含まれなくてもよい。別の実施形態の例が、図2〜図17に関して図示および説明されている。
再び図1を参照すると、基板処理チャンバ101は、下側チャンバ領域102および上側チャンバ領域104を備える。下側チャンバ領域102は、チャンバ側壁面108、チャンバ底面110、および、ガス分配装置114の下面によって規定される。基板処理チャンバ101の上側部分(すなわち、チャンバ部材)118は、対応するコイル(例を後述する)と併せて、プラズマ源とみなされてよく、ICPを供給しうる。
上側チャンバ領域104は、ガス分配装置114の上面および上側部分118の内面によって規定される。いくつかの例において、上側部分118は、第1環状支持体121の上にある。いくつかの例において、第1環状支持体121は、後に詳述するように、上側チャンバ領域104に処理ガスを供給するための1または複数の離間された穴123を備える。いくつかの例において、処理ガスは、ガス分配装置114を含む平面に対して鋭角に上方向に1または複数の離間した穴123によって供給されるが、その他の角度/方向が用いられてもよい。いくつかの例では、第1環状支持体121のガス流路134が、(i)1または複数の離間した穴123ならびに/もしくは(ii)1または複数の流路すなわちインジェクタ136へガスを供給し、インジェクタ136は、上側チャンバ領域104でガスおよび/またはプラズマと混合するために、(矢印138で示すように)上向きへガスを方向付ける。
第1環状支持体121は、第2環状支持体125の上方および/またはその上に配置されてよい。第2環状支持体125は、ガス流路129から下側チャンバ領域102へ処理ガスを供給するための1または複数の離間した穴127を規定する。いくつかの例において、ガス分配装置114の穴131は、穴127と整列する。別の例において、ガス分配装置114は、より小さい直径を有しており、穴131は必要ない。いくつかの例において、処理ガスは、ガス分配装置114を含む平面に対して鋭角に基板126に向かって下方向に1または複数の離間した穴127によって供給されるが、その他の角度/方向が用いられてもよい。
別の例において、上側チャンバ領域104は、平坦な上面を備える円筒形である。さらに別の例において、単一のチャンバが、シャワーヘッド128と基板支持体122との間に配置されたスペーサと共に用いられてもよい。
基板支持体122は、下側チャンバ領域102内に配置されている。いくつかの例において、基板支持体122は、静電チャック(ESC)を備えるが、その他のタイプの基板支持体が用いられてもよい。基板126が、エッチング中に基板支持体122の上面に配置される。いくつかの例において、基板126の温度は、加熱素子(すなわち、ヒータプレート)133と、流体流路を備える任意選択的な冷却プレートと、1または複数のセンサ(図示せず)とによって制御されてよいが、任意のその他の適切な基板支持体温度制御システムが用いられてもよい。
いくつかの例において、ガス分配装置114は、シャワーヘッドを備える(例えば、複数の離間した穴129を有するプレート128が示されている)。複数の離間した穴129は、プレート128の上面からプレート128の下面まで伸びる。いくつかの例において、離間した穴129は、0.4”から0.75”(1.016から1.905cm)の範囲の直径を有し、シャワーヘッドは、導電材料製の埋め込み電極を備える導電材料(アルミニウムなど)または非導電材料(セラミックなど)で製造される。
1または複数の誘導コイル140が、上側部分118の外側部分の周りに配列されてよい。励起されると、1または複数の誘導コイル140は、上側部分118の内部に電磁場を生成する。いくつかの例では、上側コイルおよび下側コイルが用いられる。ガスインジェクタ142が、ガス供給システム150−1から1または複数のガス混合物を注入する。
いくつかの例において、ガス供給システム150−1は、1または複数のガス源152と、1または複数のバルブ154と、1または複数のマスフローコントローラ(MFC)156と、混合マニホルド158とを備えるが、その他のタイプのガス供給システムが用いられてもよい。ガススプリッタ(図示せず)が、ガス混合物の流量を変化させるために用いられてよい。別のガス供給システム150−2が、(ガスインジェクタ142からのエッチングガスに加えてまたはその代わりに)エッチングガスまたはエッチングガス混合物をガス流路129および/または134に供給するために用いられる。
適切なガス供給システムが、名称を「Gas Delivery System」とする、2015年12月4日出願の同一出願人の米国特許出願第14/945,680号に図示および記載されており、当該出願は、その全体が参照によって本明細書に組み込まれる。適切なシングルまたはデュアルガスインジェクタおよび他のガス注入位置が、名称を「Substrate Processing System with Multiple Injection Points and Dual Injector」とする、2016年1月7日出願の同一出願人の米国仮特許出願第62/275,837号に図示および記載されており、当該出願は、その全体が参照によって本明細書に組み込まれる。
いくつかの例において、ガスインジェクタ142は、下方向にガスを方向付ける中央注入位置と、下方向に対して或る角度でガスを注入する1または複数の側方注入位置とを備える。いくつかの例において、ガス供給システム150−1は、中央注入位置に第1流量でガス混合物の第1部分を供給し、ガスインジェクタ142の側方注入位置へ第2流量でガス混合物の第2部分を供給する。別の例では、異なるガス混合物が、ガスインジェクタ142によって供給される。いくつかの例において、ガス供給システム150−1は、後述するように、ガス流路129および134ならびに/もしくは処理チャンバの他の位置へ調節ガスを供給する。
プラズマ発生器170が、1または複数の誘導コイル140に出力されるRF電力を生成するために用いられる。プラズマ190が、上側チャンバ領域104で生成される。いくつかの例において、プラズマ発生器170は、RF発生器172および整合回路網174を備える。整合回路網174は、RF発生器172のインピーダンスを1または複数の誘導コイル140のインピーダンスに整合させる。いくつかの例において、ガス分配装置114は、接地などの基準電位に接続される。バルブ178およびポンプ180が、下側および上側チャンバ領域102、104の内部の圧力を制御すると共に、反応物質を排出するために用いられてよい。
コントローラ176が、ガス供給システム150−1および150−2、バルブ178、ポンプ180、ならびに/もしくは、プラズマ発生器170と通信して、処理ガス、パージガスの流量、RFプラズマ、および、チャンバ圧を制御する。いくつかの例において、プラズマは、1または複数の誘導コイル140によって上側部分118内で維持される。1または複数のガス混合物が、ガスインジェクタ142(および/または穴123)を用いて基板処理チャンバ101の上部から導入され、プラズマは、ガス分配装置114を用いて上側部分118内に閉じこめられる。
上側部分118におけるプラズマの閉じこめは、プラズマ種の体積再結合を可能にし、ガス分配装置114を通して所望のエッチング種を放出する。いくつかの例において、基板126にはRFバイアスが印加されない。結果として、基板126上に活性シースはなく、イオンが任意の有限エネルギで基板126に衝突することがない。或る程度の量のイオンが、ガス分配装置114を通してプラズマ領域から拡散する。しかしながら、拡散するプラズマの量は、上側部分118内に位置するプラズマよりも桁が小さい。プラズマ内のイオンのほとんどは、高圧で体積再結合によって失われる。ガス分配装置114の上面での表面再結合の損失も、ガス分配装置114の下のイオン密度を低下させる。
別の例において、基板処理システム100は、RFバイアス発生器186および整合回路網188を備える。RFバイアス発生器186は、ガス分配装置114と基板支持体122との間でプラズマを生成するため、または、イオンを引きつけるために基板126上で自己バイアスを生成するために利用されうるRFバイアスを生成する。コントローラ176は、RFバイアスを制御してよい。RFバイアス発生器186および/または整合回路網188の出力周波数は、0.5〜60メガヘルツ(MHz)であってよい。
基板処理システム100は、主に、ICP源で動作するものとして記載されているが、追加的または代替的に容量結合プラズマ(CCP)リアクタおよび/または平行板リアクタとして動作してもよく、ここで、基板支持体122のRF電極が、下側プレートとして機能し、シャワーヘッド128が含まれるか否かに応じて、シャワーヘッド128またはプラズマ源のチャンバ部材が、上側プレートとして機能する。
ここで、さらに図2を参照すると、基板処理チャンバの上側部分200の斜視断面図が示されている。上側部分200は、図1の上側部分118と置き換えられてよく、プラズマ源のチャンバ部分または部材と見なされてよい。上側部分200は、セラミック、石英、および/または、その他の適切な誘電材料で形成されてよい。上側部分200は、「押しつぶされた」チャンバ部分と呼んでもよい。上側部分200は、ドーム形、球形、または、半球形ではない。上側部分200は、側壁202、移行部材204、上壁206、および、インジェクタ接続部材208を備える。単一の要素として示されているが、上側部分200は、複数の別個の要素を備えてもよい。例えば、要素202、204、206、208は、別個に形成されて結合されてもよいし、図に示すように、単一要素の一部として形成されてもよい。
側壁202は、円筒形であり、第1環状支持体121、基板支持体122、および/または、基板処理システム100のその他の水平向きの要素に対して垂直方向に向けられてよい。移行部材204は、側壁202を上壁206に接続し、側壁202と上壁206との間の角度αを設定する。角度αは、上壁のどの部分から角度αが決定されるのかに応じて、100〜145°の間であってよい。一実施形態において、角度αは、115〜135°である。上壁206の断面および/または上壁206の断面の一部は、半線形または線形であってよい。上壁206は、移行部材204とインジェクタ接続部材208との間に伸びる。上壁206は、凹形および/または半球形であってよい。上壁206は、球形ではない。要素202、204、206、および/または、208は、同じ厚さを有してよい。
別の実施形態において、側壁202と上壁206との間の角度αが、図2に示した角度よりも小さくてもよい、および/または、上壁206の断面が、図2に示した断面よりも線形に近くてもよい。上壁の断面は、移行部材204とインジェクタ接続部材208との間で線形であってよい。結果として、上側部分200の上部は、図2に示したものよりも形状が平坦になりうる。
ここで、図3および図4を参照すると、従来のプラズマ源のドーム形チャンバ部分220および本明細書に開示のプラズマ源のチャンバ部分230の断面図が示されている。ドーム形チャンバ部分220は、中心高さ対下方内径の比が、0.6であってよい。中心高さとは、(i)ドーム形チャンバ部分220の底面または支持プレート222の上面と、(ii)インジェクタ224の底面との間の高さのことである。下方内径とは、ドーム形チャンバ部分220の底部での内径のことである。下方内径は、矢印225で示されている。チャンバ部分230は、側壁232の高さに応じて、下方内径に対する中心高さの比0.25〜0.5を有してよい。中心高さとは、(i)チャンバ部分230の底面または支持プレート234の上面と、(ii)インジェクタ236の底面との間の高さのことである。下方内径は、矢印235で示されている。一実施形態において、チャンバ部分230の下方内径に対する中心高さの比は、0.35〜0.45である。別の実施形態において、下方内径に対する中心高さの比は、0.4である。一実施形態において、下方内径235は、チャンバ部分230の下で処理される基板の直径以上である。
ドーム形チャンバ部分220は、中心高さに対する外側高さの比0.18を有してよい。チャンバ部分230は、中心高さに対する外側高さの比0.4〜0.85を有してよい。一実施形態において、チャンバ部分230は、中心高さに対する外側高さの比0.5〜0.6を有する。別の実施形態において、チャンバ部分230は、中心高さに対する外側高さの比0.55を有する。ドーム形チャンバ部分220の外側高さとは、(i)ドーム形チャンバ部分220の底部または支持プレート222の上面と、(ii)ドーム形チャンバ部分220が内側に曲がり始めるドーム形チャンバ部分220の内面に沿った点(点の一例237が示されている)での高さとの間の高さのことである。外側高さおよび中心高さは、それぞれ、矢印226および228で表されている。チャンバ部分230の外側高さとは、(i)チャンバ部分230の底部または支持プレート222の上面と、(ii)チャンバ部分230が内側に曲がり始めるチャンバ部分230の内面に沿った点(点の一例239が示されている)での高さとの間の高さのことである。外側高さは、側壁232の高さに等しくてよい。外側高さおよび中心高さは、矢印32040によって表されている。
図5は、上側部分200、中央(内側)コイル252、および、外側(縁部)コイル254を備えるプラズマ源250を示す。中央コイル252は、チャンバ部材251の中央領域の上方、インジェクタ256およびインジェクタ接続部材258の周囲、かつ、プラズマ源250の上部付近に配置される。外側コイル254は、プラズマ源250の底面付近の側壁260の周りに配置される。コイル252、254は、プラズマ密度の半径方向の制御を提供する。符号262は、生成されたプラズマを表す。
本明細書で開示されているプラズマ源のチャンバ部分の形状は、外側コイルを生成されるプラズマから半径方向外側に配置することによって、プラズマ源の外縁付近で良好なプラズマ生成を提供する。この形状は、プラズマ源の中央領域の近くで上方に配置された中央コイルを用いて、チャンバ部分の中央付近のプラズマ密度を良好に制御することも可能にする。また、これらの構成は、中央のプラズマを基板のより近くに配置して、(i)中央領域でのプラズマの滞留時間、そして、結果として(ii)中央領域のプラズマと基板縁部付近のプラズマとの間の滞留時間の差、を減少させる。中央領域で滞留時間が減少すると、より長い滞留時間中に発生しうるプラズマ内の変化が防止される。例えば、プラズマが生成される時に、原子が分離されうる。より長い滞留時間では、原子の一部が再結合することにより、プラズマの効果を低減させうる。
また、開示されている押しつぶされた形状の設計では、より薄い壁厚の基板処理チャンバの上側部分への圧力を支えることができるため、平坦なTCP構成に較べてコイルをプラズマに近づけることができる。例えば、図2の要素202、204、206,208は、平坦なTCP構成の壁または誘電体窓の厚さよりも薄くてよい。要素202、204、206、208は、0.4〜0.6インチ(1.016〜1.524cm)の厚さを有してよい。一実施形態において、要素202、204、206、208各々の厚さは、0.5インチ(1.27cm)である。プラズマ源の上側部分が薄いと、コイルをプラズマのより近くに配置することができるため、コイルに供給されうる電流が小さくなるので、相互インダクタンスおよびプラズマ源出力効率が高くなる。
押しつぶされたドーム形は、良好な均一性を備える効率的なプラズマ源と、プラズマ密度を半径方向で調整する能力とを提供する。本明細書に開示されたプラズマ源は、均一性特性の改善により、製品歩留まりの高いより小さい装置フットプリントを提供する。
図6は、直列コイル構成280を示す。直列コイル構成280は、1または複数の内側(または中央)コイル282と、第1キャパシタンス284と、1または複数の外側(または縁部)コイル286と、第2キャパシタンス288とを備える。内側コイル282は、図5の中央コイル252であってよい。外側コイル286は、図5の縁部コイル260であってよい。内側コイル282は、端子290で電源(図1のRF発生器172など)から電流を受けてよい。第2キャパシタンスは、接地端子292に接続されてよい。コイル282、286は、接地に対するコイル端部の近くの電圧を平衡化するために、キャパシタンス284、288と直列構成で接続される。端子290と接地端子292との間の構成要素の直列の順序は、変更されてもよい。
代替例として、本明細書に開示されたプラズマ源のいずれかの内側および外側コイルは、図7に示すように、並列構成で接続されてもよい。外側コイル300は、第1キャパシタンス302と直列で接続されることが図示されている。内側コイル304は、第2キャパシタンス306と直列で接続されることが図示されている。並列構成は、外側コイル300が内側コイル304から独立して制御されることを可能にする。外側コイル300は、コイル304とは異なる電圧および/または電流量を受けてよい。供給端子310、312が示されており、図1の整合回路網174に接続されてよい。キャパシタンス302、306は、接地に接続されてよい。端末310、312と、接地端子314との間の構成要素の直列の順序は、変更されてもよい。
図8は、独立的に制御されるコイル322、324を有するプラズマ源のチャンバ部分320を示す。コイル322および第1キャパシタンスC1は、直列に接続されてよい。コイル324および第2キャパシタンスC2は、直列に接続されてよい。コイル322およびキャパシタンスC1は、コイル324およびキャパシタンスC2と並列に接続されてよい。電源326が、図1のRF発生器172および整合回路網174を備えてよく、コイル322、324に独立的に電力を供給する。一実施形態において、コイル322、324は、コイル324を通る電力に対するコイル322を通る電力の比が、基板にわたるプラズマの半径方向密度を変えるために制御されるように、電力供給される。
図9は、保護筐体340の一部を示す。プラズマ源342が、保護筐体340内に配置されており、上側チャンバ部分344を有する。保護筐体340は、接地分離シールド346を備える。接地分離シールド346は、保護筐体340の内部を第1領域350および第2領域352に分ける。キャパシタンスなどのRF構成要素(例えば、図6〜図8のキャパシタンスおよび/またはその他のRF構成要素)が、第1領域350に配置される。上側チャンバ部分344と、コイル354、356とを備えるプラズマ源342が、第2領域352に配置される。
図に示すように、キャパシタンスは、接地シールド346の上方に配置され、プラズマ源342は、接地シールド346の下方に配置される。接地シールド346は、接地シールド346の上方に配置されたRF構成要素および対応する接続によって生成された電磁場をプラズマ源342から隔離する。
コネクタピン360が、第1領域350に備えられ、図1の整合回路網174および/または図8の電源326に接続および/またはプラグ接続されてよい。ピン360は、チャンバ340の上壁364にある絶縁体362を通して挿入されてよい。ピン360は、キャパシタンス(キャパシタンスの一例364が示されている)に接続しうる。
図10は、拡散器372を備えるプラズマ源のチャンバ部分370を示す。拡散器372は、ガスを受け入れるための1または複数の流路を備え、受け入れたガスをチャンバ部分370に散布するための開口部を有してよい。1つだけの流路が含まれる場合、受け入れられたガスは、拡散器372の穴すべてに提供されてよい。2以上の流路が含まれる場合、流路の各々の中のガスは、拡散器372の穴の内の対応する穴に提供されてよい。拡散器372は、任意の数の穴を有してよい。結果として、注入された各ガスは、拡散器372の1または複数の穴からチャンバ部分部370へ通されうる。
図11は、拡散器382および側方上向きインジェクタ384を備えるプラズマ源のチャンバ部分380を示す。別の実施形態において、チャンバ部分380へのガス注入は、拡散器382の1または複数の穴から、ならびに/もしくは、1または複数の側方上向きインジェクタ(例えば、側方上向きインジェクタ384)からの注入であってよい。
図12は、拡散器392および側方下向きインジェクタ394を備えるプラズマ源のチャンバ部分390を示す。一実施形態において、プラズマ源の下方へのガス注入は、プラズマ源390および/またはシャワーヘッド396の下方にガスを注入する側方下向きインジェクタ394から基板処理チャンバ内への注入であってよい。この構成は、基板の上方の異なる領域に注入するために、異なる角度での側方注入を可能にする。この構成は、プラズマ源へのガス注入の独立制御も可能にする。
図13は、拡散器402、側方下向きインジェクタ404、および、分配プレート(またはシャワーヘッド)406を備えるプラズマ源のチャンバ部分400を示す。側方下向きインジェクタ404は、プラズマ源の下方、分配プレート406の下方、および、対応する基板処理チャンバ内へ、ガスを注入するのではなく、分配プレート406にガスを供給する。この構成は、プラズマ源および基板処理チャンバへのガス注入の独立制御も可能にする。
図14は、シャワーヘッド420の底面図である。シャワーヘッド420は、本明細書に開示の他のシャワーヘッドと置き換わってもよく、穴422のパターンを有してよい。穴422は、所定の直径より大きい直径を有してもよい。規定の直径を有する穴のパターンは、(i)プラズマ源における領域と、(ii)シャワーヘッド420および基板の間の領域との間で、ガスおよび/またはプラズマの流れ抵抗を最小化する。
図15は、絶縁体432、接地シールド434、RF電極436、および、基板438を備えるペデスタル430を示す。RF電極は、静電チャックを備えてよい。オープン領域437は、大気圧であってよく、(i)絶縁体432の間、および、(ii)RF電極436と接地シールド434との間、に存在してよい。絶縁体432およびRF電極436は、接地シールド434内に配置される。結果として、RF電極436のペデスタル側および底部は、接地シールド434によって基板処理チャンバの内部から分離され、これは、ペデスタル430の側部または下側での寄生プラズマ形成を防止する。ペデスタル430は、図1の基板支持体122と置き換わってもよい。
図16は、リフトピン450およびc−リング452を組み込んだペデスタル430の図である。リフトピン450は、ペデスタル430に対してc−リング452を上下させるために用いられる。リフトピン450は、RF電極436の半径方向外側に配置され、絶縁体432の内の1または複数の中に配置されてよいガイド451内で摺動してよい。RF電極436、c−リング452、および、基板438は、ペデスタル430の上または中で、ペデスタルを通して垂直に伸びる中心線455に対して中心があってよい。
c−リング452は、ペデスタル430に対して基板(例えば、基板438)を上下させるために提供される。c−リング452は、基板以上の厚さを有してよく、基板がc−リング452上に配置された時に基板と接触するテーパ状または段状の内面453を有する。内面453は、基板を受け止めて保持するような形状であってよい。基板の外径は、内面453の内径と一致してよい。c−リング452ひいては基板をペデスタル430に対して移動させるために、リフトピン450が、z方向に(すなわち、垂直に)平行移動されてもよいし、ペデスタル430が、z方向に平行移動されてもよい。ペデスタル430が、z方向に移動される場合、リフトピン450の底部が、基板処理チャンバの底部と接触して、リフトピン450をペデスタル430に対して上方に移動させ、それにより、基板438をペデスタルから持ち上げてよい。ペデスタル430に対する基板438の移動およびc−リング452の形状は、基板移送プレート(すなわち、パドル)を介して、基板438をペデスタル430に配置したりそこから取り除いたりすることを可能にする。リフトピン450は、RF電極436の外側に配置され、基板438の下にはない。移送プレートは、c−リング452の開口端に側方から挿入されてよい。
リフトピン450は、1または複数の空気圧式、電気式、および/または、機械式アクチュエータ(1つのアクチュエータ460が示されている)を用いて平行移動されてよく、アクチュエータは、ペデスタル430内で、一例としてはRF電極436の下方に配置されてよい。コントローラ176は、リフトピン450に接続され、ペデスタル430に対するリフトピン450の位置を制御してよい。アクチュエータおよび/または対応するアクチュエータアセンブリの各々は、低電圧ソレノイド、低電圧電気モータ、空気モータ、結合部などを備えてよい。
RF電極436の外側にリフトピン450を組み込むと、RF電極436における熱均一性およびRF均一性が改善する。これは、従来のペデスタルと異なり、RF電極436がリフトピンのための穴を持たず、リフトピンに関連するRf電極436の穴の中でガスがイオン化されえないためである。リフトピン450がRF電極436内になく、絶縁体432を介してRF電極436から絶縁されているので、リフトピン領域でのアーク放電のリスクも少なくなる。これは、システムの信頼性を向上させる。
図17は、ペデスタル430、モータ472、および、駆動ネジアセンブリ474を備えるペデスタルシステム470を示す。図1の基板支持体122は、ペデスタル430を備えてよい、および/または、ペデスタル430として実装されてよい。ペデスタル430は、モータ472および駆動ネジアセンブリ474を介してz方向に移動されてよい。モータ472は、コントローラ176によって制御されてよい。RF電極436は、整合回路網476に接続されてよく、整合回路網476は、図1のRF発生器からRF電力を受けてよい。リフトピン450は、ペデスタル430が下方に移動された時に、レッジ478に当たることにより、c−リング452および基板438を持ち上げうる。
図1の基板処理システムのコントローラおよび/またはその他の装置のさらに明確な構造については、後述の図18の方法と、「コントローラ」という用語についての後述の定義とを参照すること。基板処理システム100は、多くの方法を用いて動作されてよく、方法の一例が図18に示されている。図18には、基板処理システムを動作させる方法が示されている。以下の工程は、主に図1〜図17の実施例に関して記載されているが、本開示のその他の実施例に適用するために容易に変形されうる。工程は、反復して実行されてもよい。
方法は、工程200で開始しうる。工程202では、基板が、下側チャンバ領域102内の基板支持体(例えば、基板支持体122またはペデスタル430)上に配置される。これは、c−リング452がペデスタル430および/またはRF電極436から離されて、基板をc−リング452上に設置することを可能にするように、ペデスタル430および/またはc−リング452をz方向に移動させることによって実行されてよい。基板がc−リング452上に設置されると、c−リング452は、ペデスタル430および/またはRF電極436に向かって移動されてよい。基板は、1または複数の膜層を含む複数の層を備えてよい。複数の膜層は、SiNで形成された半導体膜層などである。
工程204では、1または複数のエッチングガスおよび/または1または複数のエッチングガス混合物が、ガス供給システム150−1および/または150−2によってガスインジェクタ142を介して上側チャンバ領域104へ供給される。エッチングガス混合物は、四フッ化炭素(CF)、六フッ化硫黄(SF)、三フッ化窒素(NF)、および/または、その他のエッチング前駆体など、エッチング前駆体を含んでよい。
工程206では、プラズマが、上側チャンバ領域104で点火される。工程208では、任意選択的に、RFバイアスが、RF発生器186および整合回路網188を介して基板支持体に供給される。工程210では、コントローラ176は、所定のエッチング期間が終了したか否かを判定してよい。エッチング期間が終了した場合、工程212が実行される。工程212では、プラズマは、所定のエッチング期間が終了した時に消される。工程214では、用いられた場合には、RFバイアスが終了される。工程215では、基板は、ペデスタル430から取り除かれてよい。これは、ペデスタルおよび/またはc−リング452を作動させて、ペデスタル430および/またはRF電極436から基板を移動させることを含んでよい。次いで、基板は、移送プレート(すなわち、パドル)を介してc−リング452から取り外されてよい。方法は、工程216で終了してよい。
上述の工程は、例示を意図されており、工程は、応用例に応じて、順番に、同調して、同時に、連続的に、重複した期間内に、または、異なる順序で、実行されてよい。また、工程のいずれかが、実施例および/またはイベントの順序に応じて、実行されなくても飛ばされてもよい。
上述の記載は、本質的に例示に過ぎず、本開示、応用例、または、利用法を限定する意図はない。本開示の広範な教示は、様々な形態で実施されうる。したがって、本開示には特定の例が含まれるが、図面、明細書、および、以下の特許請求の範囲を研究すれば他の変形例が明らかになるため、本開示の真の範囲は、それらの例には限定されない。方法に含まれる1または複数の工程が、本開示の原理を改変することなく、異なる順序で(または同時に)実行されてもよいことを理解されたい。さらに、実施形態の各々は、特定の特徴を有するものとして記載されているが、本開示の任意の実施形態に関して記載された特徴の内の任意の1または複数の特徴を、他の実施形態のいずれかに実装することができる、および/または、組み合わせが明確に記載されていないとしても、他の実施形態のいずれかの特徴と組み合わせることができる。換言すると、上述の実施形態は互いに排他的ではなく、1または複数の実施形態を互いに置き換えることは本開示の範囲内にある。
要素の間(例えば、モジュールの間、回路要素の間、半導体層の間)の空間的関係および機能的関係性が、「接続される」、「係合される」、「結合される」、「隣接する」、「近接する」、「の上部に」、「上方に」、「下方に」、および、「配置される」など、様々な用語を用いて記載されている。第1および第2要素の間の関係性を本開示で記載する時に、「直接」であると明確に記載されていない限り、その関係性は、他に介在する要素が第1および第2の要素の間に存在しない直接的な関係性でありうるが、1または複数の介在する要素が第1および第2の要素の間に(空間的または機能的に)存在する間接的な関係性でもありうる。本明細書で用いられているように、「A、B、および、Cの少なくとも1つ」という表現は、非排他的な論理和ORを用いて、論理(AまたはBまたはC)を意味すると解釈されるべきであり、「Aの少なくとも1つ、Bの少なくとも1つ、および、Cの少なくとも1つ」という意味であると解釈されるべきではない。
いくつかの実施例において、コントローラは、システムの一部であり、システムは、上述の例の一部であってよい。かかるシステムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(ウエハペデスタル、ガスフローシステムなど)など、半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と呼ばれてもよく、システムの様々な構成要素または副部品を制御しうる。コントローラは、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/または特定のシステムと接続または結合されたロードロックの内外へのウエハ移動など、本明細書に開示の処理のいずれを制御するようプログラムされてもよい。
概して、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1または複数のマイクロプロセッサまたはマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でコントローラに伝えられて、半導体ウエハに対するまたは半導体ウエハのための特定の処理を実行するための動作パラメータ、もしくは、システムへの動作パラメータを定義する命令であってよい。動作パラメータは、いくつかの実施形態において、ウエハの1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ダイの加工中に1または複数の処理工程を達成するために処理エンジニアによって定義されるレシピの一部であってよい。
コントローラは、いくつかの実施例において、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、もしくは、それらの組み合わせでシステムに結合されたコンピュータの一部であってもよいし、かかるコンピュータに接続されてもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ウエハ処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部または一部であってもよい。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、複数の製造動作からの傾向または性能指標を調べうる。いくつかの例では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含みうる)を介してシステムに処理レシピを提供してよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備えてよく、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。いくつかの例において、コントローラは、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータを指定する。パラメータは、実行される処理のタイプならびにコントローラがインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上述のように、コントローラは、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散されてよい。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置された(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路である。
限定はしないが、システムの例は、プラズマエッチングチャンバまたはモジュール、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層蒸着(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含みうる。
上述のように、ツールによって実行される1または複数の処理工程に応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。
ドーム形チャンバ部分220は、中心高さに対する外側高さの比0.18を有してよい。チャンバ部分230は、中心高さに対する外側高さの比0.4〜0.85を有してよい。一実施形態において、チャンバ部分230は、中心高さに対する外側高さの比0.5〜0.6を有する。別の実施形態において、チャンバ部分230は、中心高さに対する外側高さの比0.55を有する。ドーム形チャンバ部分220の外側高さとは、(i)ドーム形チャンバ部分220の底部または支持プレート222の上面と、(ii)ドーム形チャンバ部分220が内側に曲がり始めるドーム形チャンバ部分220の内面に沿った点(点の一例237が示されている)での高さとの間の高さのことである。外側高さおよび中心高さは、それぞれ、矢印226および228で表されている。チャンバ部分230の外側高さとは、(i)チャンバ部分230の底部または支持プレート222の上面と、(ii)チャンバ部分230が内側に曲がり始めるチャンバ部分230の内面に沿った点(点の一例239が示されている)での高さとの間の高さのことである。外側高さは、側壁232の高さに等しくてよい。外側高さおよび中心高さは、矢印238、240によって表されている。
コネクタピン360が、第1領域350に備えられ、図1の整合回路網174および/または図8の電源326に接続および/またはプラグ接続されてよい。ピン360は、保護筐体340の上壁364にある絶縁体362を通して挿入されてよい。ピン360は、キャパシタンス(キャパシタンスの一例366が示されている)に接続しうる。
図12は、拡散器392および側方下向きインジェクタ394を備えるプラズマ源のチャンバ部分390を示す。一実施形態において、プラズマ源の下方へのガス注入は、プラズマ源および/またはシャワーヘッド396の下方にガスを注入する側方下向きインジェクタ394から基板処理チャンバ内への注入であってよい。この構成は、基板の上方の異なる領域に注入するために、異なる角度での側方注入を可能にする。この構成は、プラズマ源へのガス注入の独立制御も可能にする。
方法は、工程500で開始しうる。工程502では、基板が、下側チャンバ領域102内の基板支持体(例えば、基板支持体122またはペデスタル430)上に配置される。これは、c−リング452がペデスタル430および/またはRF電極436から離されて、基板をc−リング452上に設置することを可能にするように、ペデスタル430および/またはc−リング452をz方向に移動させることによって実行されてよい。基板がc−リング452上に設置されると、c−リング452は、ペデスタル430および/またはRF電極436に向かって移動されてよい。基板は、1または複数の膜層を含む複数の層を備えてよい。複数の膜層は、SiNで形成された半導体膜層などである。
工程504では、1または複数のエッチングガスおよび/または1または複数のエッチングガス混合物が、ガス供給システム150−1および/または150−2によってガスインジェクタ142を介して上側チャンバ領域104へ供給される。エッチングガス混合物は、四フッ化炭素(CF)、六フッ化硫黄(SF)、三フッ化窒素(NF)、および/または、その他のエッチング前駆体など、エッチング前駆体を含んでよい。
工程506では、プラズマが、上側チャンバ領域104で点火される。工程508では、任意選択的に、RFバイアスが、RF発生器186および整合回路網188を介して基板支持体に供給される。工程510では、コントローラ176は、所定のエッチング期間が終了したか否かを判定してよい。エッチング期間が終了した場合、工程512が実行される。工程512では、プラズマは、所定のエッチング期間が終了した時に消される。工程514では、用いられた場合には、RFバイアスが終了される。工程515では、基板は、ペデスタル430から取り除かれてよい。これは、ペデスタルおよび/またはc−リング452を作動させて、ペデスタル430および/またはRF電極436から基板を移動させることを含んでよい。次いで、基板は、移送プレート(すなわち、パドル)を介してc−リング452から取り外されてよい。方法は、工程516で終了してよい。
上述のように、ツールによって実行される1または複数の処理工程に応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。
適用例1:プラズマ源のチャンバ部材であって、
基板処理チャンバの上側領域を囲む円筒形の側壁と、
前記側壁に結合されている移行部材と、
前記移行部材に結合されている上壁と、
前記上壁に結合され、前記側壁よりも垂直方向に高く配置され、ガスインジェクタに接続するよう構成されているインジェクタ接続部材と、を備え、ガスが前記ガスインジェクタを介して前記インジェクタ接続部材を通って前記基板処理チャンバの前記上側領域内に入り、
前記チャンバ部材の中心高さ対下方内径の比が、0.25〜0.5であるか、または、
前記チャンバ部材の中心高さ対外側高さの比が、0.4〜0.85であるか、の少なくとも一方である、チャンバ部材。
適用例2:適用例1に記載のチャンバ部材であって、前記上壁は、半線形である、チャンバ部材。
適用例3:適用例1に記載のチャンバ部材であって、前記上壁は、線形である、チャンバ部材。
適用例4:適用例1に記載のチャンバ部材であって、前記チャンバ部材の前記中心高さ対下方内径の比は、0.35〜0.45である、チャンバ部材。
適用例5:適用例1に記載のチャンバ部材であって、前記チャンバ部材の前記中心高さ対外側高さの比は、0.5〜0.6である、チャンバ部材。
適用例6: プラズマ源であって、
請求項1に記載のチャンバ部材と、
前記チャンバ部材の中央部分の上方で前記インジェクタ接続部材の周りに配置されている第1コイルと、
前記側壁の周りに配置されている第2コイルと、
を備える、プラズマ源。
適用例7:適用例6に記載のプラズマ源であって、前記第1コイルおよび前記第2コイルは、直列に接続されている、プラズマ源。
適用例8:適用例6に記載のプラズマ源であって、前記第1コイルおよび前記第2コイルは、並列に接続されている、プラズマ源。
適用例9:適用例6に記載のプラズマ源であって、さらに
前記第1コイルおよび前記第2コイルに電流を供給する発電機と、
前記第1コイルおよび前記第2コイルへの電流の供給を制御するよう構成されているコントローラと、
を備え、
前記第1コイルに供給される電流は、前記第2コイルに供給される電流と独立して制御される、プラズマ源。
適用例10:適用例6に記載のプラズマ源であって、さらに、前記基板処理チャンバの前記上側領域内にガスを拡散させるよう構成されている拡散器を備える、プラズマ源。
適用例11:適用例10に記載のプラズマ源であって、
前記拡散器は、複数の流路を備え、
前記複数の流路は、それぞれのガスを受け入れる、プラズマ源。
適用例12: 基板処理チャンバであって、
請求項1に記載のチャンバ部材と、
上方に向かって前記基板処理チャンバ内へガスを注入する第2インジェクタと、
を備える、基板処理チャンバ。
適用例13: 基板処理チャンバであって、
請求項1に記載のチャンバ部材と、
分配プレートまたはシャワーヘッドの下側であって、下方に向かって基板処理チャンバ内へガスを注入する第2インジェクタと、
を備える、基板処理チャンバ。
適用例14: 基板処理チャンバであって、
請求項1に記載のチャンバ部材と、
分配プレートと、
前記分配プレートを通して前記基板処理チャンバ内へガスを注入する第2インジェクタと、
を備える、基板処理チャンバ。
適用例15:基板処理システムであって、
請求項1に記載のチャンバ部材と、
前記チャンバ部材を備えるプラズマ源と、
キャパシタンスを含む複数の高周波構成要素と、
接地シールドと、
保護筐体と、
を備え、
前記プラズマ源、前記複数の高周波構成要素、および、前記接地シールドは、前記保護筐体内に配置され、
前記接地シールドは、前記高周波構成要素を前記プラズマ源から分離する、基板処理システム。
適用例16:適用例15に記載の基板処理システムであって、前記保護筐体は、
上壁と、
前記保護筐体の前記上壁に結合されている絶縁体と、
前記絶縁体を通過するピンと、
前記ピンに接続し、前記複数の高周波構成要素に電流を供給するコントローラと、
を備える、基板処理システム。
適用例17:適用例15に記載の基板処理システムであって、さらに、ペデスタルを備え、前記ペデスタルは、
高周波電極と、
前記高周波電極の半径方向外側に配置されている複数のリフトピンと、
基板を受けるc−リングと、を備え、前記複数のリフトピンは、前記c−リングを前記ペデスタルに対して移動させる、基板処理システム。
適用例18:ペデスタルであって、
高周波電極と、
前記高周波電極の半径方向外側に配置されている複数のリフトピンと、
基板を受けるc−リングと、前記複数のリフトピンは、前記c−リングを前記ペデスタルに対して移動させ、
複数の絶縁体と、
接地シールドと、を備え、前記高周波電極、前記リフトピン、および、前記複数の絶縁体は、前記接地シールド内に配置され
前記複数の絶縁体は、前記高周波電極を前記リフトピンおよび前記接地シールドから絶縁する、ペデスタル。
適用例19:適用例18に記載のペデスタルであって、前記c−リングは、前記基板が保持されるテーパ状または段状の内面を備える、ペデスタル。
適用例20:基板処理システムであって、
請求項18に記載のペデスタルと、
前記ペデスタルの上方に配置され、前記基板をエッチングするためにプラズマを生成するプラズマ源と、
を備える、基板処理システム。

Claims (20)

  1. プラズマ源のチャンバ部材であって、
    基板処理チャンバの上側領域を囲む円筒形の側壁と、
    前記側壁に結合されている移行部材と、
    前記移行部材に結合されている上壁と、
    前記上壁に結合され、前記側壁よりも垂直方向に高く配置され、ガスインジェクタに接続するよう構成されているインジェクタ接続部材と、を備え、ガスが前記ガスインジェクタを介して前記インジェクタ接続部材を通って前記基板処理チャンバの前記上側領域内に入り、
    前記チャンバ部材の中心高さ対下方内径の比が、0.25〜0.5であるか、または、
    前記チャンバ部材の中心高さ対外側高さの比が、0.4〜0.85であるか、の少なくとも一方である、チャンバ部材。
  2. 請求項1に記載のチャンバ部材であって、前記上壁は、半線形である、チャンバ部材。
  3. 請求項1に記載のチャンバ部材であって、前記上壁は、線形である、チャンバ部材。
  4. 請求項1に記載のチャンバ部材であって、前記チャンバ部材の前記中心高さ対下方内径の比は、0.35〜0.45である、チャンバ部材。
  5. 請求項1に記載のチャンバ部材であって、前記チャンバ部材の前記中心高さ対外側高さの比は、0.5〜0.6である、チャンバ部材。
  6. プラズマ源であって、
    請求項1に記載のチャンバ部材と、
    前記チャンバ部材の中央部分の上方で前記インジェクタ接続部材の周りに配置されている第1コイルと、
    前記側壁の周りに配置されている第2コイルと、
    を備える、プラズマ源。
  7. 請求項6に記載のプラズマ源であって、前記第1コイルおよび前記第2コイルは、直列に接続されている、プラズマ源。
  8. 請求項6に記載のプラズマ源であって、前記第1コイルおよび前記第2コイルは、並列に接続されている、プラズマ源。
  9. 請求項6に記載のプラズマ源であって、さらに
    前記第1コイルおよび前記第2コイルに電流を供給する発電機と、
    前記第1コイルおよび前記第2コイルへの電流の供給を制御するよう構成されているコントローラと、
    を備え、
    前記第1コイルに供給される電流は、前記第2コイルに供給される電流と独立して制御される、プラズマ源。
  10. 請求項6に記載のプラズマ源であって、さらに、前記基板処理チャンバの前記上側領域内にガスを拡散させるよう構成されている拡散器を備える、プラズマ源。
  11. 請求項10に記載のプラズマ源であって、
    前記拡散器は、複数の流路を備え、
    前記複数の流路は、それぞれのガスを受け入れる、プラズマ源。
  12. 基板処理チャンバであって、
    請求項1に記載のチャンバ部材と、
    上方に向かって前記基板処理チャンバ内へガスを注入する第2インジェクタと、
    を備える、基板処理チャンバ。
  13. 基板処理チャンバであって、
    請求項1に記載のチャンバ部材と、
    分配プレートまたはシャワーヘッドの下側であって、下方に向かって基板処理チャンバ内へガスを注入する第2インジェクタと、
    を備える、基板処理チャンバ。
  14. 基板処理チャンバであって、
    請求項1に記載のチャンバ部材と、
    分配プレートと、
    前記分配プレートを通して前記基板処理チャンバ内へガスを注入する第2インジェクタと、
    を備える、基板処理チャンバ。
  15. 基板処理システムであって、
    請求項1に記載のチャンバ部材と、
    前記チャンバ部材を備えるプラズマ源と、
    キャパシタンスを含む複数の高周波構成要素と、
    接地シールドと、
    保護筐体と、
    を備え、
    前記プラズマ源、前記複数の高周波構成要素、および、前記接地シールドは、前記保護筐体内に配置され、
    前記接地シールドは、前記高周波構成要素を前記プラズマ源から分離する、基板処理システム。
  16. 請求項15に記載の基板処理システムであって、前記保護筐体は、
    上壁と、
    前記保護筐体の前記上壁に結合されている絶縁体と、
    前記絶縁体を通過するピンと、
    前記ピンに接続し、前記複数の高周波構成要素に電流を供給するコントローラと、
    を備える、基板処理システム。
  17. 請求項15に記載の基板処理システムであって、さらに、ペデスタルを備え、前記ペデスタルは、
    高周波電極と、
    前記高周波電極の半径方向外側に配置されている複数のリフトピンと、
    基板を受けるc−リングと、を備え、前記複数のリフトピンは、前記c−リングを前記ペデスタルに対して移動させる、基板処理システム。
  18. ペデスタルであって、
    高周波電極と、
    前記高周波電極の半径方向外側に配置されている複数のリフトピンと、
    基板を受けるc−リングと、前記複数のリフトピンは、前記c−リングを前記ペデスタルに対して移動させ、
    複数の絶縁体と、
    接地シールドと、を備え、前記高周波電極、前記リフトピン、および、前記複数の絶縁体は、前記接地シールド内に配置され
    前記複数の絶縁体は、前記高周波電極を前記リフトピンおよび前記接地シールドから絶縁する、ペデスタル。
  19. 請求項18に記載のペデスタルであって、前記c−リングは、前記基板が保持されるテーパ状または段状の内面を備える、ペデスタル。
  20. 基板処理システムであって、
    請求項18に記載のペデスタルと、
    前記ペデスタルの上方に配置され、前記基板をエッチングするためにプラズマを生成するプラズマ源と、
    を備える、基板処理システム。
JP2017023976A 2016-02-12 2017-02-13 プラズマ源のチャンバ部材、および、基板c−リングの平行移動のために半径方向外側に配置されたリフトピンを備えるペデスタル Active JP6947510B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662294574P 2016-02-12 2016-02-12
US62/294,574 2016-02-12
US15/428,585 2017-02-09
US15/428,585 US10699878B2 (en) 2016-02-12 2017-02-09 Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring

Publications (3)

Publication Number Publication Date
JP2017175121A true JP2017175121A (ja) 2017-09-28
JP2017175121A5 JP2017175121A5 (ja) 2020-04-30
JP6947510B2 JP6947510B2 (ja) 2021-10-13

Family

ID=59562267

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017023976A Active JP6947510B2 (ja) 2016-02-12 2017-02-13 プラズマ源のチャンバ部材、および、基板c−リングの平行移動のために半径方向外側に配置されたリフトピンを備えるペデスタル

Country Status (5)

Country Link
US (1) US10699878B2 (ja)
JP (1) JP6947510B2 (ja)
KR (1) KR20170095151A (ja)
CN (1) CN107086169B (ja)
TW (1) TWI736582B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10395900B2 (en) * 2016-06-17 2019-08-27 Samsung Electronics Co., Ltd. Plasma processing apparatus
JP7386362B2 (ja) 2020-05-09 2023-11-24 ベイジン・ナウラ・マイクロエレクトロニクス・イクイップメント・カンパニー・リミテッド 半導体反応チャンバ及び原子層プラズマエッチング装置

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
SG10202002601QA (en) 2014-10-17 2020-05-28 Applied Materials Inc Cmp pad construction with composite material properties using additive manufacturing processes
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10204795B2 (en) 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10840068B2 (en) * 2017-02-15 2020-11-17 Yield Engineering Systems, Inc. Plasma spreading apparatus and method of spreading plasma in process ovens
US10763081B2 (en) 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
KR102518372B1 (ko) 2018-03-23 2023-04-06 삼성전자주식회사 가스 분배 장치, 이를 포함하는 기판 처리 장치 및 이를 이용하는 반도체 공정 방법
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10600623B2 (en) 2018-05-28 2020-03-24 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10347500B1 (en) 2018-06-04 2019-07-09 Applied Materials, Inc. Device fabrication via pulsed plasma
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
TWI662595B (zh) * 2018-06-20 2019-06-11 凱樂士股份有限公司 噴淋頭結構及其多孔質陶瓷盤之製造方法
CN112654655A (zh) 2018-09-04 2021-04-13 应用材料公司 先进抛光垫配方
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
US11279032B2 (en) 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US11515127B2 (en) 2019-05-14 2022-11-29 Beijing E-Town Semiconductor Technology Co., Ltd End effectors for moving workpieces and replaceable parts within a system for processing workpieces under vacuum
US10964584B2 (en) 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
US11626305B2 (en) 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
CN112216646A (zh) * 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
KR20210056646A (ko) 2019-11-11 2021-05-20 삼성전자주식회사 플라즈마 처리 장비
KR102114891B1 (ko) * 2019-11-18 2020-05-26 주식회사 기가레인 플라즈마 처리 장치
US11370114B2 (en) 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
CN112582280B (zh) * 2020-12-10 2021-07-30 深圳市冠禹半导体有限公司 一种半导体器件的制备装置
US20220285180A1 (en) * 2021-03-08 2022-09-08 Applied Materials, Inc. Enclosure system structure
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
JP7417569B2 (ja) * 2021-10-29 2024-01-18 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07326494A (ja) * 1992-11-04 1995-12-12 Novellus Syst Inc プラズマプロセス装置
JPH09120898A (ja) * 1995-07-26 1997-05-06 Applied Materials Inc 電子的に可変な密度プロファイルを有するプラズマ源
JPH1092598A (ja) * 1996-05-13 1998-04-10 Applied Materials Inc 上部にソレノイドアンテナを有する電磁結合rfプラズマリアクター
JPH10258227A (ja) * 1997-01-02 1998-09-29 Applied Materials Inc ハイブリッド導体と多半径ドームシーリングを持つrfプラズマリアクタ
JP2000191370A (ja) * 1998-12-28 2000-07-11 Taiheiyo Cement Corp 処理容器用部材
US20090250334A1 (en) * 2008-04-03 2009-10-08 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
JP2009283975A (ja) * 2003-05-02 2009-12-03 Tokyo Electron Ltd プラズマ処理装置

Family Cites Families (225)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2831856B2 (de) 1978-07-20 1981-07-02 Drägerwerk AG, 2400 Lübeck Anordnung zum elektrisch gesteuerten Dosieren und Mischen von Gasen
NL8004005A (nl) 1980-07-11 1982-02-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
US4431477A (en) 1983-07-05 1984-02-14 Matheson Gas Products, Inc. Plasma etching with nitrous oxide and fluoro compound gas mixture
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
EP0424299A3 (en) 1989-10-20 1991-08-28 International Business Machines Corporation Selective silicon nitride plasma etching
US6024826A (en) 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5329965A (en) 1993-07-30 1994-07-19 The Perkin-Elmer Corporation Hybrid valving system for varying fluid flow rate
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
US5605179A (en) 1995-03-17 1997-02-25 Insync Systems, Inc. Integrated gas panel
US6050283A (en) 1995-07-07 2000-04-18 Air Liquide America Corporation System and method for on-site mixing of ultra-high-purity chemicals for semiconductor processing
US5907221A (en) * 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5662143A (en) 1996-05-16 1997-09-02 Gasonics International Modular gas box system
US6022609A (en) 1996-10-02 2000-02-08 Seagate Technology, Inc. Magnetic recording medium with substantially uniform sub-micron-scale morphology
US5744695A (en) 1997-01-10 1998-04-28 Sony Corporation Apparatus to check calibration of mass flow controllers
US6210593B1 (en) 1997-02-06 2001-04-03 Matsushita Electric Industrial Co., Ltd. Etching method and etching apparatus
KR100295518B1 (ko) 1997-02-25 2001-11-30 아끼구사 나오유끼 질화실리콘층의에칭방법및반도체장치의제조방법
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
JP3586075B2 (ja) 1997-08-15 2004-11-10 忠弘 大見 圧力式流量制御装置
US6074959A (en) 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6060400A (en) 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
US6185839B1 (en) * 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
US6217937B1 (en) 1998-07-15 2001-04-17 Cornell Research Foundation, Inc. High throughput OMVPE apparatus
JP3830670B2 (ja) 1998-09-03 2006-10-04 三菱電機株式会社 半導体製造装置
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US7150994B2 (en) 1999-03-03 2006-12-19 Symyx Technologies, Inc. Parallel flow process optimization reactor
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
WO2000063756A1 (fr) 1999-04-16 2000-10-26 Fujikin Incorporated Dispositif d'alimentation en fluide du type derivation parallele, et procede et dispositif de commande du debit d'un systeme de pression du type a fluide variable utilise dans ledit dispositif
US6210482B1 (en) 1999-04-22 2001-04-03 Fujikin Incorporated Apparatus for feeding gases for use in semiconductor manufacturing
JP2001023955A (ja) 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
DE60041341D1 (de) 1999-08-17 2009-02-26 Tokyo Electron Ltd Gepulstes plasmabehandlungsverfahren und vorrichtung
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
JP4394778B2 (ja) 1999-09-22 2010-01-06 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
JP2001230239A (ja) 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
ATE287291T1 (de) 2000-03-07 2005-02-15 Symyx Technologies Inc Prozessoptimierungsreaktor mit parallelem durchfluss
US6645302B2 (en) 2000-04-26 2003-11-11 Showa Denko Kabushiki Kaisha Vapor phase deposition system
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
JP2002110570A (ja) 2000-10-04 2002-04-12 Asm Japan Kk 半導体製造装置用ガスラインシステム
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3388228B2 (ja) 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
US6962879B2 (en) 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
DE10216703A1 (de) 2001-04-20 2002-11-28 Festo Corp Hauppauge Stapelbare Ventilverteileranordnung
WO2002095519A1 (en) 2001-05-24 2002-11-28 Unit Instruments, Inc. Method and apparatus for providing a determined ratio of process fluids
US20020189947A1 (en) 2001-06-13 2002-12-19 Eksigent Technologies Llp Electroosmotic flow controller
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6814813B2 (en) 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
US20040040664A1 (en) 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
JP3856730B2 (ja) 2002-06-03 2006-12-13 東京エレクトロン株式会社 流量制御装置を備えたガス供給設備からのチャンバーへのガス分流供給方法。
US7552015B2 (en) 2002-06-24 2009-06-23 Mks Instruments, Inc. Apparatus and method for displaying mass flow controller pressure
US7136767B2 (en) 2002-06-24 2006-11-14 Mks Instruments, Inc. Apparatus and method for calibration of mass flow controller
US6810308B2 (en) 2002-06-24 2004-10-26 Mks Instruments, Inc. Apparatus and method for mass flow controller with network access to diagnostics
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
JP4502590B2 (ja) 2002-11-15 2010-07-14 株式会社ルネサステクノロジ 半導体製造装置
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
KR20040050080A (ko) 2002-12-09 2004-06-16 주식회사 하이닉스반도체 플라즈마 식각 챔버용 포커스 링 구동 장치
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20040112540A1 (en) 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040163601A1 (en) 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
US20040168719A1 (en) 2003-02-28 2004-09-02 Masahiro Nambu System for dividing gas flow
US6907904B2 (en) 2003-03-03 2005-06-21 Redwood Microsystems, Inc. Fluid delivery system and mounting panel therefor
CN100350569C (zh) 2003-05-02 2007-11-21 东京毅力科创株式会社 处理气体导入机构和等离子体处理装置
CN100454200C (zh) 2003-06-09 2009-01-21 喜开理株式会社 相对压力控制系统和相对流量控制系统
JP4195837B2 (ja) 2003-06-20 2008-12-17 東京エレクトロン株式会社 ガス分流供給装置及びガス分流供給方法
US7137400B2 (en) 2003-09-30 2006-11-21 Agere Systems Inc. Bypass loop gas flow calibration
JP4399227B2 (ja) 2003-10-06 2010-01-13 株式会社フジキン チャンバの内圧制御装置及び内圧被制御式チャンバ
US7129171B2 (en) 2003-10-14 2006-10-31 Lam Research Corporation Selective oxygen-free etching process for barrier materials
US7128806B2 (en) 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
US20050155625A1 (en) 2004-01-20 2005-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber cleaning method
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7072743B2 (en) 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7412986B2 (en) 2004-07-09 2008-08-19 Celerity, Inc. Method and system for flow measurement and validation of a mass flow controller
US7338907B2 (en) 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7621290B2 (en) 2005-04-21 2009-11-24 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using antisymmetric optimal control
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
JP4895167B2 (ja) 2006-01-31 2012-03-14 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法
US20070204914A1 (en) 2006-03-01 2007-09-06 Asahi Organic Chemicals Industry Co., Ltd. Fluid mixing system
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP4788920B2 (ja) 2006-03-20 2011-10-05 日立金属株式会社 質量流量制御装置、その検定方法及び半導体製造装置
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US8997791B2 (en) 2006-04-14 2015-04-07 Mks Instruments, Inc. Multiple-channel flow ratio controller
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8475625B2 (en) 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP4814706B2 (ja) 2006-06-27 2011-11-16 株式会社フジキン 流量比可変型流体供給装置
JP5037510B2 (ja) 2006-08-23 2012-09-26 株式会社堀場エステック 集積型ガスパネル装置
KR20080023569A (ko) 2006-09-11 2008-03-14 주식회사 하이닉스반도체 식각프로파일 변형을 방지하는 플라즈마식각장치
US7757541B1 (en) 2006-09-13 2010-07-20 Pivotal Systems Corporation Techniques for calibration of gas flows
US7309646B1 (en) 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
EP2104755A4 (en) 2006-10-26 2011-01-12 Symyx Solutions Inc HIGH PRESSURE PARALLEL FIXED BIN REACTOR AND METHOD THEREFOR
US9405298B2 (en) 2006-11-20 2016-08-02 Applied Materials, Inc. System and method to divide fluid flow in a predetermined ratio
US7758698B2 (en) 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
TWI444799B (zh) 2006-12-05 2014-07-11 Horiba Stec Co 流量控制裝置與流量測定裝置之校準方法、流量控制裝置之校準系統、及半導體製造裝置
US8019481B2 (en) 2006-12-12 2011-09-13 Horiba Stec, Co., Ltd. Flow rate ratio control device
SE530902C2 (sv) 2006-12-19 2008-10-14 Alfa Laval Corp Ab Sektionerad flödesanordning och förfarande för att reglera temperaturen i denna
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US7846497B2 (en) 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8074677B2 (en) 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7988813B2 (en) 2007-03-12 2011-08-02 Tokyo Electron Limited Dynamic control of process chemistry for improved within-substrate process uniformity
JP5317424B2 (ja) 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US8202393B2 (en) 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
US7832354B2 (en) 2007-09-05 2010-11-16 Applied Materials, Inc. Cathode liner with wafer edge gas injection in a plasma reactor chamber
US8440259B2 (en) 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
JP5459895B2 (ja) 2007-10-15 2014-04-02 Ckd株式会社 ガス分流供給ユニット
WO2009057583A1 (ja) 2007-10-31 2009-05-07 Tohoku University プラズマ処理システム及びプラズマ処理方法
US8137463B2 (en) 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US8037894B1 (en) 2007-12-27 2011-10-18 Intermolecular, Inc. Maintaining flow rate of a fluid
KR101028213B1 (ko) 2007-12-27 2011-04-11 가부시키가이샤 호리바 에스텍 유량 비율 제어 장치
KR101840047B1 (ko) 2008-01-18 2018-03-19 피포탈 시스템즈 코포레이션 가스 유동 제어기의 인 시투 시험을 위한 방법 및 장치
JP2009188173A (ja) 2008-02-06 2009-08-20 Tokyo Electron Ltd 基板処理方法及び基板処理装置
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
US8969151B2 (en) 2008-02-29 2015-03-03 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing resistance altering techniques
US8110068B2 (en) * 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
US20090272717A1 (en) 2008-03-21 2009-11-05 Applied Materials, Inc. Method and apparatus of a substrate etching system and process
JP2010034416A (ja) 2008-07-30 2010-02-12 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
ES2379698T3 (es) 2008-08-13 2012-04-30 Shell Internationale Research Maatschappij B.V. Método para controlar un caudal de gas entre una pluralidad de flujos de gases
US8089046B2 (en) 2008-09-19 2012-01-03 Applied Materials, Inc. Method and apparatus for calibrating mass flow controllers
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
JP5216632B2 (ja) 2009-03-03 2013-06-19 東京エレクトロン株式会社 流体制御装置
US8409995B2 (en) 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
WO2011021539A1 (ja) 2009-08-20 2011-02-24 東京エレクトロン株式会社 プラズマ処理装置とプラズマ処理方法
KR20120098751A (ko) 2009-10-26 2012-09-05 솔베이 플루오르 게엠베하 Tft 매트릭스 제조를 위한 식각 공정
US20120244715A1 (en) 2009-12-02 2012-09-27 Xactix, Inc. High-selectivity etching system and method
US9127361B2 (en) 2009-12-07 2015-09-08 Mks Instruments, Inc. Methods of and apparatus for controlling pressure in multiple zones of a process tool
WO2011078242A1 (ja) 2009-12-25 2011-06-30 株式会社堀場エステック マスフローコントローラシステム
KR101430093B1 (ko) 2010-03-04 2014-09-22 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법, 반도체 디바이스의 제조 방법 및 플라즈마 에칭 장치
JP2011226941A (ja) 2010-04-21 2011-11-10 Seiko Epson Corp 振動型力検出センサー、及び振動型力検出装置
US20110265883A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
WO2012016971A1 (en) 2010-08-02 2012-02-09 Basell Polyolefine Gmbh Process and apparatus for mixing and splitting fluid streams
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US8905074B2 (en) 2010-10-22 2014-12-09 Applied Materials, Inc. Apparatus for controlling gas distribution using orifice ratio conductance control
WO2012077071A1 (en) 2010-12-08 2012-06-14 Oc Oerlikon Balzers Ag Apparatus and method for depositing a layer onto a substrate
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
JP5855921B2 (ja) 2010-12-17 2016-02-09 株式会社堀場エステック ガス濃度調整装置
US9303319B2 (en) 2010-12-17 2016-04-05 Veeco Instruments Inc. Gas injection system for chemical vapor deposition using sequenced valves
US8968537B2 (en) * 2011-02-09 2015-03-03 Applied Materials, Inc. PVD sputtering target with a protected backing plate
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9476144B2 (en) 2011-03-28 2016-10-25 Applied Materials, Inc. Method and apparatus for the selective deposition of epitaxial germanium stressor alloys
US9059678B2 (en) 2011-04-28 2015-06-16 Lam Research Corporation TCCT match circuit for plasma etch chambers
US8746284B2 (en) 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
KR101926571B1 (ko) 2011-05-31 2018-12-10 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5377587B2 (ja) 2011-07-06 2013-12-25 東京エレクトロン株式会社 アンテナ、プラズマ処理装置及びプラズマ処理方法
JP5739261B2 (ja) 2011-07-28 2015-06-24 株式会社堀場エステック ガス供給システム
US8728239B2 (en) 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8849466B2 (en) 2011-10-04 2014-09-30 Mks Instruments, Inc. Method of and apparatus for multiple channel flow ratio controller system
US20130104996A1 (en) 2011-10-26 2013-05-02 Applied Materials, Inc. Method for balancing gas flow supplying multiple cvd reactors
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
JP5932599B2 (ja) 2011-10-31 2016-06-08 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US8671733B2 (en) 2011-12-13 2014-03-18 Intermolecular, Inc. Calibration procedure considering gas solubility
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9804609B2 (en) 2012-02-22 2017-10-31 Agilent Technologies, Inc. Mass flow controllers and methods for auto-zeroing flow sensor without shutting off a mass flow controller
JP5881467B2 (ja) 2012-02-29 2016-03-09 株式会社フジキン ガス分流供給装置及びこれを用いたガス分流供給方法
US20130255784A1 (en) 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
US9301383B2 (en) 2012-03-30 2016-03-29 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface wave plasma (SWP) processing method and apparatus
KR101974420B1 (ko) 2012-06-08 2019-05-02 세메스 주식회사 기판처리장치 및 방법
KR101974422B1 (ko) 2012-06-27 2019-05-02 세메스 주식회사 기판처리장치 및 방법
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP5616416B2 (ja) 2012-11-02 2014-10-29 株式会社フジキン 集積型ガス供給装置
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US20140144471A1 (en) 2012-11-28 2014-05-29 Intermolecular, Inc. Contamination Control, Rinsing, and Purging Methods to Extend the Life of Components within Combinatorial Processing Systems
US9090972B2 (en) 2012-12-31 2015-07-28 Lam Research Corporation Gas supply systems for substrate processing chambers and methods therefor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
CN104798446B (zh) 2013-03-12 2017-09-08 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
US20140273460A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Passive control for through silicon via tilt in icp chamber
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9425077B2 (en) 2013-03-15 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus with transportable edge ring for substrate transport
US20150010381A1 (en) 2013-07-08 2015-01-08 United Microelectronics Corp. Wafer processing chamber and method for transferring wafer in the same
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
CN104299929A (zh) 2013-07-19 2015-01-21 朗姆研究公司 用于原位晶片边缘和背侧等离子体清洁的系统和方法
JP6193679B2 (ja) 2013-08-30 2017-09-06 株式会社フジキン ガス分流供給装置及びガス分流供給方法
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
CN103730318B (zh) 2013-11-15 2016-04-06 中微半导体设备(上海)有限公司 一种晶圆边缘保护环及减少晶圆边缘颗粒的方法
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US20150184287A1 (en) 2013-12-26 2015-07-02 Intermolecular, Inc. Systems and Methods for Parallel Combinatorial Vapor Deposition Processing
CN104752141B (zh) 2013-12-31 2017-02-08 中微半导体设备(上海)有限公司 一种等离子体处理装置及其运行方法
CN104851832B (zh) 2014-02-18 2018-01-19 北京北方华创微电子装备有限公司 一种固定装置、反应腔室及等离子体加工设备
US9580360B2 (en) 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
JP6204869B2 (ja) 2014-04-09 2017-09-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20150340209A1 (en) 2014-05-20 2015-11-26 Micron Technology, Inc. Focus ring replacement method for a plasma reactor, and associated systems and methods
US9318343B2 (en) 2014-06-11 2016-04-19 Tokyo Electron Limited Method to improve etch selectivity during silicon nitride spacer etch
CN105336561B (zh) 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
CN107148661B (zh) 2014-10-17 2019-10-18 朗姆研究公司 包括用于可调气流控制的气体分流器的气体供应输送装置
US20160181116A1 (en) 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
TW201634738A (zh) 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US9966270B2 (en) 2015-03-31 2018-05-08 Lam Research Corporation Gas reaction trajectory control through tunable plasma dissociation for wafer by-product distribution and etch feature profile uniformity
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US9640409B1 (en) 2016-02-02 2017-05-02 Lam Research Corporation Self-limited planarization of hardmask
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
CN107093569B (zh) 2016-02-18 2019-07-05 北京北方华创微电子装备有限公司 一种晶片定位装置及反应腔室
US20170278679A1 (en) 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07326494A (ja) * 1992-11-04 1995-12-12 Novellus Syst Inc プラズマプロセス装置
JPH09120898A (ja) * 1995-07-26 1997-05-06 Applied Materials Inc 電子的に可変な密度プロファイルを有するプラズマ源
JPH1092598A (ja) * 1996-05-13 1998-04-10 Applied Materials Inc 上部にソレノイドアンテナを有する電磁結合rfプラズマリアクター
JPH10258227A (ja) * 1997-01-02 1998-09-29 Applied Materials Inc ハイブリッド導体と多半径ドームシーリングを持つrfプラズマリアクタ
JP2000191370A (ja) * 1998-12-28 2000-07-11 Taiheiyo Cement Corp 処理容器用部材
JP2009283975A (ja) * 2003-05-02 2009-12-03 Tokyo Electron Ltd プラズマ処理装置
US20090250334A1 (en) * 2008-04-03 2009-10-08 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10395900B2 (en) * 2016-06-17 2019-08-27 Samsung Electronics Co., Ltd. Plasma processing apparatus
US10903053B2 (en) 2016-06-17 2021-01-26 Samsung Electronics Co., Ltd. Plasma processing apparatus
JP7386362B2 (ja) 2020-05-09 2023-11-24 ベイジン・ナウラ・マイクロエレクトロニクス・イクイップメント・カンパニー・リミテッド 半導体反応チャンバ及び原子層プラズマエッチング装置

Also Published As

Publication number Publication date
US20170236688A1 (en) 2017-08-17
TW201740425A (zh) 2017-11-16
CN107086169B (zh) 2020-09-08
TWI736582B (zh) 2021-08-21
KR20170095151A (ko) 2017-08-22
CN107086169A (zh) 2017-08-22
JP6947510B2 (ja) 2021-10-13
US10699878B2 (en) 2020-06-30

Similar Documents

Publication Publication Date Title
JP6947510B2 (ja) プラズマ源のチャンバ部材、および、基板c−リングの平行移動のために半径方向外側に配置されたリフトピンを備えるペデスタル
CN106992107B (zh) 频率调制射频电源以控制等离子体不稳定性的系统和方法
KR102503328B1 (ko) 다운스트림 반응기에서 에지 에칭 레이트 제어를 위한 조정가능한 측면 가스 플레넘
KR102454532B1 (ko) 전기적 아크 및 발광을 방지하고 프로세스 균일도를 개선하기 위한 피처들을 갖는 정전 척
CN110337714B (zh) 一种衬底支撑件和衬底处理系统
TW201740501A (zh) 晶圓傳送用的晶圓升降環系統
CN107393797B (zh) 包括具有高纯sp3键的cvd金刚石涂层的边缘环的部件
US20210327689A1 (en) Metal contamination reduction in substrate processing systems with transformer coupled plasma
KR20210149894A (ko) 듀얼 주파수, 직접 구동 유도 결합 플라즈마 소스
US11195696B2 (en) Electron beam generator, plasma processing apparatus having the same and plasma processing method using the same
CN107086178B (zh) 用于选择性蚀刻膜的系统和方法
US20230290611A1 (en) Distributed plasma source array
US11967486B2 (en) Substrate processing system including dual ion filter for downstream plasma
KR20080060834A (ko) 플라즈마 처리 장치
CN113506719B (zh) 包括具有高纯sp3键的cvd金刚石涂层的部件
CN116325073A (zh) 具有延伸的静电卡盘电极的高温基座
EP4381534A1 (en) Transformer coupled plasma source design for thin dielectric film deposition
KR20220127895A (ko) 트렌치 프로파일 최적화를 위한 멀티 존 가스 분배 플레이트
JP2022537038A (ja) 基板処理システム用の縮径キャリアリングハードウェア
CN112117177A (zh) 工程气体供应装置以及配备上述装置的基板处理系统
KR20240042498A (ko) 유전체 박막 증착을 위한 변압기 커플링 플라즈마 (transformer coupled plasma) 소스 설계

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170808

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200212

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200212

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200317

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210112

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210119

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210412

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210520

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210817

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210916

R150 Certificate of patent or registration of utility model

Ref document number: 6947510

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150