WO2011021539A1 - プラズマ処理装置とプラズマ処理方法 - Google Patents

プラズマ処理装置とプラズマ処理方法 Download PDF

Info

Publication number
WO2011021539A1
WO2011021539A1 PCT/JP2010/063543 JP2010063543W WO2011021539A1 WO 2011021539 A1 WO2011021539 A1 WO 2011021539A1 JP 2010063543 W JP2010063543 W JP 2010063543W WO 2011021539 A1 WO2011021539 A1 WO 2011021539A1
Authority
WO
WIPO (PCT)
Prior art keywords
processing
gas
introduction
plasma
amount
Prior art date
Application number
PCT/JP2010/063543
Other languages
English (en)
French (fr)
Inventor
俊久 小津
松本 直樹
塚本 剛史
和人 高井
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2009191355A external-priority patent/JP5410882B2/ja
Priority claimed from JP2009191354A external-priority patent/JP5410881B2/ja
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Priority to US13/391,196 priority Critical patent/US8771537B2/en
Priority to KR1020127004868A priority patent/KR101386552B1/ko
Priority to CN201080036920.9A priority patent/CN102473634B/zh
Publication of WO2011021539A1 publication Critical patent/WO2011021539A1/ja
Priority to US14/287,537 priority patent/US10224220B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Definitions

  • the present invention relates to a plasma processing apparatus and a plasma processing method used in semiconductor manufacturing.
  • RLSA Random Line Slot Antenna
  • This RLSA type plasma processing apparatus has an advantage that it can uniformly form high-density and low-electron-temperature plasma, and can uniformly and rapidly process a large semiconductor wafer.
  • plasma processing a process for etching the surface of a substrate using HBr gas is known.
  • microwaves are propagated inside the processing container via a dielectric disposed on the ceiling surface of the processing container. Then, the processing gas introduced into the processing container is turned into plasma by microwave energy, and the substrate surface is processed.
  • the introduction part for introducing the processing gas into the processing container is disposed on the side surface of the processing container, for example.
  • a processing gas introduction portion is provided on the ceiling surface of the processing container (see, for example, Patent Document 2).
  • Patent Document 3 discloses a parallel plate type plasma processing apparatus.
  • a pair of parallel upper and lower electrodes are installed in a processing vessel, a high frequency is applied to the lower electrode, and a substrate is placed on the lower electrode for etching.
  • the upper electrode is divided into a central region that supplies a processing gas to the center of the substrate and a peripheral region that supplies a processing gas to the periphery of the substrate. The Then, the ratio of the amount of processing gas introduced between the central region and the peripheral region is controlled (Radical Distribution Control: RDC).
  • RDC Rotary Distribution Control
  • the ratio of the introduction amount of the processing gas from the side surface introduction portion and the ceiling surface introduction portion is optimized, thereby achieving uniform plasma treatment on the substrate surface.
  • the improvement of the property was aimed at.
  • the plasma processing is performed while maintaining the optimized introduction amount ratio.
  • the etching rate of the central portion and the peripheral portion of the substrate is different, and it is difficult to make the plasma treatment on the substrate surface uniform.
  • a plasma processing apparatus for processing a substrate by converting the processing gas introduced into the processing container into a plasma, wherein the central introducing portion introduces the processing gas into the central portion of the substrate housed in the processing container.
  • a peripheral introduction part that introduces a processing gas into the peripheral part of the substrate stored in the processing container, a splitter that variably adjusts a flow rate ratio of the processing gas supplied to the central introduction part and the peripheral introduction part, and A control unit for controlling the splitter, the control unit, during the plasma processing, so as to change the ratio of the introduction amount of the processing gas from the central introduction unit and the introduction amount of the processing gas from the peripheral introduction unit,
  • a plasma processing apparatus is provided for controlling the splitter.
  • a plasma processing method in which a ratio between an introduction amount and an introduction amount of a processing gas introduced into a peripheral portion of a substrate housed in the processing container is changed during the plasma processing.
  • a plasma processing apparatus in which a processing gas in which a plurality of source gases are mixed is introduced into a processing container, and the processing gas is converted into plasma in the processing container to process a substrate.
  • a plasma processing apparatus is provided that includes a plurality of source gas supply units that supply different source gases and a control unit that controls the amount of source gas supplied by each source gas supply unit.
  • a plasma processing method in which a processing gas in which a plurality of source gases are mixed is introduced into a processing container, and the processing gas is converted into plasma in the processing container to process the substrate.
  • a plasma processing method is provided in which CD is controlled by changing the mixing ratio of different source gases.
  • the ratio of the introduction amount of the processing gas to the central portion of the substrate and the introduction amount of the processing gas to the peripheral portion of the substrate is changed during the plasma processing, thereby etching the central portion and the peripheral portion of the substrate. Variations in rate and the like can be reduced. For this reason, the uniformity of the plasma treatment on the substrate surface is improved.
  • the etching CD can be controlled by changing the ratio of the supply amounts of source gases such as CF 4 gas and CF 3 gas contained in the processing gas. Further, according to the present invention, processes that require strict CD control such as mask openings, spacers, and gates can be easily performed.
  • FIG. 1 is a longitudinal sectional view showing a schematic configuration of a plasma processing apparatus according to a first embodiment of the present invention.
  • FIG. 2 is a sectional view taken along line XX in FIG. 1, showing a state of the lower surface of the dielectric window. It is explanatory drawing of the state by which process gas is introduce
  • 6 is a graph showing an etching rate distribution in Comparative Example 1. 6 is a graph showing an etching rate distribution in Comparative Example 2.
  • Example 10 is a graph showing an etching rate distribution in Comparative Example 3.
  • 3 is a graph showing an etching rate distribution in Example 1.
  • 6 is a partial enlarged cross-sectional view showing an etching shape of a SiN film on a wafer surface in Example 2.
  • Example 3 it is the elements on larger scale which show the etching shape of the SiN film
  • Plasma processing apparatus Processing vessel 3 Susceptor 4 External power source 5 Heater 10 Exhaust device 16 Dielectric window 20 Radial line slot plate 25 Dielectric plate 30 Coaxial waveguide 31 Internal conductor 32 External conductor 35 Microwave supply device 36 Rectangular Waveguide 50, 50 ′ Gas supply source 50a Ar gas supply unit 50b HBr gas supply unit 50c O 2 gas supply unit 50′a Ar gas supply unit 50′b CF 4 gas supply unit 50′c CHF 3 gas supply unit 51 Splitters 52 and 53 Supply path 55 Central introduction part 56 Peripheral introduction part 57 Injector block 61 Injector ring 65 Control part
  • the plasma processing apparatus 1 includes a cylindrical processing container 2.
  • the upper part of the processing container 2 is opened and the bottom part is closed.
  • the processing container 2 is made of, for example, aluminum and is electrically grounded.
  • the inner wall surface of the processing container 2 is covered with a protective film such as alumina.
  • a susceptor 3 as a mounting table for mounting, for example, a semiconductor wafer (hereinafter referred to as a wafer) W as a substrate is provided at the bottom of the processing container 2.
  • the susceptor 3 is made of, for example, aluminum, and a heater 5 that generates heat when power is supplied from an external power source 4 is provided inside the susceptor 3. With the heater 5, the wafer W on the susceptor 3 can be heated to a predetermined temperature.
  • the exhaust pipe 11 for exhausting the atmosphere in the processing container 2 by an exhaust device 10 such as a vacuum pump is connected to the bottom of the processing container 2.
  • a dielectric window 16 made of a dielectric material such as quartz is provided on the upper portion of the processing container 2 via a sealing material 15 such as an O-ring for ensuring airtightness. As shown in FIG. 2, the dielectric window 16 has a substantially disk shape. As a material of the dielectric window 16, other dielectric materials such as ceramics such as Al 2 O 3 and AlN may be used instead of quartz.
  • a planar slot plate for example, a disc-shaped radial line slot plate 20 is provided above the dielectric window 16.
  • the radial line slot plate 20 is made of a thin copper plate plated or coated with a conductive material such as Ag or Au.
  • a plurality of slots 21 are concentrically arranged in a plurality of rows.
  • the dielectric plate 25 is made of a dielectric material such as Al 2 O 3 , for example.
  • a material of the dielectric plate 25 other dielectric material, for example, ceramics such as quartz and AlN may be used instead of Al 2 O 3 .
  • the dielectric plate 25 is covered with a conductive cover 26.
  • the cover 26 is provided with an annular heat medium passage 27, and the cover 26 and the dielectric window 16 are maintained at a predetermined temperature by the heat medium flowing through the heat medium passage 27.
  • a coaxial waveguide 30 is connected to the center of the cover 26.
  • the coaxial waveguide 30 is constituted by an inner conductor 31 and an outer conductor 32.
  • the inner conductor 31 passes through the center of the dielectric plate 25 and is connected to the upper center of the radial line slot plate 20 described above.
  • the plurality of slots 21 formed in the radial line slot plate 20 are all arranged on a plurality of circumferences around the inner conductor 31.
  • a microwave supply device 35 is connected to the coaxial waveguide 30 via a rectangular waveguide 36 and a mode converter 37.
  • a microwave of 2.45 GHz generated by the microwave supply device 35 passes through the rectangular waveguide 36, the mode converter 37, the coaxial waveguide 30, the dielectric plate 25, and the radial line slot plate 20. Radiated to the dielectric window 16. Then, an electric field is formed on the lower surface of the dielectric window 16 by the microwave, and plasma is generated in the processing container 2.
  • the lower end 40 of the inner conductor 31 connected to the radial line slot plate 20 is formed in a truncated cone shape.
  • the lower end 40 of the inner conductor 31 is formed in a truncated cone shape, so that the microwave is efficiently propagated from the coaxial waveguide 30 to the dielectric plate 25 and the radial line slot plate 20.
  • a feature of the microwave plasma generated by such a configuration is that a plasma of a few eV, which is generated directly under the dielectric window 16 (referred to as a plasma excitation region) and has a relatively high electron temperature diffuses, and directly above the wafer W (diffusion). In the plasma region), the plasma has a low electron temperature of about 1 to 2 eV. That is, unlike plasma generated by a parallel plate plasma processing apparatus or the like, the distribution of the electron temperature of the plasma is clearly generated as a function of the distance from the dielectric window 16. More specifically, as a function of the distance from directly below the dielectric window 16, an electron temperature of several eV to about 10 eV immediately below the dielectric window 16 attenuates to about 1 to 2 eV on the wafer W.
  • the wafer W Since the processing of the wafer W is performed in a region where the electron temperature of plasma is low (diffusion plasma region), the wafer W is not seriously damaged such as a recess.
  • the processing gas is supplied to a region where the plasma electron temperature is high (plasma excitation region), the processing gas is easily excited and dissociated.
  • the processing gas is supplied to a region where the plasma electron temperature is low (plasma diffusion region), the degree of dissociation can be suppressed as compared with the case where the processing gas is supplied to the vicinity of the plasma excitation region.
  • the processing gas supplied from the gas supply source 50 is distributed by the splitter 51 and introduced into the processing container 2 through the two supply paths 52 and 53.
  • the gas supply source 50 supplies an Ar gas supply unit 50a for supplying Ar gas, an HBr gas supply unit 50b for supplying HBr gas, and an O 2 gas.
  • An O 2 gas supply unit 50c is provided.
  • a mixed gas of Ar gas, HBr gas, and O 2 gas supplied from the Ar gas supply unit 50a, the HBr gas supply unit 50b, and the O 2 gas supply unit 50c is introduced into the processing container 2 as a processing gas.
  • a central introducing portion 55 for introducing a processing gas into the central portion of the wafer W is provided on the ceiling surface of the processing container 2.
  • a peripheral introducing portion 56 for introducing a processing gas from the periphery of the wafer W is provided on the inner side surface of the processing container 2.
  • the center introducing portion 55 is disposed at the center of the ceiling surface of the processing container 2.
  • One supply path 52 that penetrates the inner conductor 31 of the coaxial waveguide 30 is connected to the center introduction portion 55.
  • the central introduction part 55 is provided with an injector block 57 for introducing the processing gas into the processing container 2.
  • the injector block 57 is made of a conductive material such as aluminum, and the injector block 57 is electrically grounded.
  • the injector block 57 has a disk shape, and the injector block 57 is provided with a plurality of gas ejection holes 58 penetrating vertically.
  • the injector block 57 may be coated with, for example, alumina or yttria.
  • the injector block 57 is held in a cylindrical space 59 provided in the center of the dielectric window 16. Between the lower surface of the inner conductor 31 of the coaxial waveguide 30 and the upper surface of the injector block 57, a cylindrical gas reservoir 60 having an appropriate interval is formed. After the processing gas supplied to the gas reservoir 60 from the supply path 52 penetrating the inner conductor 31 spreads in the gas reservoir 60, the processing container 2 passes through the plurality of gas ejection holes 58 provided in the injector block 57. It is introduced above the center of the inner wafer W.
  • the peripheral introduction part 56 includes a ring-shaped injector ring 61 arranged so as to surround the upper side of the wafer W placed on the susceptor 3.
  • the injector ring 61 is hollow, and processing gas is supplied into the injector ring 61 through a supply path 53 that penetrates the side surface of the processing container 2.
  • a plurality of openings 62 are provided at equal intervals on the inner surface of the injector ring 61. After the processing gas supplied into the injector ring 61 from the supply path 53 penetrating the side surface of the processing container 2 spreads inside the injector ring 61, it passes through a plurality of openings 62 provided on the inner side surface of the injector ring 61. Then, it is introduced above the periphery of the wafer W in the processing container 2.
  • the injector ring 61 may be omitted.
  • processing gas supply nozzles may be provided at equal intervals on the inner surface of the processing container 2.
  • the splitter 51 and the Ar gas supply unit 50 a, the HBr gas supply unit 50 b, and the O 2 gas supply unit 50 c of the gas supply source 50 are controlled by the control unit 65.
  • the ratio of Ar gas supplied from the Ar gas supply unit 50a to the splitter 51, the ratio of HBr gas supplied from the HBr gas supply unit 50b to the splitter 51, and the O 2 gas supply unit 50c The proportion of O 2 gas supplied to the splitter 51 is determined, and thereby the composition of the processing gas introduced into the processing container 2 is determined.
  • the flow rate ratio of the processing gas distributed from the splitter 51 to the two supply paths 52 and 53 and supplied to the central introduction unit 55 and the peripheral introduction unit 56 is determined. Thereby, the introduction amount ratio of the processing gas introduced into the processing container 2 from the central introduction part 55 and the peripheral introduction part 56 is determined.
  • the plasma electron temperature is high, so that the etching gas is easily dissociated.
  • the plasma electron temperature is low, so that dissociation of the processing gas can be suppressed to a low level. Therefore, when trying to obtain a desired dissociation state of the processing gas, the dissociation state is easily controlled by adjusting the amount of gas supplied from the central introduction portion 55 and the amount of gas supplied from the peripheral introduction portion 56. be able to.
  • the plasma processing apparatus 1 according to the first embodiment of the present invention configured as described above will be described.
  • the plasma processing apparatus 1 according to the first embodiment of the present invention as an example of the plasma processing, an example of etching the Poly-Si film on the surface of the wafer W using a processing gas containing HBr gas. Will be explained.
  • a wafer W is first loaded into a processing container 2 and placed on a susceptor 3. And exhaust_gas
  • the ratio of Ar gas supplied from the Ar gas supply unit 50a to the splitter 51, the ratio of HBr gas supplied from the HBr gas supply unit 50b to the splitter 51, and the O 2 gas supply The proportion of O 2 gas supplied from the unit 50c to the splitter 51 is determined, and the composition of the processing gas is determined. Then, a processing gas having a predetermined composition mixed by the splitter 51 is introduced into the processing container 2.
  • the introduction of the processing gas into the processing container 2 is performed simultaneously from the central introduction part 55 provided on the ceiling surface of the processing container 2 and the peripheral introduction part 56 provided on the inner surface of the processing container 2.
  • a processing gas is introduced from both the center and the periphery of the wafer W.
  • the ratio of the introduction amount of the processing gas from the central introduction portion 55 and the introduction amount of the treatment gas from the peripheral introduction portion 56 is determined by the control portion 65 so that a uniform etching process is performed on the entire surface of the wafer W.
  • the control unit 65 controls the splitter 51, and the processing gas is introduced into the processing container 2 from the central introduction unit 55 and the peripheral introduction unit 56 in accordance with the determined introduction amount ratio.
  • the microwave supply device 35 by the operation of the microwave supply device 35, an electric field is generated on the lower surface of the dielectric window 16, the processing gas is turned into plasma, and the Poly-Si film on the surface of the wafer W is changed by the active species generated at that time. Etched. After the etching process is performed for a predetermined time, the operation of the microwave supply device 35 and the supply of the processing gas into the processing container 2 are stopped, and the wafer W is unloaded from the processing container 2 and a series of plasmas The etching process ends.
  • the control unit 65 controls the introduction amount ratio of the splitter 51 to be constant during the plasma processing.
  • the ratio of the introduction amount of the processing gas from the central introduction portion 55 and the introduction amount of the treatment gas from the peripheral introduction portion 56 is optimized with high accuracy, the etching rate between the central portion and the peripheral portion on the surface of the wafer W
  • the factors that cause the difference in the etching rate between the central portion and the peripheral portion on the surface of the wafer W when the processing gases from both the central introducing portion 55 and the peripheral introducing portion 56 are introduced were examined.
  • Q / R was kept constant during plasma processing. Therefore, the processing gas G1 introduced from the central introduction part 55 and the processing gas G2 introduced from the peripheral introduction part 56 always collide at the same position P on the surface of the wafer W placed on the susceptor 3. It was.
  • the inventors move the position where the stagnation of the processing gas occurs during the plasma processing on the surface of the wafer W under the control of the control unit 65, thereby etching the central portion and the peripheral portion on the surface of the wafer W.
  • the processing gas G1 is introduced from the central introduction portion 55 at the introduction amount Q1
  • the processing gas G2 is introduced from the peripheral introduction portion 56 at the introduction amount R1 (that is, by the control portion 65).
  • the introduction amount ratio of the splitter 51 was controlled to Q1 / R1).
  • the processing gas G1 introduced from the central introduction portion 55 and the processing gas G2 introduced from the peripheral introduction portion 56 are in a state of colliding at the position P1 on the surface of the wafer W placed on the susceptor 3. It was.
  • the processing gas G1 is introduced from the central introduction portion 55 at the introduction amount Q2 (Q2 ⁇ Q1), and the processing gas is introduced from the peripheral introduction portion 56.
  • G2 was introduced at an introduction amount R2 (R2> R1) (that is, the introduction amount ratio of the splitter 51 was controlled to Q2 / R2 by the control unit 65).
  • R2 introduction amount
  • the processing gas G1 introduced from the central introduction part 55 and the processing gas G2 introduced from the peripheral introduction part 56 are more centered on the surface of the wafer W than the position P1 on the surface of the wafer W placed on the susceptor 3. It was in the state which collided in position P2 near.
  • the control unit 65 alternately controls the introduction ratio of the splitter 51 to Q1 / R1 and Q2 / R2, thereby introducing the processing gas G1 from the central introduction unit 55 with the introduction amount Q1.
  • the process gas G2 is introduced from the peripheral introduction part 56 at the introduction amount R1 (introduction amount ratio Q1 / R1), the process gas G1 is introduced from the central introduction part 55 at the introduction quantity Q2, and the process gas is introduced from the peripheral introduction part 56.
  • the state of introducing G2 at the introduction amount R2 (introduction amount ratio Q2 / R2) was repeated alternately.
  • the inventors controlled the introduction amount ratio of the splitter 51 to be changed during the plasma processing by the control unit 65, and introduced the processing gas G1 from the central introduction unit 55 and the peripheral introduction.
  • the ratio of the introduction amount of the processing gas G2 from the portion 56 during the plasma processing the difference in the etching rate between the central portion and the peripheral portion on the surface of the wafer W is reduced, and uniform etching can be performed. Obtained knowledge. The experiment that led the inventors to obtain such knowledge will be described later.
  • the control unit 65 changes the introduction amount ratio of the splitter 51 during the plasma processing, whereby the plasma processing on the surface of the wafer W is made uniform. Improves. As a result, an excellent semiconductor device with good performance can be manufactured.
  • the gas supply source 50 ′ includes an Ar gas supply unit 50′a that supplies Ar gas, and CF 4 gas. supplying CF 4 gas supply portion 50'B, and a CHF 3 gas supply section 50'c supplying CHF 3 gas. A mixed gas of Ar gas, CF 4 gas, and CHF 3 gas supplied from these Ar gas supply unit 50′a, CF 4 gas supply unit 50′b, and CHF 3 gas supply unit 50′c is used as a processing gas. 2 is introduced.
  • the gas types of the gas supply source 50 of the plasma processing apparatus 1 according to the first embodiment of the present invention and the gas supply source 50 ′ of the plasma processing apparatus 1 ′ according to the second embodiment of the present invention are the same. Except for the differences, the configuration of the plasma processing apparatus 1 according to the first embodiment of the present invention and the plasma processing apparatus 1 ′ according to the second embodiment of the present invention are substantially the same. Therefore, the description of other components of the gas supply source 50 ′ is omitted because it overlaps with the plasma processing apparatus 1 according to the first embodiment of the present invention.
  • the operation of the plasma processing apparatus 1 ′ according to the second embodiment of the present invention configured as described above will be described.
  • a processing gas containing CF 4 gas and CHF 3 gas is used as an SiN film on the surface of the wafer W.
  • An example of etching will be described.
  • the wafer W is first loaded into the processing container 2 and placed on the susceptor 3. And exhaust_gas
  • the control of the control unit 65, and the ratio of the Ar gas supplied from the Ar gas supply unit 50a to the splitter 51, the ratio of the CF 4 gas supplied from a CF 4 gas supply section 50b to the splitter 51, CHF 3 The ratio of the CHF 3 gas supplied from the gas supply unit 50c to the splitter 51 is determined, and the mixing ratio of each source gas (Ar gas, CF 4 gas, CHF 3 gas) in the processing gas is determined. Then, the processing gas mixed by the splitter 51 is introduced into the processing container 2.
  • the introduction of the processing gas into the processing container 2 is performed simultaneously from the central introduction part 55 provided on the ceiling surface of the processing container 2 and the peripheral introduction part 56 provided on the inner surface of the processing container 2.
  • a processing gas is introduced from both the center and the periphery of the wafer W.
  • the ratio between the introduction amount of the processing gas from the central introduction portion 55 and the introduction amount of the treatment gas from the peripheral introduction portion 56 is determined by the control portion 65 controlling the splitter 51, so that the entire surface of the wafer W is uniformly etched. So that the introduction ratio of the splitter 51 is adjusted.
  • the microwave supply device 35 by the operation of the microwave supply device 35, an electric field is generated on the lower surface of the dielectric window 16, the processing gas is turned into plasma, and the SiN film on the surface of the wafer W is etched by the active species generated at that time.
  • the operation of the microwave supply device 35 and the supply of the processing gas into the processing container 2 are stopped, and the wafer W is unloaded from the processing container 2 and a series of plasmas The etching process ends.
  • the supply amount of CF 4 gas supplied from the CF 4 gas supply unit 50 b to the splitter 51 by the control unit 65, and the CHF 3 gas supply unit is adjusted, and the CD of the SiN film on the surface of the wafer W is controlled by changing the mixing ratio of the CF 4 gas and the CHF 3 gas in the processing gas.
  • the CD of the SiN film on the surface of the wafer W can be easily controlled.
  • an etching process that requires strict CD control such as a mask opening, a spacer, and a gate can be easily performed.
  • the control unit 65 controls the introduction amount ratio of the splitter 51 to be changed during the plasma processing, and the processing from the central introduction unit 55 is performed.
  • the ratio of the introduction amount of the gas G1 and the introduction amount of the processing gas G2 from the peripheral introduction portion 56 during the plasma processing the difference in the etching rate between the central portion and the peripheral portion on the surface of the wafer W is reduced. Uniform etching can be performed. As a result, an excellent semiconductor device with good performance can be manufactured.
  • the present invention is applied to the plasma processing apparatuses 1 and 1 ′ for performing the etching process, but the present invention can also be applied to a substrate processing other than the etching process, for example, a plasma processing apparatus for performing a film forming process. .
  • an example of etching the Poly-Si film on the surface of the wafer W using a processing gas containing HBr gas, and a processing gas using CF 4 gas and CHF 3 gas as source gases are used.
  • a processing gas containing HBr gas, CF 4 gas, and CHF 3 gas as source gases.
  • the etching target is not limited to the Poly-Si film or the SiN film.
  • the present invention is not limited to the RLSA type plasma etching apparatus, but can be applied to other ECR type plasma etching apparatuses.
  • the substrate processed by the plasma processing apparatus of the present invention may be any of a semiconductor wafer, an organic EL substrate, a substrate for an FPD (flat panel display), and the like.
  • the difference in the etching rate between the central portion and the peripheral portion on the surface of the wafer W with respect to the introduction amount ratio of the splitter 51 was considered. Note that a Si wafer having a diameter of 300 mm was used as the wafer W, and the Poly-Si film formed on the surface was etched.
  • Tables 1 to 3 show the processing conditions of Comparative Examples 1 to 3, respectively.
  • the etching step Poly for removing the Poly-Si film was performed for 30 seconds while maintaining the introduction ratio of the splitter 51 constant during the plasma processing.
  • the ratio between the introduction amount of the processing gas G1 from the central introduction portion 55 and the introduction amount of the treatment gas G2 from the peripheral introduction portion 56 is maintained at 25/75 in Comparative Example 1, and 32 in Comparative Example 2. / 68, and in Comparative Example 3, it was maintained at 40/60.
  • the breakthrough process BT which removes the oxide film formed on the surface of the wafer W was performed for 7 seconds at the start of the etching process, and then the etching process Poly was performed.
  • Example 1 shows the processing conditions of Example 1.
  • the introduction amount of the processing gas G1 from the central introduction portion 55 and the peripheral introduction are performed.
  • An etching process Poly1 for removing the Poly-Si film by setting the ratio of the introduction amount of the processing gas G2 from the portion 56 to 25/75 for 3 seconds, and the introduction amount of the processing gas G1 from the central introduction portion 55 and the peripheral introduction portion 56
  • the etching process Poly2 for removing the Poly-Si film was repeated 5 times alternately, with the ratio of the introduced amount of the processing gas G2 being 40/60 for 3 seconds.
  • Comparative Examples 1 to 3 and Example 1 are shown in FIGS. 6 to 9, the horizontal axis indicates the position of the surface of the wafer W (0 is the center), and the vertical axis indicates the etching rate ER.
  • Comparative Example 1 As shown in FIG. 6, in Comparative Example 1, the etching rate ER was large at the peripheral portion of the wafer W, and the etching rate ER was small at the central portion of the wafer W.
  • the uniformity of the etching rate ER (average value of etching rate ER ⁇ variation width of etching rate ER) was 121.0 nm / min ⁇ 43.7%.
  • Comparative Example 2 As shown in FIG. 7, in Comparative Example 2, the etching rate ER was large at the central portion of the wafer W, and the etching rate ER was the smallest between the central portion and the peripheral portion of the wafer W.
  • the uniformity of the etching rate ER (the average value of the etching rate ER ⁇ the fluctuation range of the etching rate ER) was 164.5 nm / min ⁇ 25.0%.
  • Comparative Example 3 As shown in FIG. 8, in Comparative Example 3, the etching rate ER was large at the central portion of the wafer W, and the etching rate ER was small at the peripheral portion of the wafer W.
  • the uniformity of the etching rate ER (average value of etching rate ER ⁇ variation width of etching rate ER) was 198.2 nm / min ⁇ 22.6%.
  • Example 1 As shown in FIG. 9, in Example 1, the etching rate ER slightly increased in the peripheral portion of the wafer W, but the etching rate ER became substantially uniform between the central portion and the peripheral portion of the wafer W.
  • the uniformity of the etching rate ER (average value of etching rate ER ⁇ variation width of etching rate ER) was 148.5 nm / min ⁇ 18.1%.
  • Example 1 had the smallest fluctuation range of the etching rate ER.
  • FIG. 10 shows the etching shape of the SiN film on the wafer surface.
  • the relationship between the mixing ratio of CF 4 gas and CHF 3 gas (CF 4 gas / CHF 3 ) and CD is as shown in Table 5 below.
  • CD tended to decrease. From the results of Example 1, it can be seen that the CD at the time of etching the SiN film can be controlled by changing the mixing ratio of the CF 4 gas and the CF 4 gas in the processing gas.
  • the etching shape of the SiN film on the wafer surface is The side surface becomes tapered toward the bottom side (a), and in the peripheral part of the wafer, the SiN film on the wafer surface is etched almost vertically (b).
  • the SiN film on the wafer surface has a side surface at the center of the wafer. Etching was performed almost vertically (a), and in the peripheral part of the wafer, the etching shape of the SiN film on the wafer surface became a tapered shape with the side surface becoming wider toward the bottom side (b).
  • the present invention is useful, for example, in the field of semiconductor manufacturing.

Abstract

【課題】基板表面におけるプラズマ処理の均一性を向上させる。 【解決手段】処理容器2に導入された処理ガスをプラズマ化させて基板Wを処理するプラズマ処理装置1において、処理容器2に収納された基板Wの中心部に導入される処理ガスの導入量と、処理容器2に収納された基板Wの周辺部に導入される処理ガスの導入量の比が、プラズマ処理中に変化する。本発明によれば、基板Wの中心部と周辺部のエッチングレートER等のばらつきを小さくできる。このため、基板W表面におけるプラズマ処理の均一性が向上する。

Description

プラズマ処理装置とプラズマ処理方法
 本発明は、半導体製造に用いられるプラズマ処理装置とプラズマ処理方法に関する。
 従来から、半導体デバイスの製造分野では、プラズマを用いてエッチングや成膜などの処理を施す方法が採用されている。その一つとして、ラジアルラインスロット板に形成されたスロットからマイクロ波を処理容器内に伝播させてプラズマを生成させるRLSA(Radial Line Slot Antenna)型のプラズマ処理装置が知られている(例えば、特許文献1参照)。このRLSA型のプラズマ処理装置は、高密度で低電子温度のプラズマを均一に形成することができ、大型の半導体ウェハを均一かつ高速にプラズマ処理できるといった利点がある。そして、プラズマ処理の一例として、HBrガスを用いて、基板の表面をエッチングするプロセスが知られている。また、他のプラズマ処理の一例として、CFガスとCHFガスを含む処理ガスを用いて、基板の表面に形成されたSiN膜をエッチングするプロセスが知られている。
 RLSA型のプラズマ処理装置では、処理容器の天井面に配置された誘電体を介して、処理容器の内部にマイクロ波が伝播される。そして、処理容器に導入された処理ガスがマイクロ波のエネルギーによりプラズマ化されて、基板表面の処理が行われる。一般に、処理容器へ処理ガスを導入するための導入部は、例えば処理容器の側面に配置されている。また最近では、処理容器の側面に配置された導入部に加えて、処理容器の天井面に処理ガスの導入部が設けられる(例えば、特許文献2参照)。
 また、特許文献3には、平行平板型プラズマ処理装置が開示されている。この平行平板型のプラズマエッチング装置においては、処理容器内に一対の平行な上部電極及び下部電極を設置し、下部電極に高周波を印加すると共に、この下部電極上に基板を置いてエッチングを行う。エッチングされる基板の面内での均一性を向上するために、上部電極は、基板の中央に処理ガスを供給する中央領域と、基板の周辺に処理ガスを供給する周辺領域と、に区画される。そして、それら中央領域と周辺領域の処理ガスの導入量の比をコントロールすることが行われている(Radical Distribution Control:RDC)。
特開2009-99807号公報 特開2008-251660号公報 特開2009-117477号公報
 ここで、特許文献2に記載のRLSA型のプラズマ処理装置では、側面の導入部と天井面の導入部からの処理ガスの導入量の比を最適化することにより、基板表面におけるプラズマ処理の均一性向上が図られていた。そして、処理中はその最適化された導入量の比を維持して、プラズマ処理が行われていた。しかしながら、処理ガスの導入量の比を最適化していても、基板の中心部と周辺部のエッチングレートなどが相違し、基板表面におけるプラズマ処理を均一にすることが困難であった。
 一方、最近の超微細パターンを形成するためにエッチングのCD(Critical Dimension)を正確に制御することが要求されている。そこで、マスク開口部、スペーサ、ゲートなど、厳しいCDコントロールが必要となるプロセスにおいては、光学式検査装置を用いてエッチング後のCD値を測定し、CD値に寄与する種々の要因を検討することが行われている。しかしながら、エッチングのCDを容易に制御できる手法は未だ十分に確立されていない。
 また、特許文献3に記載の平行平板型のプラズマ処理装置では、40mm以内の短距離に隔てられた上部電極と下部電極との間に生成されるプラズマを利用しており、プラズマの電子温度は上部電極から下部電極に至るまで高いまま維持される。加えて、共通ガス及び添加ガスはいずれも上部電極に導入されるので、共通ガス及び添加ガスの解離を多様に制御することができないという課題がある。
 本発明によれば、処理容器に導入された処理ガスをプラズマ化させて基板を処理するプラズマ処理装置であって、前記処理容器に収納された基板の中心部に処理ガスを導入する中央導入部と、前記処理容器に収納された基板の周辺部に処理ガスを導入する周辺導入部と、前記中央導入部と前記周辺導入部に供給する処理ガスの流量比を可変に調節するスプリッターと、前記スプリッターを制御する制御部を備え、前記制御部は、プラズマ処理中に、前記中央導入部からの処理ガスの導入量と前記周辺導入部からの処理ガスの導入量の比を変化させるように、前記スプリッターを制御する、プラズマ処理装置が提供される。
 また、本発明によれば、処理容器に導入された処理ガスをプラズマ化させて基板を処理するプラズマ処理方法であって、前記処理容器に収納された基板の中心部に導入される処理ガスの導入量と、前記処理容器に収納された基板の周辺部に導入される処理ガスの導入量の比が、プラズマ処理中に変化させられる、プラズマ処理方法が提供される。
 更に、本発明によれば、複数の原料ガスが混合された処理ガスが処理容器に導入され、処理容器内で処理ガスがプラズマ化されて基板が処理されるプラズマ処理装置であって、種類の異なる原料ガスを供給する複数の原料ガス供給部と、各原料ガス供給部による原料ガスの供給量を制御する制御部を備える、プラズマ処理装置が提供される。
 また、本発明によれば、複数の原料ガスが混合された処理ガスが処理容器に導入され、処理容器内で処理ガスがプラズマ化されて基板が処理されるプラズマ処理方法であって、種類の異なる原料ガスの混合比を変えることにより、CDが制御される、プラズマ処理方法が提供される。
 本発明によれば、基板の中心部への処理ガスの導入量と基板の周辺部への処理ガスの導入量の比をプラズマ処理中に変化させることにより、基板の中心部と周辺部のエッチングレート等のばらつきを小さくすることができる。このため、基板表面におけるプラズマ処理の均一性が向上する。
 また、本発明によれば、処理ガス中に含まれるCFガスやCFガスなどの原料ガスの供給量の比を変えることにより、エッチングのCDを制御することが可能となる。また、本発明によれば、マスク開口部、スペーサ、ゲートなど、厳しいCDコントロールが必要となるプロセスを容易に実施できるようになる。
本発明の第1の実施の形態にかかるプラズマ処理装置の概略的な構成を示す縦断面図である。 図1中のX-X断面図であり、誘電体窓の下面の状態を示している。 従来のプラズマ処理装置において、処理ガスが導入される状態の説明図である。 本発明の第1の実施の形態にかかるプラズマ処理装置において、処理ガスが導入される状態の説明図である。 本発明の第2の実施の形態にかかるプラズマ処理装置の概略的な構成を示す縦断面図である。 比較例1における、エッチングレートの分布を示すグラフである。 比較例2における、エッチングレートの分布を示すグラフである。 比較例3における、エッチングレートの分布を示すグラフである。 実施例1における、エッチングレートの分布を示すグラフである。 実施例2における、ウェハ表面のSiN膜のエッチング形状を示す部分拡大断面図である。 実施例3における、ウェハの中心部の処理ガス導入量を少なくし、ウェハの周辺部の処理ガス導入量を多くした場合の、ウェハ表面のSiN膜のエッチング形状を示す部分拡大図である。 実施例3における、ウェハの中心部の処理ガス導入量を多くし、ウェハの周辺部の処理ガス導入量を少なくした場合の、ウェハ表面のSiN膜のエッチング形状を示す部分拡大図である。
W ウェハ
1 プラズマ処理装置
2 処理容器
3 サセプタ
4 外部電源
5 ヒータ
10 排気装置
16 誘電体窓
20 ラジアルラインスロット板
25 誘電体板
30 同軸導波管
31 内部導体
32 外部導体
35 マイクロ波供給装置
36 矩形導波管
50、50’ ガス供給源
50a Arガス供給部
50b HBrガス供給部
50c Oガス供給部
50’a Arガス供給部
50’b CFガス供給部
50’c CHFガス供給部
51 スプリッター
52、53 供給路
55 中央導入部
56 周辺導入部
57 インジェクターブロック
61 インジェクターリング
65 制御部
 以下、本発明の実施の形態の一例を、図面を参照にして説明する。なお、本明細書および図面において、実質的に同一の構成要素については、同一の符号を付することにより重複説明を省略する。
 図1に示されるように、本発明の第1の実施の形態にかかるプラズマ処理装置1は、円筒形状の処理容器2を備えている。処理容器2の上部は開口し、底部は塞がれている。処理容器2は、例えばアルミニウムからなり、電気的に接地されている。処理容器2の内壁面は、例えばアルミナなどの保護膜で被覆されている。
 処理容器2内の底部には、基板として例えば半導体ウェハ(以下ウェハという)Wを載置するための載置台としてのサセプタ3が設けられている。サセプタ3は例えばアルミニウムからなり、サセプタ3の内部には、外部電源4からの電力の供給によって発熱するヒータ5が設けられている。ヒータ5によって、サセプタ3上のウェハWを所定温度に加熱することが可能である。
 処理容器2の底部には、真空ポンプなどの排気装置10よって処理容器2内の雰囲気を排気するための排気管11が接続されている。
 処理容器2の上部には、気密性を確保するためのOリングなどのシール材15を介して、例えば石英などの誘電材料からなる誘電体窓16が設けられている。図2に示されるように、誘電体窓16は略円盤形状である。誘電体窓16の材料として、石英に代えて、他の誘電体材料、たとえばAl、AlN等のセラミックスを使用してもよい。
 誘電体窓16の上方には、平面状のスロット板、例えば円板状のラジアルラインスロット板20が設けられている。ラジアルラインスロット板20は、導電性を有する材質、たとえばAg、Au等でメッキやコーティングされた銅の薄い円板からなる。ラジアルラインスロット板20には、複数のスロット21が、同心円状に複数列に配置されている。
 ラジアルラインスロット板20の上面には、マイクロ波の波長を短縮するための誘電体板25が配置されている。誘電体板25は、例えばAlなどの誘電材料からなる。誘電体板25の材料として、Alに代えて、他の誘電体材料、たとえば石英、AlN等のセラミックスを使用してもよい。誘電体板25は導電性のカバー26によって覆われている。カバー26には円環状の熱媒流路27が設けられ、この熱媒流路27を流れる熱媒によって、カバー26と誘電体窓16を所定温度に維持するようになっている。
 カバー26の中央には同軸導波管30が接続されている。同軸導波管30は、内部導体31と外部導体32とによって構成されている。内側導体31は、誘電体板25の中央を貫通して上述のラジアルラインスロット板20の上部中央に接続されている。ラジアルラインスロット板20に形成された複数のスロット21は、いずれも内側導体31を中心とする複数の円周上に配置されている。
 同軸導波管30には、マイクロ波供給装置35が矩形導波管36およびモード変換器37を介して接続されている。マイクロ波供給装置35で発生させた、たとえば2.45GHzのマイクロ波が、矩形導波管36、モード変換器37、同軸導波管30、誘電体板25、ラジアルラインスロット板20を介して、誘電体窓16に放射される。そして、マイクロ波によって誘電体窓16の下面に電界が形成され、処理容器2内にプラズマが生成される。
 ラジアルラインスロット板20に接続される内側導体31の下端40は円錐台形状に形成されている。このように内側導体31の下端40が円錐台形状に形成されていることにより、同軸導波管30から誘電体板25およびラジアルラインスロット板20に対してマイクロ波が効率よく伝播される。
 このような構成によって生成されたマイクロ波プラズマの特徴は、誘電体窓16直下(プラズマ励起領域と呼ばれる)で生成された比較的電子温度の高い数eVのプラズマが拡散し、ウェハW直上(拡散プラズマ領域)では約1~2eV程度の低い電子温度のプラズマとなることにある。すなわち、平行平板型プラズマ処理装置等で生成されるプラズマとは異なり、プラズマの電子温度の分布が誘電体窓16からの距離の関数として明確に生ずることに特徴がある。より詳細には、誘電体窓16直下からの距離の関数として、誘電体窓16直下での数eV~約10eVの電子温度が、ウェハW上では約1~2eV程度に減衰する。ウェハWの処理はプラズマの電子温度の低い領域(拡散プラズマ領域)で行なわれるため、ウェハWへリセス等の大きなダメージを与えることがない。プラズマの電子温度の高い領域(プラズマ励起領域)へ処理ガスが供給されると、処理ガスは容易に励起され、解離される。一方、プラズマの電子温度の低い領域(プラズマ拡散領域)へ処理ガスが供給されると、プラズマ励起領域近傍へ供給された場合に比べ、解離の程度は抑えられる。
 ガス供給源50から供給された処理ガスが、スプリッター51で振り分けられて、二つの供給路52、53を経て、処理容器2内に導入される。本発明の第1の実施の形態にかかるプラズマ処理装置1では、ガス供給源50は、Arガスを供給するArガス供給部50a、HBrガスを供給するHBrガス供給部50b、Oガスを供給するOガス供給部50cを備えている。これらArガス供給部50a、HBrガス供給部50bおよびOガス供給部50cから供給されたArガス、HBrガスおよびOガスの混合ガスが、処理ガスとして処理容器2内に導入される。
 処理容器2の天井面には、ウェハWの中心部に処理ガスを導入する中央導入部55が設けられている。処理容器2の内側面には、ウェハWの周辺から処理ガスを導入する周辺導入部56が設けられている。中央導入部55は、処理容器2の天井面の中央に配置されている。中央導入部55には、同軸導波管30の内部導体31を貫通する一方の供給路52が接続されている。
 中央導入部55には、処理容器2内に処理ガスを導入させるためのインジェクターブロック57が取り付けられている。インジェクターブロック57は、例えばアルミニウムなどの導電性材料からなり、インジェクターブロック57は、電気的に接地されている。インジェクターブロック57は円板形状をなし、インジェクターブロック57には、上下に貫通する複数のガス噴出孔58が設けられている。インジェクターブロック57は、例えばアルミナかイットリアでコーティングされていても良い。
 図2に示すように、インジェクターブロック57は、誘電体窓16の中央に設けられた円筒形状の空間部59に保持されている。同軸導波管30の内部導体31の下面とインジェクターブロック57の上面との間には、適当な間隔の円筒形状のガス溜め部60が形成されている。内部導体31を貫通する供給路52からガス溜め部60に供給された処理ガスが、ガス溜め部60内を広がった後、インジェクターブロック57に設けられた複数のガス噴出孔58を通じて、処理容器2内のウェハWの中央上方に導入される。
 周辺導入部56は、サセプタ3に載置されたウェハWの上方を囲むように配置された、リング形状のインジェクターリング61を備えている。インジェクターリング61は中空であり、インジェクターリング61の内部には、処理容器2の側面を貫通する供給路53を経て、処理ガスが供給される。インジェクターリング61の内側面には、複数の開口62が等間隔で複数設けられている。処理容器2の側面を貫通する供給路53からインジェクターリング61の内部に供給された処理ガスが、インジェクターリング61の内部を広がった後、インジェクターリング61の内側面に設けられた複数の開口62を通じて、処理容器2内のウェハWの周囲上方に導入される。なお、インジェクターリング61は無くても良い。例えば、処理容器2の内側面に処理ガスの供給ノズルが等間隔で設けられていても良い。
 スプリッター51と、ガス供給源50のArガス供給部50a、HBrガス供給部50bおよびOガス供給部50cは、制御部65によって制御される。制御部65の制御により、Arガス供給部50aからスプリッター51に供給されるArガスの割合と、HBrガス供給部50bからスプリッター51に供給されるHBrガスの割合と、Oガス供給部50cからスプリッター51に供給されるOガスの割合が決められ、これにより、処理容器2に導入される処理ガスの組成が決められる。制御部65の制御により、スプリッター51から二つの供給路52、53に振り分けられて中央導入部55と周辺導入部56に供給される処理ガスの流量比が決定される。これにより、中央導入部55と周辺導入部56から処理容器2に導入される処理ガスの導入量比が決定される。
 中央導入部55から誘電体窓16直下に処理ガスを導入すれば、プラズマの電子温度が高いので、エッチングガスの解離は進みやすくなる。その一方、誘電体窓16から比較的遠い位置の周辺導入部56から処理ガスを導入すると、プラズマの電子温度が低いので、処理ガスの解離を低度に抑えられる。よって、所望の処理ガスの解離状態を得ようとするとき、中央導入部55から供給するガスの量と、周辺導入部56から供給するガスの量を調節することにより容易に解離状態をコントロールすることができる。
 次に、以上のように構成された本発明の第1の実施の形態にかかるプラズマ処理装置1の作用について説明する。なお、本発明の第1の実施の形態にかかるプラズマ処理装置1については、プラズマ処理の一例として、HBrガスを含む処理ガスを使用して、ウェハWの表面のPoly-Si膜をエッチングする例を説明する。
 図1に示すように、本発明の第1の実施の形態にかかるプラズマ処理装置1において、先ずウェハWが処理容器2内に搬入され、サセプタ3上に載置される。そして、排気管11から排気が行われて処理容器2内が減圧される。更に、ガス供給源50からArガス、HBrガス、Oガスを含む処理ガスが導入される。この場合、制御部65の制御により、Arガス供給部50aからスプリッター51に供給されるArガスの割合と、HBrガス供給部50bからスプリッター51に供給されるHBrガスの割合と、Oガス供給部50cからスプリッター51に供給されるOガスの割合が決められ、処理ガスの組成が決められる。そして、スプリッター51で混合された所定の組成を有する処理ガスが処理容器2内に導入される。
 処理容器2内への処理ガスの導入は、処理容器2の天井面に設けられた中央導入部55と、処理容器2の内側面に設けられた周辺導入部56から同時に行われ、ウェハWの中心部とウェハWの周辺の両方から処理ガスが導入される。中央導入部55の処理ガスの導入量と周辺導入部56からの処理ガスの導入量の比は、ウェハWの表面全体に均一なエッチング処理がなされるように、制御部65によって決定される。制御部65は、スプリッター51を制御し、この決定した導入量比にしたがって、中央導入部55と周辺導入部56から処理容器2内へ処理ガスが導入される。
 そして、マイクロ波供給装置35の作動により、誘電体窓16の下面に電界が発生し、処理ガスがプラズマ化されて、その際に発生した活性種によって、ウェハWの表面のPoly-Si膜がエッチングされる。そして、所定時間エッチング処理が行われた後、マイクロ波供給装置35の作動と、処理容器2内への処理ガスの供給が停止され、ウェハWが処理容器2内から搬出されて、一連のプラズマエッチング処理が終了する。
 ところで、以上のようなプラズマ処理装置1において、従来は、中央導入部55からの処理ガスの導入量と周辺導入部56からの処理ガスの導入量の比を最適化することにより、ウェハWの表面のPoly-Si膜に対するエッチングの均一性向上が図られていた。従来は、スプリッター51の導入量比がプラズマ処理中一定になるように、制御部65によって制御されていた。しかしながら、中央導入部55からの処理ガスの導入量と周辺導入部56からの処理ガスの導入量の比を高精度に最適化していても、ウェハWの表面における中心部と周辺部のエッチングレートが大きく相違し、均一なエッチングを行うことが困難であった。
 ここで、中央導入部55と周辺導入部56の両方からの処理ガスを導入した場合に、ウェハWの表面における中心部と周辺部のエッチングレートの相違が生ずる要因を検討した。図3に示すように、従来は、制御部65の制御により、中央導入部55から導入される処理ガスG1の導入量Qと周辺導入部56から導入される処理ガスG2の導入量Rの比Q/Rが、プラズマ処理中一定に維持されていた。このため、中央導入部55から導入される処理ガスG1と周辺導入部56から導入される処理ガスG2が、サセプタ3上に載置されたウェハWの表面において、常に同じ位置Pでぶつかる状態となっていた。その結果、位置Pにおいて、処理ガスG1と処理ガスG2が淀んでしまうことが予測された。そして、この処理ガスG1と処理ガスG2の淀みが常に同じ位置Pで生ずることが、ウェハWの表面における中心部と周辺部のエッチングレートの相違の要因になると推察された。
 そこで、本発明者らは、制御部65の制御によって、プラズマ処理中に処理ガスの淀みを生ずる位置をウェハWの表面上で移動させることにより、ウェハWの表面における中心部と周辺部のエッチングレートの相違を低減させることを試みた。図4中において実線で示すように、先ず、中央導入部55から処理ガスG1を導入量Q1で導入し、周辺導入部56から処理ガスG2を導入量R1で導入した(即ち、制御部65によってスプリッター51の導入量比をQ1/R1に制御した)。このとき、中央導入部55から導入される処理ガスG1と周辺導入部56から導入される処理ガスG2が、サセプタ3上に載置されたウェハWの表面において、位置P1でぶつかる状態となっていた。
 次に、プラズマ処理の継続中に、図4中において一点差線で示すように、中央導入部55から処理ガスG1を導入量Q2(Q2<Q1)で導入し、周辺導入部56から処理ガスG2を導入量R2(R2>R1)で導入した(即ち、制御部65によってスプリッター51の導入量比をQ2/R2に制御した)。このとき、中央導入部55から導入される処理ガスG1と周辺導入部56から導入される処理ガスG2が、サセプタ3上に載置されたウェハWの表面において、位置P1よりもウェハWの中心に近い位置P2でぶつかる状態となっていた。
 そして、プラズマ処理の継続中に、制御部65によってスプリッター51の導入量比をQ1/R1とQ2/R2に交互に制御することにより、中央導入部55から処理ガスG1を導入量Q1で導入し、周辺導入部56から処理ガスG2を導入量R1で導入する状態(導入量比Q1/R1)と、中央導入部55から処理ガスG1を導入量Q2で導入し、周辺導入部56から処理ガスG2を導入量R2で導入する状態(導入量比Q2/R2)を交互に繰り返した。このように導入量比Q1/R1の状態と導入量比Q2/R2の状態を交互に繰り返すことにより、ウェハWの表面上で処理ガスG1と処理ガスG2のぶつかる位置を、位置P1と位置P2に交互に移動させることができた。
 本発明者らは、この実験の結果から、制御部65によってスプリッター51の導入量比をプラズマ処理中に変化させるように制御し、中央導入部55からの処理ガスG1の導入量と、周辺導入部56からの処理ガスG2の導入量の比をプラズマ処理中に変化させることにより、ウェハWの表面における中心部と周辺部のエッチングレートの相違を小さくし、均一なエッチングができるようになるという知見を得た。なお、本発明者らがこのような知見を得るに至った実験については後に説明する。
 したがって、本発明の第1の実施の形態にかかるプラズマ処理装置1によれば、制御部65によってスプリッター51の導入量比をプラズマ処理中に変化させることにより、ウェハWの表面におけるプラズマ処理の均一性が向上する。その結果、性能の良い優れた半導体デバイスを製造できるようになる。
 次に、本発明の第2の実施の形態にかかるプラズマ処理装置1’を説明する。図5に示されるように、本発明の第2の実施の形態にかかるプラズマ処理装置1’では、ガス供給源50’は、Arガスを供給するArガス供給部50’a、CFガスを供給するCFガス供給部50’b、CHFガスを供給するCHFガス供給部50’cを備えている。これらArガス供給部50’a、CFガス供給部50’bおよびCHFガス供給部50’cから供給されたArガス、CFガスおよびCHFガスの混合ガスが、処理ガスとして処理容器2内に導入される。なお、本発明の第1の実施の形態にかかるプラズマ処理装置1のガス供給源50と、本発明の第2の実施の形態にかかるプラズマ処理装置1’のガス供給源50’のガス種が異なる点を除けば、本発明の第1の実施の形態にかかるプラズマ処理装置1と、本発明の第2の実施の形態にかかるプラズマ処理装置1’の構成は実質的に同じである。そのため、ガス供給源50’の他の構成要素の説明については、本発明の第1の実施の形態にかかるプラズマ処理装置1と重複するため、省略する。
 次に、以上のように構成された本発明の第2の実施の形態にかかるプラズマ処理装置1’の作用について説明する。なお、本発明の第2の実施の形態にかかるプラズマ処理装置1については、プラズマ処理の一例として、CFガスとCHFガスを含む処理ガスを使用して、ウェハWの表面のSiN膜をエッチングする例を説明する。
 図5に示すように、本発明の第2の実施の形態にかかるプラズマ処理装置1’において、先ずウェハWが処理容器2内に搬入され、サセプタ3上に載置される。そして、排気管11から排気が行われて処理容器2内が減圧される。更に、ガス供給源50からArガス、CFガス、CHFガスを含む処理ガスが導入される。この場合、制御部65の制御により、Arガス供給部50aからスプリッター51に供給されるArガスの割合と、CFガス供給部50bからスプリッター51に供給されるCFガスの割合と、CHFガス供給部50cからスプリッター51に供給されるCHFガスの割合が決められ、処理ガス中における各原料ガス(Arガス、CFガス、CHFガス)の混合比が決められる。そして、スプリッター51で混合された処理ガスが処理容器2内に導入される。
 処理容器2内への処理ガスの導入は、処理容器2の天井面に設けられた中央導入部55と、処理容器2の内側面に設けられた周辺導入部56から同時に行われ、ウェハWの中心部とウェハWの周辺の両方から処理ガスが導入される。中央導入部55の処理ガスの導入量と周辺導入部56からの処理ガスの導入量の比は、制御部65がスプリッター51を制御することにより決定され、ウェハWの表面全体に均一なエッチング処理がなされるように、スプリッター51の導入量比が調整される。
 そして、マイクロ波供給装置35の作動により、誘電体窓16の下面に電界が発生し、処理ガスがプラズマ化されて、その際に発生した活性種によって、ウェハWの表面のSiN膜がエッチングされる。そして、所定時間エッチング処理が行われた後、マイクロ波供給装置35の作動と、処理容器2内への処理ガスの供給が停止され、ウェハWが処理容器2内から搬出されて、一連のプラズマエッチング処理が終了する。
 ところで、以上のような本発明の第2の実施の形態にかかるプラズマ処理装置1’では、最近の超微細パターンを形成するためにエッチングのCD(Critical Dimension)を正確に制御することが要求されている。一方、本発明者らの知見によれば、処理容器2内に導入されてプラズマ化される処理ガス中のCFガスとCHFガスの混合比が変わると、エッチング処理されるウェハW表面のSiN膜のCDが変わってくることが判明した。なお、本発明者らがこのような知見を得るに至った実験については後に説明する。
 そこで、本発明の第2の実施の形態にかかるプラズマ処理装置1’では、制御部65によってCFガス供給部50bからスプリッター51に供給されるCFガスの供給量と、CHFガス供給部50cからスプリッター51に供給されるCHFガスの供給量を調整し、処理ガス中のCFガスとCHFガスの混合比を変えることにより、ウェハW表面のSiN膜のCDを制御する。その結果、ウェハW表面のSiN膜のCDを容易に制御できるようになる。その結果、マスク開口部、スペーサ、ゲートなど、厳しいCDコントロールが必要となるエッチングプロセスを容易に実施できるようになる。
 また、本発明の第2の実施の形態にかかるプラズマ処理装置1’においても、制御部65によってスプリッター51の導入量比をプラズマ処理中に変化させるように制御し、中央導入部55からの処理ガスG1の導入量と、周辺導入部56からの処理ガスG2の導入量の比をプラズマ処理中に変化させることにより、ウェハWの表面における中心部と周辺部のエッチングレートの相違を小さくし、均一なエッチングができるようになる。その結果、性能の良い優れた半導体デバイスを製造できるようになる。
 以上、本発明の好ましい実施の形態の一例を説明したが、本発明はここに例示した形態に限定されない。当業者であれば、特許請求の範囲に記載された思想の範疇内において、各種の変更例または修正例に相到し得ることは明らかであり、それらについても当然に本発明の技術的範囲に属するものと了解される。
 以上の実施の形態では、本発明をエッチング処理を行うプラズマ処理装置1、1’に適用したが、本発明は、エッチング処理以外の基板処理、例えば成膜処理を行うプラズマ処理装置にも適用できる。
 以上の実施の形態では、HBrガスを含む処理ガスを使用して、ウェハWの表面のPoly-Si膜をエッチングする例と、CFガスとCHFガスを原料ガスとする処理ガスを用いて、ウェハWの表面のSiN膜をエッチングする例を説明したが、本発明は、HBrガス、CFガス、CHFガスの他の原料ガスを含む処理ガスを用いたエッチングプロセスにも適用できる。また、エッチングの対象もPoly-Si膜、SiN膜に限定されない。また、本発明は、RLSA型のプラズマエッチング処理装置に限られず、他のECR型のプラズマエッチング処理装置等にも適用できる。また、本発明のプラズマ処理装置で処理される基板は、半導体ウェハ、有機EL基板、FPD(フラットパネルディスプレイ)用の基板等のいずれでもよい。
 スプリッター51の導入量比に対する、ウェハWの表面における中心部と周辺部のエッチングレートの相違を考察した。なお、ウェハWとして直径300mmのSiウェハを用い、表面に形成されたPoly-Si膜をエッチングした。
(比較例1~3)
 表1~3は、比較例1~3の処理条件をそれぞれ示している。比較例1~3では、プラズマ処理中スプリッター51の導入量比を一定に維持して、Poly-Si膜を除去するエッチング工程Polyを30秒間行った。エッチング工程Poly中、中央導入部55からの処理ガスG1の導入量と周辺導入部56からの処理ガスG2の導入量の比を、比較例1では25/75に維持し、比較例2では32/68に維持し、比較例3では40/60に維持した。なお、エッチング処理の開始時に7秒間、ウェハWの表面に形成された酸化膜を除去するブレークスルー工程BTを行い、その後、エッチング工程Polyを行った。
Figure JPOXMLDOC01-appb-T000001
 
Figure JPOXMLDOC01-appb-T000002
 
Figure JPOXMLDOC01-appb-T000003
 
(実施例1)
 表4は、実施例1の処理条件を示している。実施例1では、エッチング処理の開始時に7秒間、ウェハWの表面に形成された酸化膜を除去するブレークスルー工程BTを行った後、中央導入部55からの処理ガスG1の導入量と周辺導入部56からの処理ガスG2の導入量の比を3秒間25/75にしてPoly-Si膜を除去するエッチング工程Poly1と、中央導入部55からの処理ガスG1の導入量と周辺導入部56からの処理ガスG2の導入量の比を3秒間40/60にしてPoly-Si膜を除去するエッチング工程Poly2を交互に5回ずつ繰り返した。
 
 これら比較例1~3、実施例1の結果を図6~9に示す。図6~9において、横軸はウェハWの表面の位置(0は中央)を示し、縦軸はエッチングレートERを示す。
(比較例1)
 図6に示すように、比較例1は、ウェハWの周辺部でエッチングレートERが大きく、ウェハWの中心部でエッチングレートERが小さくなった。エッチングレートERの均一性(エッチングレートERの平均値±エッチングレートERの変動幅)は、121.0nm/min±43.7%であった。
(比較例2)
 図7に示すように、比較例2は、ウェハWの中心部でエッチングレートERが大きく、ウェハWの中心部と周辺部の間でエッチングレートERが最も小さくなった。エッチングレートERの均一性(エッチングレートERの平均値±エッチングレートERの変動幅)は、164.5nm/min±25.0%であった。
(比較例3)
 図8に示すように、比較例3は、ウェハWの中心部でエッチングレートERが大きく、ウェハWの周辺部でエッチングレートERが小さくなった。エッチングレートERの均一性(エッチングレートERの平均値±エッチングレートERの変動幅)は、198.2nm/min±22.6%であった。
(実施例1)
 図9に示すように、実施例1は、ウェハWの周辺部でエッチングレートERが僅かに大きくなったが、ウェハWの中心部から周辺部の間でエッチングレートERがほぼ均一になった。エッチングレートERの均一性(エッチングレートERの平均値±エッチングレートERの変動幅)は、148.5nm/min±18.1%であった。比較例1~3に比べて、実施例1はエッチングレートERの変動幅が最も小さくなった。
 CFガスとCHFガスを原料ガスとする処理ガスを用いて、ウェハの表面のSiN膜をエッチング処理するに際し、CFガスとCHFガスの混合比(CFガス/CHF)とCDの関係を調べた。図10に、ウェハ表面のSiN膜のエッチング形状を示す。CFガスとCHFガスの混合比(CFガス/CHF)とCDの関係は、次の表5の結果となった。
Figure JPOXMLDOC01-appb-T000005
 
 この実施例では、CFガスとCHFガスの混合比(CFガス/CHF)が大きくなると、CDが小さくなる傾向が見られた。この実施例1の結果から、処理ガス中のCFガスとCFガスの混合比を変えることにより、SiN膜をエッチングする際のCDを制御できることが分かる。
 次に、ウェハの中心部に導入される処理ガス(CFガスとCHFガスを原料ガスとする処理ガス)の導入量とウェハの周辺部に導入される処理ガスの導入量の比の影響を調べた。なお、ウェハの中心部に導入される処理ガスとウェハの周辺部に導入される処理ガスの混合比(CFガス/CHF)は同じとした。図11に示すように、ウェハの中心部の処理ガス導入量を少なくし、ウェハの周辺部の処理ガス導入量を多くした場合、ウェハの中心部では、ウェハ表面のSiN膜のエッチング形状は、側面が底部側ほど広くなるテーパ形状となり(a)、ウェハの周辺部では、ウェハ表面のSiN膜は側面がほぼ垂直にエッチングされた(b)。一方、図12に示すように、ウェハの中心部の処理ガス導入量を多くし、ウェハの周辺部の処理ガス導入量を少なくした場合、ウェハの中心部では、ウェハ表面のSiN膜は側面がほぼ垂直にエッチングされ(a)、ウェハの周辺部では、ウェハ表面のSiN膜のエッチング形状は、側面が底部側ほど広くなるテーパ形状となった(b)。
 これら、実施例2、3の結果から、処理ガス中のCFガスとCFガスの混合比と、ウェハの中心部に導入される処理ガス導入量とウェハの周辺部に導入される処理ガス導入量の比を変えることにより、SiN膜をエッチングする際のCDを制御でき、更に、SiN膜のエッチング形状を制御できることが分かる。
 本発明は、例えば半導体製造分野に有用である。

Claims (13)

  1.  処理容器に導入された処理ガスをプラズマ化させて基板を処理するプラズマ処理装置であって、
     前記処理容器に収納された基板の中心部に処理ガスを導入する中央導入部と、
     前記処理容器に収納された基板の周辺部に処理ガスを導入する周辺導入部と、
     前記中央導入部と前記周辺導入部に供給する処理ガスの流量比を可変に調節するスプリッターと、
     前記スプリッターを制御する制御部を備え、
     前記制御部は、プラズマ処理中に、前記中央導入部からの処理ガスの導入量と前記周辺導入部からの処理ガスの導入量の比を変化させるように、前記スプリッターを制御する、プラズマ処理装置。
  2.  前記制御部は、プラズマ処理中に、前記中央導入部からの処理ガスの導入量と前記周辺導入部からの処理ガスの導入量の比を、第1の導入量比と、前記第1の導入量比とは異なる第2の導入量比とに交互に切り替えるように、前記スプリッターを制御する、請求項1に記載のプラズマ処理装置。
  3.  前記中央導入部は、前記処理容器の天井面に設けられ、
     前記周辺導入部は、前記処理容器の内側面に設けられる、請求項1に記載のプラズマ処理装置。
  4.  前記処理ガスは、HBrを含む、請求項1のいずれかに記載のプラズマ処理装置。
  5.  処理容器に導入された処理ガスをプラズマ化させて基板を処理するプラズマ処理方法であって、
     前記処理容器に収納された基板の中心部に導入される処理ガスの導入量と、前記処理容器に収納された基板の周辺部に導入される処理ガスの導入量の比が、プラズマ処理中に変化させられる、プラズマ処理方法。
  6.  前記処理容器に収納された基板の中心部に導入される処理ガスの導入量と、前記処理容器に収納された基板の周辺部に導入される処理ガスの導入量の比が、第1の導入量と、前記第1の導入量比とは異なる第2の導入量比とに交互に切り替えられる、請求項5に記載のプラズマ処理方法。
  7.  前記処理ガスは、HBrを含む、請求項5に記載のプラズマ処理方法。
  8.  複数の原料ガスが混合された処理ガスが処理容器に導入され、処理容器内で処理ガスがプラズマ化されて基板がエッチング処理されるプラズマエッチング処理装置であって、
     種類の異なる原料ガスを供給する複数の原料ガス供給部と、各原料ガス供給部による原料ガスの供給量を制御する制御部を備える、プラズマエッチング処理装置。
  9.  前記処理容器に収納された基板の中心部に処理ガスを導入する中央導入部と、前記処理容器に収納された基板の周辺部に処理ガスを導入する周辺導入部と、前記中央導入部と前記周辺導入部に供給する処理ガスの流量比を可変に調節するスプリッターを備え、
     前記制御部は、プラズマエッチング処理中に、前記中央導入部からの処理ガスの導入量と前記周辺導入部からの処理ガスの導入量の比を変化させるように、前記スプリッターを制御する、請求項8に記載のプラズマエッチング処理装置。
  10.  前記複数の原料ガス供給部は、CFガスを供給するCFガス供給部と、CHFガスを供給するCHFガス供給部を含み、
     前記制御部は、前記CFガス供給部によるCFの供給量と前記CHFガス供給部によるCHFガスの供給量を制御する、請求項8に記載のプラズマエッチング処理装置。
  11.  複数の原料ガスが混合された処理ガスが処理容器に導入され、処理容器内で処理ガスがプラズマ化されて基板がエッチング処理されるプラズマエッチング処理方法であって、
     種類の異なる原料ガスの混合比を変えることにより、CDが制御される、プラズマエッチング処理方法。
  12.  前記処理容器に収納された基板の中心部に導入される処理ガスの導入量と、前記処理容器に収納された基板の周辺部に導入される処理ガスの導入量の比が、プラズマエッチング処理中に変化させられる、請求項11に記載のプラズマエッチング処理方法。
  13.  前記複数の原料ガスは、CFガスとCHFガスを含み、
     前記CFガスの供給量と前記CHFガスの供給量が制御される、請求項11に記載のプラズマエッチング処理方法。
PCT/JP2010/063543 2009-08-20 2010-08-10 プラズマ処理装置とプラズマ処理方法 WO2011021539A1 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US13/391,196 US8771537B2 (en) 2009-08-20 2010-08-10 Plasma treatment device and plasma treatment method
KR1020127004868A KR101386552B1 (ko) 2009-08-20 2010-08-10 플라즈마 처리 장치 및 방법과 플라즈마 에칭 처리 장치 및 방법
CN201080036920.9A CN102473634B (zh) 2009-08-20 2010-08-10 等离子体处理装置和等离子体处理方法
US14/287,537 US10224220B2 (en) 2009-08-20 2014-05-27 Plasma processing apparatus and plasma etching apparatus

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2009-191355 2009-08-20
JP2009-191354 2009-08-20
JP2009191355A JP5410882B2 (ja) 2009-08-20 2009-08-20 プラズマエッチング処理装置とプラズマエッチング処理方法
JP2009191354A JP5410881B2 (ja) 2009-08-20 2009-08-20 プラズマ処理装置とプラズマ処理方法

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US13/391,196 A-371-Of-International US8771537B2 (en) 2009-08-20 2010-08-10 Plasma treatment device and plasma treatment method
US14/287,537 Division US10224220B2 (en) 2009-08-20 2014-05-27 Plasma processing apparatus and plasma etching apparatus

Publications (1)

Publication Number Publication Date
WO2011021539A1 true WO2011021539A1 (ja) 2011-02-24

Family

ID=43606994

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2010/063543 WO2011021539A1 (ja) 2009-08-20 2010-08-10 プラズマ処理装置とプラズマ処理方法

Country Status (5)

Country Link
US (2) US8771537B2 (ja)
KR (1) KR101386552B1 (ja)
CN (1) CN102473634B (ja)
TW (1) TWI414017B (ja)
WO (1) WO2011021539A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102867725A (zh) * 2011-07-06 2013-01-09 东京毅力科创株式会社 天线、电介质窗、等离子体处理装置和等离子体处理方法
JP2013020973A (ja) * 2012-08-20 2013-01-31 Tokyo Electron Ltd プラズマ処理装置
CN106304597A (zh) * 2013-03-12 2017-01-04 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件

Families Citing this family (249)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8771537B2 (en) * 2009-08-20 2014-07-08 Tokyo Electron Limited Plasma treatment device and plasma treatment method
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP2013243218A (ja) * 2012-05-18 2013-12-05 Tokyo Electron Ltd プラズマ処理装置、及びプラズマ処理方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101954999B1 (ko) * 2013-01-14 2019-03-06 캘리포니아 인스티튜트 오브 테크놀로지 그라펜을 형성시키는 방법 및 시스템
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2015201567A (ja) * 2014-04-09 2015-11-12 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN104465325A (zh) * 2014-11-28 2015-03-25 上海华力微电子有限公司 一种改善有源区关键尺寸均匀性的方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US20170211185A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
DE102018120580A1 (de) * 2018-08-23 2020-02-27 Infineon Technologies Ag Vorrichtung und verfahren zum abscheiden einer schicht bei atmosphärendruck
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006041088A (ja) * 2004-07-26 2006-02-09 Hitachi High-Technologies Corp プラズマ処理装置
JP2006066855A (ja) * 2004-07-30 2006-03-09 Tokyo Electron Ltd プラズマエッチング装置
JP2007300102A (ja) * 2006-04-28 2007-11-15 Applied Materials Inc 異なるエッチング及び重合体堆積速度の重合エッチングガスを異なる半径方向ガス噴射区域において時間変調で用いるプラズマエッチング処理
JP2008085165A (ja) * 2006-09-28 2008-04-10 Tokyo Electron Ltd エッチング方法及び半導体デバイスの製造方法
JP2008166853A (ja) * 2008-03-28 2008-07-17 Hitachi Ltd プラズマエッチング装置

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2666768B2 (ja) * 1995-04-27 1997-10-22 日本電気株式会社 ドライエッチング方法及び装置
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US20030155079A1 (en) 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP4209688B2 (ja) * 2001-05-24 2009-01-14 セレリティ・インコーポレーテッド 決定された比率のプロセス流体を供給する方法および装置
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
JP3856730B2 (ja) * 2002-06-03 2006-12-13 東京エレクトロン株式会社 流量制御装置を備えたガス供給設備からのチャンバーへのガス分流供給方法。
US20040087153A1 (en) * 2002-10-31 2004-05-06 Yan Du Method of etching a silicon-containing dielectric material
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US20040168719A1 (en) * 2003-02-28 2004-09-02 Masahiro Nambu System for dividing gas flow
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US7072743B2 (en) * 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
US20070066038A1 (en) * 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US20060042754A1 (en) 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
JP4467615B2 (ja) * 2004-08-31 2010-05-26 本田技研工業株式会社 窒化物半導体結晶の成長方法、成長装置、および、プログラム
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
US7993489B2 (en) * 2005-03-31 2011-08-09 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
US7673645B2 (en) * 2005-04-21 2010-03-09 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using a multiple antisymmetric optimal control arrangement
US7621290B2 (en) * 2005-04-21 2009-11-24 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using antisymmetric optimal control
JP4673173B2 (ja) * 2005-09-15 2011-04-20 株式会社日立ハイテクノロジーズ プラズマエッチング方法
JP5082229B2 (ja) 2005-11-29 2012-11-28 東京エレクトロン株式会社 プラズマ処理装置
US20070151668A1 (en) * 2006-01-04 2007-07-05 Tokyo Electron Limited Gas supply system, substrate processing apparatus, and gas supply method
US20070241454A1 (en) * 2006-04-13 2007-10-18 Jun-Ming Chen Capture ring
US20070249173A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch process using etch uniformity control by using compositionally independent gas feed
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US9405298B2 (en) * 2006-11-20 2016-08-02 Applied Materials, Inc. System and method to divide fluid flow in a predetermined ratio
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
JP5438260B2 (ja) 2007-03-29 2014-03-12 東京エレクトロン株式会社 プラズマ処理装置
US20100101728A1 (en) * 2007-03-29 2010-04-29 Tokyo Electron Limited Plasma process apparatus
KR100872312B1 (ko) * 2007-05-04 2008-12-05 주식회사 디엠에스 에칭가스 제어시스템
US8202393B2 (en) 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
JP5459895B2 (ja) * 2007-10-15 2014-04-02 Ckd株式会社 ガス分流供給ユニット
JP5374853B2 (ja) 2007-10-17 2013-12-25 東京エレクトロン株式会社 プラズマ処理装置
JP5192214B2 (ja) 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
US20090221149A1 (en) * 2008-02-28 2009-09-03 Hammond Iv Edward P Multiple port gas injection system utilized in a semiconductor processing system
CN102446739B (zh) * 2008-03-21 2016-01-20 应用材料公司 基材蚀刻系统与制程的方法及设备
US20100084023A1 (en) * 2008-10-07 2010-04-08 Chris Melcer Flow control module for a fluid delivery system
US8771537B2 (en) * 2009-08-20 2014-07-08 Tokyo Electron Limited Plasma treatment device and plasma treatment method
JP2011192664A (ja) * 2010-03-11 2011-09-29 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置
US20110265883A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006041088A (ja) * 2004-07-26 2006-02-09 Hitachi High-Technologies Corp プラズマ処理装置
JP2006066855A (ja) * 2004-07-30 2006-03-09 Tokyo Electron Ltd プラズマエッチング装置
JP2007300102A (ja) * 2006-04-28 2007-11-15 Applied Materials Inc 異なるエッチング及び重合体堆積速度の重合エッチングガスを異なる半径方向ガス噴射区域において時間変調で用いるプラズマエッチング処理
JP2008085165A (ja) * 2006-09-28 2008-04-10 Tokyo Electron Ltd エッチング方法及び半導体デバイスの製造方法
JP2008166853A (ja) * 2008-03-28 2008-07-17 Hitachi Ltd プラズマエッチング装置

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102867725A (zh) * 2011-07-06 2013-01-09 东京毅力科创株式会社 天线、电介质窗、等离子体处理装置和等离子体处理方法
US20130008607A1 (en) * 2011-07-06 2013-01-10 Tokyo Electron Limited Antenna, dielectric window, plasma processing apparatus and plasma processing method
TWI463522B (zh) * 2011-07-06 2014-12-01 Tokyo Electron Ltd An antenna, a dielectric window, a plasma processing device, and a plasma processing method
US9595425B2 (en) 2011-07-06 2017-03-14 Tokyo Electron Limited Antenna, dielectric window, plasma processing apparatus and plasma processing method
JP2013020973A (ja) * 2012-08-20 2013-01-31 Tokyo Electron Ltd プラズマ処理装置
CN106304597A (zh) * 2013-03-12 2017-01-04 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
CN107424901A (zh) * 2013-03-12 2017-12-01 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
CN107424901B (zh) * 2013-03-12 2019-06-11 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
US10410841B2 (en) 2013-03-12 2019-09-10 Applied Materials, Inc. Side gas injection kit for multi-zone gas injection assembly
US11139150B2 (en) 2013-03-12 2021-10-05 Applied Materials, Inc. Nozzle for multi-zone gas injection assembly

Also Published As

Publication number Publication date
US20140262025A1 (en) 2014-09-18
US20120190208A1 (en) 2012-07-26
CN102473634B (zh) 2015-02-18
TW201137966A (en) 2011-11-01
KR20120037502A (ko) 2012-04-19
US10224220B2 (en) 2019-03-05
US8771537B2 (en) 2014-07-08
CN102473634A (zh) 2012-05-23
TWI414017B (zh) 2013-11-01
KR101386552B1 (ko) 2014-04-17

Similar Documents

Publication Publication Date Title
WO2011021539A1 (ja) プラズマ処理装置とプラズマ処理方法
KR101772723B1 (ko) 플라즈마 처리 방법
US9595425B2 (en) Antenna, dielectric window, plasma processing apparatus and plasma processing method
US9252001B2 (en) Plasma processing apparatus, plasma processing method and storage medium
US9263298B2 (en) Plasma etching apparatus and plasma etching method
KR100978966B1 (ko) 기판 처리 방법 및 기판 처리 장치
KR100960424B1 (ko) 마이크로파 플라즈마 처리 장치
US8261691B2 (en) Plasma processing apparatus
KR20070061792A (ko) 플라즈마 처리 방법
US9960014B2 (en) Plasma etching method
US10923328B2 (en) Plasma processing method and plasma processing apparatus
KR102523730B1 (ko) 이중 주파수 표면파 플라즈마 소스
JP5410882B2 (ja) プラズマエッチング処理装置とプラズマエッチング処理方法
JP2008235611A (ja) プラズマ処理装置及びプラズマ処理方法
JP2006040638A (ja) プラズマ処理装置
JP5479013B2 (ja) プラズマ処理装置及びこれに用いる遅波板
US20150096882A1 (en) Plasma processing apparatus and plasma processing method
JP5410881B2 (ja) プラズマ処理装置とプラズマ処理方法

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 201080036920.9

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 10809884

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20127004868

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 13391196

Country of ref document: US

122 Ep: pct application non-entry in european phase

Ref document number: 10809884

Country of ref document: EP

Kind code of ref document: A1