JP2008085165A - エッチング方法及び半導体デバイスの製造方法 - Google Patents

エッチング方法及び半導体デバイスの製造方法 Download PDF

Info

Publication number
JP2008085165A
JP2008085165A JP2006265148A JP2006265148A JP2008085165A JP 2008085165 A JP2008085165 A JP 2008085165A JP 2006265148 A JP2006265148 A JP 2006265148A JP 2006265148 A JP2006265148 A JP 2006265148A JP 2008085165 A JP2008085165 A JP 2008085165A
Authority
JP
Japan
Prior art keywords
gas
etching
polysilicon film
film
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006265148A
Other languages
English (en)
Other versions
JP4801553B2 (ja
Inventor
Etsuo Iijima
悦夫 飯嶋
Katsumi Horiguchi
克己 堀口
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2006265148A priority Critical patent/JP4801553B2/ja
Priority to CN2007101466418A priority patent/CN101154582B/zh
Priority to EP07017735.7A priority patent/EP1906439B1/en
Priority to US11/861,469 priority patent/US20080261406A1/en
Priority to KR1020070097476A priority patent/KR100931427B1/ko
Priority to TW096136039A priority patent/TWI463563B/zh
Publication of JP2008085165A publication Critical patent/JP2008085165A/ja
Application granted granted Critical
Publication of JP4801553B2 publication Critical patent/JP4801553B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】シリコン酸化膜に対するポリシリコン膜の選択比を大きくすることができ、且つシリコン基材におけるリセスの発生を抑制することができるエッチング方法を提供する。
【解決手段】ラジアルラインスロットアンテナ19を備える基板処理装置10の処理容器11内にウエハWを搬入し、ウエハWにおいて開口部40によって露出されているポリシリコン膜37の部分をゲート酸化膜36上に僅かに残る程度までエッチングし、処理空間S1,S2の圧力を66.7Paに設定し、処理空間S2へHBrガス及びHeガスを供給し、ラジアルラインスロットアンテナ19には2.45GHzのマイクロ波を供給してHBrガスから発生したプラズマによってポリシリコン膜37をエッチングして完全に除去し、露出したゲート酸化膜36をエッチングし、レジスト膜39及び反射防止膜38をエッチングする。
【選択図】図5

Description

本発明は、エッチング方法及び半導体デバイスの製造方法に関し、特に、ゲート酸化膜上に形成されたポリシリコン層をエッチングするエッチング方法に関する。
半導体デバイスのポリシリコン(多結晶シリコン)単層のゲートを形成する場合、シリコン基材100上に酸化珪素からなるゲート酸化膜101、ポリシリコン膜102、反射防止膜(BARC膜)103及びレジスト膜104が順に形成されたウエハ(図8(A))参照。)を加工する。このウエハでは、反射防止膜103及びレジスト膜104が所定のパターンに従って形成され、ポリシリコン膜102を露出させる開口部105を所定の位置に有する。
ウエハの加工工程は基板処理室としての或るチャンバにおいて実行されるメインエッチングステップ及びオーバーエッチングステップ、並びに基板処理室としての別のチャンバにおいて実行される酸化膜エッチングステップ及びアッシングステップからなる。或るチャンバにおいて実行されるメインエッチングステップではポリシリコン膜102を該ポリシリコン膜102がゲート酸化膜101上に僅かに残る程度までエッチングする(図8(B))。また、同チャンバにおいて実行されるオーバーエッチングステップでは残されたポリシリコン膜102をエッチングして完全に除去し、ゲート酸化膜101を露出させる(図8(C))。そして、ウエハが別のチャンバに移された後、該別のチャンバで実行される酸化膜エッチングステップではゲート酸化膜101をエッチングして除去し、シリコン基材100を露出させる(図8(D))。また、同チャンバにおいて実行されるアッシングステップではレジスト膜104や反射防止膜103がエッチングされて除去される(図8(E))。なお、露出したシリコン基材100には後にイオンがドープされる。
通常、ポリシリコン膜102のエッチングでは塩素系ガス及び弗素系ガスを含まない臭化水素(HBr)系の処理ガスから発生したプラズマが用いられる(例えば、特許文献1参照。)。
ところで、処理ガスに酸素ガスが混入されるとエッチングにおいてゲート酸化膜101に対するポリシリコン膜102の選択比を大きくすることができ、ゲート酸化膜101のエッチングを抑制することができる。したがって、通常、オーバーエッチングステップではゲート酸化膜101をエッチングすることがないように処理ガスに酸素ガスを混入させる。
特開平10−172959号公報
しかしながら、ゲート酸化膜101は厚さが薄いため、或るチャンバにおいて実行されるオーバーエッチングステップにおいて、酸素ガスから発生した酸素プラズマがゲート酸化膜101を透過してシリコン基材100に到達することがある(図8(C)))。該シリコン基材100に到達した酸素プラズマはシリコン基材100の一部107を酸化珪素に変質させる。そして、別のチャンバにおいて実行される酸化膜エッチングステップにおいて、HF系ガスから発生したプラズマがゲート酸化膜101だけでなく変質したシリコン基材100の一部107も除去する。その結果、ゲートの両脇にはシリコン基材100の表面から窪んだリセス106が発生する(図8(D))。
リセス106が発生すると露出したシリコン基材100へのイオンドープの際、イオンが所望の範囲にドープされず、その結果、半導体デバイスにおいて所望の性能を得ることができなくなる。
本発明の目的は、シリコン酸化膜に対するポリシリコン膜の選択比を大きくすることができ、且つシリコン基材におけるリセスの発生を抑制することができるエッチング方法及び半導体デバイスの製造方法を提供することにある。
上記目的を達成するために、請求項1記載のエッチング方法は、シリコン基材上に少なくともシリコン酸化膜、ポリシリコン膜及び開口部を有するマスク膜が順に形成された基板のエッチング方法であって、前記開口部に対応する前記ポリシリコン膜を該ポリシリコン膜の一部を残すようにエッチングする第1のエッチングステップと、前記残されたポリシリコン膜を、酸素ガスを含まない処理ガスから発生したプラズマを用いてエッチングする第2のエッチングステップとを有し、前記第2のエッチングステップでは、圧力が33.3Pa〜93.3Paの雰囲気下で前記残されたポリシリコン膜をエッチングすることを特徴とする。
請求項2記載のエッチング方法は、請求項1記載のエッチング方法において、前記第2のエッチングステップでは、圧力が40.0Pa〜80.0Paの雰囲気下で前記残されたポリシリコン膜をエッチングすることを特徴とする。
請求項3記載のエッチング方法は、請求項1又は2記載のエッチング方法において、前記酸素ガスを含まない処理ガスは、臭化水素ガス及び不活性ガスの混合ガスであることを特徴とする。
請求項4記載のエッチング方法は、請求項1乃至3のいずれか1項に記載のエッチング方法において、前記第1のエッチングステップでは、臭化水素ガス、フルオロカーボンガス又は塩素ガスから発生したプラズマを用いて前記ポリシリコン膜をエッチングすることを特徴とする。
請求項5記載のエッチング方法は、請求項1乃至4のいずれか1項に記載のエッチング方法において、前記シリコン酸化膜をエッチングする第3のエッチングステップを有することを特徴とする。
上記目的を達成するために、請求項6記載の半導体デバイスの製造方法は、シリコン基材上に少なくともシリコン酸化膜、ポリシリコン膜及び開口部を有するマスク膜が順に形成された基板から半導体デバイスを製造する半導体デバイスの製造方法であって、前記開口部に対応する前記ポリシリコン膜を該ポリシリコン膜の一部を残すようにエッチングする第1のエッチングステップと、前記残されたポリシリコン膜を、酸素ガスを含まない処理ガスから発生したプラズマを用いてエッチングする第2のエッチングステップとを有し、前記第2のエッチングステップでは、圧力が33.3Pa〜93.3Paの雰囲気下で前記残されたポリシリコン膜をエッチングすることを特徴とする。
請求項1記載のエッチング方法及び請求項6記載の半導体デバイスの製造方法によれば、マスク膜の開口部に対応するポリシリコン膜が該ポリシリコン膜の一部を残すようにエッチングされ、該残されたポリシリコン膜が、圧力が33.3Pa〜93.3Paの雰囲気下で、酸素ガスを含まない処理ガスから発生したプラズマを用いてエッチングされる。圧力が33.3Pa以上であるとプラズマのスパッタ力が低下するため、ポリシリコン膜のエッチレートに比べて酸化膜のエッチレートが大幅に低下する。したがって、シリコン酸化膜に対するポリシリコン膜の選択比を大きくすることができる。また、酸素ガスを用いないのでシリコン酸化膜下のシリコン基材が酸化することがない。その結果、リセスの発生を抑制することができる。
請求項2記載のエッチング方法によれば、圧力が40.0Pa〜80.0Paの雰囲気下で残されたポリシリコン膜がエッチングされる。圧力が40.0Pa以上であるとプラズマのスパッタ力が極端に弱くなり、シリコン酸化膜に対するポリシリコン膜の選択比を確実に大きくすることができる。その結果、シリコン酸化膜の割れ等の発生を防止することができる。
請求項3記載のエッチング方法によれば、酸素ガスを含まない処理ガスは、臭化水素ガス及び不活性ガスの混合ガスである。臭化水素ガスから発生したプラズマはポリシリコン膜を効率良くエッチングできる。したがって、スループットを向上することができる。
請求項4記載のエッチング方法によれば、第1のエッチングステップでは、臭化水素ガス、フルオロカーボンガス又は塩素ガスから発生したプラズマを用いてポリシリコン膜がエッチングされる。臭化水素ガス、フルオロカーボンガス又は塩素ガスから発生したプラズマはポリシリコン膜を効率良くエッチングできる。したがって、スループットをより向上することができる。
請求項5記載のエッチング方法によれば、シリコン酸化膜がエッチングされるので、イオンをドープさせるシリコン基材を確実に露出させることができる。
以下、本発明の実施の形態について図面を参照しながら説明する。
まず、本発明の実施の形態に係るエッチング方法を実行する基板処理装置について説明する。
図1は、本実施の形態に係るエッチング方法を実行する基板処理装置の概略構成を示す断面図である。
図1において、基板処理装置10は、略円筒形の処理容器11と、該処理容器11内に設けられ、後述するウエハWを載置する略円柱状の載置台としてのサセプタ12とを備える。サセプタ12は静電チェック(図示しない)を有する。静電チャックはウエハWをクーロン力又はジョンソン・ラーベック(Johnsen-Rahbek)力によって吸着保持する。
処理容器11は、例えば、アルミニウムを含有するオーステナイトステンレス鋼からなり、その内壁面はアルマイトやイットリア(Y)の絶縁膜(図示しない)によって覆われている。また、処理容器11の上部には、サセプタ12に吸着保持されたウエハWに対向するように誘電体板、例えば石英板からなるマイクロ波透過窓13がリング部材14を介して取り付けられている。該マイクロ波透過窓13は円板状を呈し、後述するマイクロ波を透過させる。
マイクロ波透過窓13の外縁部には段差部が形成され、リング部材14の内周部にはマイクロ波透過窓13の段差部に対応する段差部が形成される。マイクロ波透過窓13及びリング部材14は互いの段差部を係合させることによって接合される。マイクロ波透過窓13の段差部及びリング部材14の段差部の間にはOリングであるシールリング15が配設され、該シールリング15はマイクロ波透過窓13及びリング部材14からのガスの漏れを防止して処理容器11内の気密を保持する。
マイクロ波透過窓13の上にはラジアルラインスロットアンテナ(Radial Line Slot Antenna)19が配置されている。該ラジアルラインスロットアンテナ19は、マイクロ波透過窓13に密接する円板状のスロット板20と、該スロット板20を保持し且つ覆う円板状のアンテナ誘電体板21と、スロット板20及びアンテナ誘電体板21の間に狭持された遅波板22とを備える。該遅波板22はAl、SiO及びSiの低損失誘電体材料からなる。
ラジアルラインスロットアンテナ19はリング部材14を介して処理容器11に装着されている。ラジアルラインスロットアンテナ19及びリング部材14の間はOリングであるシールリング23によって密封されている。また、ラジアルラインスロットアンテナ19には同軸導波管24が接続されている。同軸導波管24は管体24a及び該管体24aと同軸に配置されている棒状の中心導体24bからなる。管体24aはアンテナ誘電体板21に接続され、中心導体24bはアンテナ誘電体板21に形成された開口部を介してスロット板20に接続されている。
また、同軸導波管24は外部のマイクロ波源(図示しない)に接続され、周波数が2.45GHz又は8.3GHzのマイクロ波をラジアルラインスロットアンテナ19に供給する。供給されたマイクロ波はアンテナ誘電体板21及びスロット板20の間を径方向に進行する。遅波板22は進行するマイクロ波の波長を圧縮する。
図2は、図1におけるスロット板の平面図である。
図2において、スロット板20は複数のスロット25a及びスロット25aの数と同数のスロット25bを有する。複数のスロット25aは複数の同心円状に配列され、複数のスロット25bは各スロット25aに各スロット25bが対応し且つ直交するように配置されている。スロット25a及び対応するスロット25bからなる一対のスロット組において、スロット25a及びスロット25bのスロット板20の半径方向における配置間隔は遅波板22によって圧縮されたマイクロ波の波長に対応する。これにより、該マイクロ波はスロット板20から略平面波として放射される。また、スロット25a及びスロット25bは互いに直交するように配置されているため、スロット板20から放射されるマイクロ波は2つの直交する偏波成分を含む円偏波を呈する。
図1に戻り、基板処理装置10はアンテナ誘電体板21の上に冷却ブロック体26を備える。該冷却ブロック体26は複数の冷却水通路27を有する。冷却ブロック体26は冷却水通路27を循環する冷媒の熱交換により、マイクロ波によって加熱されるマイクロ波透過窓13に蓄積される熱をラジアルラインスロットアンテナ19を介して除去する。
さらに、基板処理装置10は、処理容器11内においてマイクロ波透過窓13及びサセプタ12の間に配置されている処理ガス供給部28を備える。該処理ガス供給部28は、例えば、マグネシウム含有アルミニウム合金やアルミニウム添加ステンレススチール等の導体からなり、サセプタ12上のウエハWに対向するように配置されている。
また、処理ガス供給部28は、図3に示すように、同心円状に配置された互いに直径が異なる複数の円形パイプ部28aと、各円形パイプ部28a同士を接続する複数の接続パイプ部28bと、最外周の円形パイプ部28a及び処理容器11の側壁を接続して円形パイプ部28a及び接続パイプ部28bを支持する支持パイプ部28cとを備える。
円形パイプ部28a、接続パイプ部28b及び支持パイプ部28cは断面が管状を呈し、これら内部には処理ガス拡散通路29が形成されている。該処理ガス拡散通路29は、各円形パイプ部28aの下面に設けられた複数のガス穴30により、処理ガス供給部28及びサセプタ12の間の処理空間S2と連通する。また、処理ガス拡散通路29は処理ガス導入管31を介して他の外部処理ガス供給装置(図示しない)に接続されている。処理ガス導入管31は処理ガス拡散通路29に処理ガスG1を導入する。各ガス穴30は処理ガス拡散通路29に導入された処理ガスG1を処理空間S2に供給する。
なお、基板処理装置10は処理ガス供給部28を有していなくてもよい。この場合、リング部材14がガス穴を備えて処理空間S1,S2に処理ガスを供給してもよい。
また、基板処理装置10は、処理容器11の下部において開口する排気ポート32を備える。排気ポート32は、APC(Automatic Pressure Control)バルブ(図示しない)を介してTMP(Turbo Molecular Pump)やDP(Dry Pump)(いずれも図示しない)に接続される。TMPやDPは処理容器11内のガス等を排気し、APCバルブは処理空間S1,S2の圧力を制御する。
さらに、基板処理装置10では、サセプタ12に高周波電源33が整合器(Matcher)34を介して接続されており、該高周波電源33は高周波電力をサセプタ12に供給する。これにより、サセプタ12は高周波電極として機能する。また、整合器34は、サセプタ12からの高周波電力の反射を低減して高周波電力のサセプタ12への供給効率を最大にする。高周波電源33からの高周波電流はサセプタ12を介して処理空間S1,S2に供給される。
なお、マイクロ波透過窓13及び処理ガス供給部28の間の距離L1(すなわち、処理空間S1の厚さ)は35mmであり、処理ガス供給部28及びサセプタ12の間の距離L2(すなわち、処理空間S2の厚さ)は100mmである。また、処理ガス供給部28が供給する処理ガスG1は臭化水素(HBr)ガス、フルオロカーボン(CF系)ガス、塩素(Cl)ガス、弗化水素(HF)ガス、酸素(O)ガス、水素(H)ガス、窒素(N)ガス、希ガス、例えば、アルゴン(Ar)ガスやヘリウム(He)ガスから選択された単ガス若しくは混合ガス該当する。
基板処理装置10では、処理空間S1,S2の圧力が所望の圧力に制御され、処理ガス供給部28から処理空間S2に処理ガスG1が供給される。次いで、サセプタ12を介して処理空間S1,S2に高周波電流が供給されると共に、ラジアルラインスロットアンテナ19はスロット板20からマイクロ波を放射する。該放射されたマイクロ波はマイクロ波透過窓13を介して処理空間S1,S2に放射されてマイクロ波電界を形成する。該マイクロ波電界は処理空間S2に供給された処理ガスG1を励起してプラズマを発生させる。このとき、周波数の高いマイクロ波によって処理ガスG1が励起されるため、高密度のプラズマを得ることができる。処理ガスG1のプラズマはサセプタ12上のウエハWにエッチング処理を施す。
ラジアルラインスロットアンテナ19では外部のマイクロ波源から供給されたマイクロ波がアンテナ誘電体板21及びスロット板20の間で均一に拡散するため、スロット板20はその表面から均一にマイクロ波を放射する。したがって、処理空間S2では均一なマイクロ波電界が形成され、処理空間S2においてプラズマは均一に分布する。その結果、ウエハWの表面に均一にエッチング処理を施すことができ、処理の均一性(Uniformity)を確保することができる。
基板処理装置10では、サセプタ12から離れた処理ガス供給部28の近傍において処理ガスG1を励起してプラズマを発生させる。すなわち、ウエハWから離れた空間においてのみプラズマが発生するため、ウエハWはプラズマに直接晒されることなく、また、プラズマがウエハWに到達したときにはプラズマの電子温度が下がる。その結果、ウエハW上の半導体デバイスの構造を破壊することがない。また、ウエハWの近傍において処理ガスG1の再解離を防ぐことできるため、ウエハWを汚染することもない(例えば、“山中、阿刀田、” 「大口径・高密度プラズマ処理装置の開発」にて産学官連携功労者表彰 内閣総理大臣賞を受賞”、[online]、平成15年6月9日、新エネルギー・産業技術総合開発機構、[平成18年5月22日検索]、インターネット<http://www.nedo.go.jp/informations/press/150609_1/150609_1.html>”参照。)。
上述した基板処理装置10では、処理ガスG1の励起の際、周波数の高いマイクロ波を用いるため、処理ガスG1に効率よくエネルギーを伝達することができる。その結果、処理ガスG1は励起し易くなり、高圧環境下でもプラズマを発生させることができる。したがって、処理空間S1,S2の圧力を極端に低下させることなく、ウエハWにエッチング処理を施すことができる。
図4は、図1の基板処理装置においてエッチング処理が施されるウエハの構成を示す断面図である。
図4において、半導体デバイス用のウエハWは、シリコンからなるシリコン基材35と、該シリコン基材35上に形成された膜厚が1.5nmのゲート酸化膜36と、該ゲート酸化膜36上に形成された膜厚が150nmのポリシリコン膜37と、該ポリシリコン膜37上に形成された反射防止膜38と、該反射防止膜38上に形成されたレジスト膜39(マスク膜)とを備える。このウエハWでは、反射防止膜38及びレジスト膜39が所定のパターンに従って形成され、ポリシリコン膜37を露出させる開口部40を所定の位置に有する。
シリコン基材35はシリコンからなる円板状の薄板であり、熱酸化処理が施されて表面にゲート酸化膜36が形成される。ゲート酸化膜36は酸化珪素(SiO)からなり、絶縁膜として機能する。ポリシリコン膜37は多結晶シリコンからなり、成膜処理によって形成される。なお、ポリシリコン膜37には何もドープされていない。
反射防止膜38は或る特定の波長の光、例えば、レジスト膜39に向けて照射されるArFエキシマレーザ光を吸収する色素を含む高分子樹脂からなり、レジスト膜39を透過したArFエキシマレーザ光がポリシリコン膜37によって反射されて再びレジスト膜39に到達するのを防止する。レジスト膜39はポジ型の感光性樹脂からなり、ArFエキシマレーザ光に照射されるとアルカリ可溶性に変質する。
ウエハWでは、反射防止膜38が塗布処理等によって形成された後、レジスト膜39がスピンコータ(図示しない)を用いて形成される。さらに、所定のパターンに反転するパターンに対応したArFエキシマレーザ光がステッパー(図示しない)によってレジスト膜39に照射されて、該レジスト膜39の照射された部分がアルカリ可溶性に変質する。その後、レジスト膜39に強アルカリ性の現像液が滴下されてアルカリ可溶性に変質した部分が除去される。これにより、レジスト膜39から所定のパターンに反転するパターンに対応した部分が取り除かれるため、ウエハW上には所定のパターン、例えば、ゲート電極の脇に対応する位置に開口部40を有するレジスト膜39が残る。なお、反射防止膜38もレジスト膜39をマスクとしてエッチングによって開口部40が形成される。
次に、本実施の形態に係るエッチング方法について説明する。
図5は、本実施の形態に係るエッチング方法としての半導体デバイスのゲート構造を得るためのエッチング方法を示す工程図である。
図5において、まず、ウエハWを基板処理装置10の処理容器11内に搬入してサセプタ12の上面に吸着保持する(図5(A))。
次いで、処理空間S1,S2の圧力を4.0Pa(30mTorr)に設定し、処理ガス供給部28から処理空間S2へHBrガス、Oガス及びArガスをそれぞれ所定の流量で供給する。また、ラジアルラインスロットアンテナ19に2.45GHzのマイクロ波を供給すると共に、サセプタ12に400KHzの高周波電力を供給する。このとき、HBrガス等がスロット板20から放射されたマイクロ波によってプラズマになり、陽イオンやラジカルが発生する。これらの陽イオンやラジカルは開口部40によって露出されているポリシリコン膜37の部分と衝突・反応し、当該部分をエッチングする(第1のエッチングステップ)。当該部分のポリシリコン膜37はポリシリコン膜37がゲート酸化膜36上に僅かに残る程度までエッチングされる(図5(B))。
次いで、処理空間S1,S2の圧力を66.7Pa(500mTorr)に設定し、処理空間S2へHBrガス及びHeガスをそれぞれ所定の流量で供給する。また、ラジアルラインスロットアンテナ19には2.45GHzのマイクロ波をそのまま供給すると共に、サセプタ12に400KHzの高周波電力を60Wで供給する。このとき、HBrガス等がスロット板20から放射されたマイクロ波によってプラズマになり、陽イオンやラジカルが発生する。これらの陽イオンやラジカルはゲート酸化膜36上に僅かに残されたポリシリコン膜37(以下、「残留ポリシリコン膜」という。)と衝突・反応し、残留ポリシリコン膜をエッチングして完全に除去する(第2のエッチングステップ)(図5(C))。なお、残留ポリシリコン膜のエッチングは104秒間に亘って行われる。
上記残留ポリシリコン膜のエッチングの際、雰囲気の圧力が66.7Paと高く設定されている。圧力が高いとプラズマのイオンのエネルギーが低下してスパッタ力が低下する。また、酸化珪素はポリシリコンよりスパッタされにくいため、プラズマのスパッタ力が低下すると、ポリシリコンのエッチング速度(以下、「エッチレート」という。)は多少しか低下しない一方、酸化珪素のエッチレートは大幅に低下する。その結果、酸素プラズマを用いることなくゲート酸化膜36に対するポリシリコン膜37の選択比を大きくすることができる。また、ポリシリコン膜37を完全に除去する際に、酸素ガスを用いる必要がないため、ゲート酸化膜36下のシリコン基材35の一部が酸化するのを防止できる。
次いで、ウエハWを基板処理装置10の処理容器11から搬出してウェットエッチング装置の処理容器(図示しない)に搬入し、ポリシリコン膜37が除去されて露出したゲート酸化膜36の部分を薬液等によってウェットエッチングする(第3のエッチングステップ)。当該部分のゲート酸化膜36はシリコン基材35が露出するまでエッチングされる(図5(D))。
次いで、ウエハWをウェットエッチング装置の処理容器から搬出してアッシング装置の処理容器に(図示しない)に搬入する。ウエハWの搬入後、アッシング装置の処理容器内へOガス及び高周波電流を供給する。これにより、Oガスをプラズマにして、該プラズマによってレジスト膜39及び反射防止膜38を除去する。レジスト膜39及び反射防止膜38はポリシリコン膜37が露出するまで除去され(図5(E))、その後、本処理を終了する。
本実施の形態に係るエッチング方法によれば、開口部40によって露出されているポリシリコン膜37の部分が該ポリシリコン膜37の一部を残すようにエッチングされ、残留ポリシリコン膜が、圧力が66.7Paの雰囲気下で、HBrガス及びHeガスからなる処理ガス、すなわち、酸素ガスを含まない処理ガスから発生したプラズマを用いてエッチングされる。圧力が高いとプラズマのスパッタ力が低下するため、スパッタされにくいゲート酸化膜36のエッチレートは大幅に低下する。したがって、ゲート酸化膜36に対するポリシリコン膜37の選択比を大きくすることができる。また、酸素ガスを用いる必要がないのでゲート酸化膜36下のシリコン基材35の一部が酸化することがない。その結果、ゲート酸化膜36のエッチングの際にシリコン基材35の一部が除去されることがなく、リセスの発生を抑制することができる。
上述した本実施の形態に係るエッチング方法では、ポリシリコン膜37の一部を残すようにエッチングする際、HBrガスから発生したプラズマを用いてポリシリコン膜37をエッチングする。HBrガスから発生したプラズマはポリシリコン膜37を効率良くエッチングできる。また、HBrガス及びHeガスからなる混合ガスを用いて残留ポリシリコン膜をエッチングする。HBrガスから発生したプラズマは残留ポリシリコン膜を効率良くエッチングできる。したがって、スループットを向上することができる。
また、上述した本実施の形態に係るエッチング方法では、残留ポリシリコン膜のエッチングが104秒間に亘って行われたが、エッチングの時間はこれに限られない。スループット及びゲート酸化膜36のエッチング抑制の観点からは残留ポリシリコン膜のエッチングの時間は短いのが好ましいが、特に、10秒〜180秒の間であるのが好ましい。
さらに、上述した本実施の形態に係るエッチング方法では、残留ポリシリコン膜のエッチングにおいてサセプタ12へ供給される高周波電力の大きさは60Wであったが、供給される高周波電力の大きさはこれに限られず、処理空間S1,S2の圧力に応じて設定される。処理空間S1,S2の圧力が低いほどプラズマのスパッタ力が強くなる一方、供給される高周波電力の大きさが小さいほどプラズマのスパッタ力は弱くなる。したがって、ゲート酸化膜36のエッチング抑制の観点からは、処理空間S1,S2の圧力が低くなれば供給される高周波電力の大きさを小さくするのが好ましく、具体的には、処理空間S1,S2の圧力が13.3Pa(100mTorr)であれば、供給される高周波電力の大きさは30Wであるのが好ましい。
また、上述した本実施の形態に係るエッチング方法では、残留ポリシリコン膜のエッチングの際、HBrガス及びHeガスからなる処理ガスを用いたが、処理ガスはこれに限られず、HBrガスのみからなる処理ガスでもよく、また、Heガスの代わりに、他の不活性ガス、例えば、希ガス(Arガス)を用いてもよい。
上述した本実施の形態に係るエッチング方法では、ポリシリコン膜37の一部を残すようにエッチングする際、HBrガス及び不活性ガスの混合ガスを処理ガスとして用いるが、処理ガスはこれに限られない。HBrガスの代わりにClガスを用いてもよい。
上述した本実施の形態に係るエッチング方法では、ゲート酸化膜36、レジスト膜39及び反射防止膜38はウェットエッチング装置やアッシング装置の処理容器内においてエッチングされたが、ゲート酸化膜36、レジスト膜39及び反射防止膜38を基板処理装置10の処理容器11内においてエッチングしてもよい。
また、上述した本実施の形態に係るエッチング方法では、残留ポリシリコン膜のエッチングの際、サセプタ12に400KHzの高周波電力を供給したが、さらに高い周波数の高周波電力を供給してもよく、具体的には、13.56MHzの高周波電力を供給してもよい。プラズマ中の陽イオン等は高い周波数の電圧変動に追従することができない。したがって、サセプタ12に高い周波数の高周波電力を供給すると、プラズマのスパッタ力をより低下させることができる。
また、本発明の目的は、上述した実施の形態の機能を実現するソフトウェアのプログラムコードを記憶した記憶媒体を、システム或いは装置に供給し、そのシステム或いは装置のコンピュータ(またはCPUやMPU等)が記憶媒体に格納されたプログラムコードを読み出し実行することによっても達成される。
この場合、記憶媒体から読み出されたプログラムコード自体が上述した実施の形態の機能を実現することになり、そのプログラムコード及び該プログラムコードを記憶した記憶媒体は本発明を構成することになる。
また、プログラムコードを供給するための記憶媒体としては、例えば、フロッピー(登録商標)ディスク、ハードディスク、光磁気ディスク、CD−ROM、CD−R、CD−RW、DVD−ROM、DVD−RAM、DVD−RW、DVD+RW等の光ディスク、磁気テープ、不揮発性のメモリカード、ROM等を用いることができる。または、プログラムコードをネットワークを介してダウンロードしてもよい。
また、コンピュータが読み出したプログラムコードを実行することにより、上述した実施の形態の機能が実現されるだけではなく、そのプログラムコードの指示に基づき、コンピュータ上で稼動しているOS(オペレーティングシステム)等が実際の処理の一部または全部を行い、その処理によって上述した実施の形態の機能が実現される場合も含まれる。
さらに、記憶媒体から読み出されたプログラムコードが、コンピュータに挿入された機能拡張ボードやコンピュータに接続された機能拡張ユニットに備わるメモリに書き込まれた後、そのプログラムコードの指示に基づき、その拡張機能を拡張ボードや拡張ユニットに備わるCPU等が実際の処理の一部または全部を行い、その処理によって上述した実施の形態の機能が実現される場合も含まれる。
次に、本発明の実施例を具体的に説明する。
まず、処理空間S1,S2の圧力及び処理ガスの成分(Oガスの有無)がリセスの発生に与える影響を検討した。
実施例1
まず、図4のウエハWを準備し、該ウエハWを基板処理装置10の処理容器11に搬入し、処理ガスG1としてHBrガス、Oガス及びArガスを処理空間S2に供給し、処理空間S1,S2の圧力を4.0Paに設定し、ラジアルラインスロットアンテナ19に2.45GHzのマイクロ波を供給すると共に、サセプタ12に400KHzの高周波電力を供給して開口部40によって露出されているポリシリコン膜37の部分を当該部分がゲート酸化膜36上に僅かに残る程度までエッチングした。さらに、処理空間S2へHBrガス及びHeガスを供給し、処理空間S1,S2の圧力を66.7Paに設定し、HBrガス等から発生したプラズマによって残留ポリシリコン膜をエッチングした。このとき、残留ポリシリコン膜が完全に除去される一方、ゲート酸化膜36が殆どエッチングされていないことが確認された。
そして、ウエハWをウェットエッチング装置の処理容器に搬入し、残留ポリシリコン膜が完全に除去されることによって露出したゲート酸化膜36をエッチングし、続いて、アッシング装置で反射防止膜38及びレジスト膜39を除去した。その後、ウエハWのゲートを観察したところ、シリコン基材35にリセスが殆ど発生してないのが確認された(図6(A)参照。)。
また、ゲートにおいてゲート酸化膜36の形状が多少裾広がりになっていることも確認された。ゲート酸化膜36の形状が裾広がりになったのは、処理空間S1,S2の圧力が比較的高く設定されたため、ポリシリコン膜37のエッチングの際、プラズマのスパッタ力が弱くなり、ゲートの隅に対応するポリシリコン膜37がエッチングされずに残留し、該残留部分が、ゲート酸化膜36のエッチングにおいて、該ゲート酸化膜36をマスクしたためと考えられた。
シリコン基材35におけるリセスの発生を完全に排除できなかった訳は、残留ポリシリコン膜のエッチング中に処理容器11の酸化物から構成された構成部品から、Oガスが放出されてシリコン基材35へ到達したためと、ゲート酸化膜36中の酸素原子がノックオン現象によって下層のシリコン基材35へ到達したためと考えられた。
比較例1
まず、実施例1と同じ条件で開口部40によって露出されているポリシリコン膜37の部分を当該部分がゲート酸化膜36上に僅かに残る程度までエッチングした。さらに、処理空間S1,S2の圧力を13.3Paに設定し、処理空間S2へHBrガス及びOガスを供給し、HBrガス等から発生したプラズマによって残留ポリシリコン膜をエッチングした。そして、残留ポリシリコン膜が完全に除去されることによって露出したゲート酸化膜36、続いて、反射防止膜38及びレジスト膜39を除去した。その後、ウエハWのゲートを観察したところ、シリコン基材35に深さが5.05nmのリセス41が発生しているのが確認された(図6(B)参照。)。さらに、ゲートにおいてゲート酸化膜36の形状が裾広がりになっていないことも確認された。
以上より、残留ポリシリコン膜のエッチングの際、処理空間S1,S2の圧力を比較的高く設定する、具体的には、66.7Paに設定するとプラズマのスパッタ力が極端に弱くなり、ゲート酸化膜36のエッチレートが極端に小さくなり、ゲート酸化膜36に対するポリシリコン膜37の選択比を確実に大きくすることができることが分かった。また、Oガスを用いることなく、残留ポリシリコン膜をエッチングすると、シリコン基材35におけるリセスの発生を抑制できることが分かった。
次に、処理空間S1,S2の圧力がシリコン基材35へのイオンドープに与える影響について検討した。
実施例2
まず、実施例1と同じ条件で開口部40によって露出されているポリシリコン膜37の部分を当該部分がゲート酸化膜36上に僅かに残る程度までエッチングした。さらに、処理空間S1,S2の圧力を33.3Paに設定した以外は実施例1と同じ条件で残留ポリシリコン膜をエッチングした。
そして、残留ポリシリコン膜が完全に除去されることによって露出したゲート酸化膜36、続いて、反射防止膜38及びレジスト膜39を除去した。その後、ウエハWのゲートを観察したところ、シリコン基材35にリセスが僅かに発生しているものの、該リセスの深さはシリコン基材35へのイオンドープに影響を与えない深さの限界であることが確認された(図7(A)参照。)。また、ゲートにおいてゲート酸化膜36の形状が裾広がりになっていないことも確認された。
実施例3
まず、実施例1と同じ条件で開口部40によって露出されているポリシリコン膜37の部分を当該部分がゲート酸化膜36上に僅かに残る程度までエッチングした。さらに、処理空間S1,S2の圧力を93.3Pa(700mTorr)に設定した以外は実施例1と同じ条件で残留ポリシリコン膜をエッチングした。
そして、残留ポリシリコン膜が完全に除去されることによって露出したゲート酸化膜36、続いて、反射防止膜38及びレジスト膜39を除去した。その後、ウエハWのゲートを観察したところ、シリコン基材35にリセスが全く発生していないものの、ゲートにおいてゲート酸化膜36の形状が裾広がりになっており、その裾広がりの大きさはシリコン基材35へのイオンドープに影響を与えない裾広がりの限界であることが確認された(図7(B))。
なお、実施例3において処理空間S1,S2の圧力は残留ポリシリコン膜のエッチングにおいて93.3Paに設定された。
以上より、シリコン基材35へのイオンドープに影響を与えない処理空間S1,S2の圧力は33.3Pa〜93.3Paであることが分かった。
実施例4
まず、実施例1と同じ条件で開口部40によって露出されているポリシリコン膜37の部分を当該部分がゲート酸化膜36上に僅かに残る程度までエッチングした。さらに、処理空間S1,S2の圧力を40.0Paに設定した以外は実施例1と同じ条件で残留ポリシリコン膜をエッチングした。
そして、残留ポリシリコン膜が完全に除去されることによって露出したゲート酸化膜36、続いて、反射防止膜38及びレジスト膜39を除去した。その後、ゲート酸化膜36の状態を観察したところ、ゲート酸化膜36に割れの発生がないことを確認した。これは、圧力が40.0Pa以上であるとプラズマのスパッタ力が極端に弱くなり、ゲート酸化膜36に対するポリシリコン膜37の選択比を確実に大きくすることができるためと推察された。
実施例5
まず、実施例1と同じ条件で開口部40によって露出されているポリシリコン膜37の部分を当該部分がゲート酸化膜36上に僅かに残る程度までエッチングしたサンプルを幾つか準備した。さらに、処理空間S1,S2の圧力をサンプル毎に異なるように設定し(具体的には80.0Paを中心に幾つかの圧力に設定した。)、これらのサンプルについて残留ポリシリコン膜をエッチングした。
その後、ゲートにおけるゲート酸化膜36を観察したところ、処理空間S1,S2の圧力80.0Paを境に、該圧力が高くなると急激に裾広がり形状が発達しているのが確認された。
以上の実施例4、5より、処理空間S1,S2の圧力は40.0Pa〜80.0Paに設定するのがより好ましいことが分かった。
本発明の実施の形態に係るエッチング方法を実行する基板処理装置の概略構成を示す断面図である。 図1におけるスロット板の平面図である。 図1における処理ガス供給部を下方から眺めたときの平面図である。 図1の基板処理装置においてエッチング処理が施されるウエハの構成を示す断面図である。 本実施の形態に係るエッチング方法としての半導体デバイスのゲート構造を得るためのエッチング方法を示す工程図である。 エッチングによって得られたウエハにおけるゲートの構造を示す断面図であり、(A)は残留ポリシリコン膜のエッチングの際に処理空間の圧力を66.7Paに設定し且つ処理空間へHBrガス及びHeガスを供給したときに得られたゲートの構造であり、(B)は残留ポリシリコン膜のエッチングの際に処理空間の圧力を13.3Paに設定し且つ処理空間へHBrガス及びOガスを供給したときに得られたゲートの構造である。 残留ポリシリコン膜のエッチングの際に処理空間へHBrガス及びHeガスを供給したときに得られたウエハにおけるゲートの構造を示す断面図であり、(A)は処理空間の圧力を13.3Paに設定したときに得られたゲートの構造であり、(B)は処理空間の圧力を93.3Paに設定したときに得られたゲートの構造である。 ゲート構造を得るための従来のエッチング方法を示す工程図である。
符号の説明
G1 処理ガス
S1,S2 処理空間
W ウエハ
10 基板処理装置
11 処理容器
12 サセプタ
13 マイクロ波透過窓
14 リング部材
19 ラジアルラインスロットアンテナ
20 スロット板
21 アンテナ誘電体板
22 遅波板
24 同軸導波管
25a,25b スロット
28 処理ガス供給部
33 高周波電源

Claims (6)

  1. シリコン基材上に少なくともシリコン酸化膜、ポリシリコン膜及び開口部を有するマスク膜が順に形成された基板のエッチング方法であって、
    前記開口部に対応する前記ポリシリコン膜を該ポリシリコン膜の一部を残すようにエッチングする第1のエッチングステップと、
    前記残されたポリシリコン膜を、酸素ガスを含まない処理ガスから発生したプラズマを用いてエッチングする第2のエッチングステップとを有し、
    前記第2のエッチングステップでは、圧力が33.3Pa〜93.3Paの雰囲気下で前記残されたポリシリコン膜をエッチングすることを特徴とするエッチング方法。
  2. 前記第2のエッチングステップでは、圧力が40.0Pa〜80.0Paの雰囲気下で前記残されたポリシリコン膜をエッチングすることを特徴とする請求項1記載のエッチング方法。
  3. 前記酸素ガスを含まない処理ガスは、臭化水素ガス及び不活性ガスの混合ガスであることを特徴とする請求項1又は2記載のエッチング方法。
  4. 前記第1のエッチングステップでは、臭化水素ガス、フルオロカーボンガス又は塩素ガスから発生したプラズマを用いて前記ポリシリコン膜をエッチングすることを特徴とする請求項1乃至3のいずれか1項に記載のエッチング方法。
  5. 前記シリコン酸化膜をエッチングする第3のエッチングステップを有することを特徴とする請求項1乃至4のいずれか1項に記載のエッチング方法。
  6. シリコン基材上に少なくともシリコン酸化膜、ポリシリコン膜及び開口部を有するマスク膜が順に形成された基板から半導体デバイスを製造する半導体デバイスの製造方法であって、
    前記開口部に対応する前記ポリシリコン膜を該ポリシリコン膜の一部を残すようにエッチングする第1のエッチングステップと、
    前記残されたポリシリコン膜を、酸素ガスを含まない処理ガスから発生したプラズマを用いてエッチングする第2のエッチングステップとを有し、
    前記第2のエッチングステップでは、圧力が33.3Pa〜93.3Paの雰囲気下で前記残されたポリシリコン膜をエッチングすることを特徴とする製造方法。
JP2006265148A 2006-09-28 2006-09-28 エッチング方法及び半導体デバイスの製造方法 Expired - Fee Related JP4801553B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2006265148A JP4801553B2 (ja) 2006-09-28 2006-09-28 エッチング方法及び半導体デバイスの製造方法
CN2007101466418A CN101154582B (zh) 2006-09-28 2007-08-23 蚀刻方法以及半导体器件的制造方法
EP07017735.7A EP1906439B1 (en) 2006-09-28 2007-09-11 Etching method
US11/861,469 US20080261406A1 (en) 2006-09-28 2007-09-26 Etching method and semiconductor device fabrication method
KR1020070097476A KR100931427B1 (ko) 2006-09-28 2007-09-27 에칭 방법 및 반도체 디바이스의 제조 방법
TW096136039A TWI463563B (zh) 2006-09-28 2007-09-27 Etching method and manufacturing method of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006265148A JP4801553B2 (ja) 2006-09-28 2006-09-28 エッチング方法及び半導体デバイスの製造方法

Publications (2)

Publication Number Publication Date
JP2008085165A true JP2008085165A (ja) 2008-04-10
JP4801553B2 JP4801553B2 (ja) 2011-10-26

Family

ID=38938287

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006265148A Expired - Fee Related JP4801553B2 (ja) 2006-09-28 2006-09-28 エッチング方法及び半導体デバイスの製造方法

Country Status (6)

Country Link
US (1) US20080261406A1 (ja)
EP (1) EP1906439B1 (ja)
JP (1) JP4801553B2 (ja)
KR (1) KR100931427B1 (ja)
CN (1) CN101154582B (ja)
TW (1) TWI463563B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009302181A (ja) * 2008-06-11 2009-12-24 Tokyo Electron Ltd プラズマエッチング処理方法およびプラズマエッチング処理装置
WO2011021539A1 (ja) * 2009-08-20 2011-02-24 東京エレクトロン株式会社 プラズマ処理装置とプラズマ処理方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101752207B (zh) * 2008-12-02 2011-11-09 中芯国际集成电路制造(上海)有限公司 消除干法刻蚀中溴化氢浓缩残留方法
JP4968861B2 (ja) * 2009-03-19 2012-07-04 東京エレクトロン株式会社 基板のエッチング方法及びシステム
US8809199B2 (en) * 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
CN104900515B (zh) * 2014-03-07 2019-04-12 无锡华润上华科技有限公司 一种半导体器件蚀刻方法及半导体器件形成方法
JP6489483B2 (ja) * 2016-03-09 2019-03-27 パナソニックIpマネジメント株式会社 プラズマ処理方法
CN107492485B (zh) * 2016-06-13 2020-03-06 北大方正集团有限公司 半导体器件的制作方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05243188A (ja) * 1992-02-27 1993-09-21 Japan Steel Works Ltd:The エッチング方法
JPH09260349A (ja) * 1996-01-12 1997-10-03 Nec Corp 半導体装置の製造方法
JPH09270420A (ja) * 1996-03-29 1997-10-14 Nippon Steel Corp 半導体装置の製造方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4502915B1 (en) * 1984-01-23 1998-11-03 Texas Instruments Inc Two-step plasma process for selective anisotropic etching of polycrystalline silicon without leaving residue
US5201993A (en) * 1989-07-20 1993-04-13 Micron Technology, Inc. Anisotropic etch method
US5242536A (en) * 1990-12-20 1993-09-07 Lsi Logic Corporation Anisotropic polysilicon etching process
JP3165047B2 (ja) * 1996-12-12 2001-05-14 日本電気株式会社 ポリサイド膜のドライエッチング方法
CN1287430C (zh) * 2001-06-15 2006-11-29 东京毅力科创株式会社 干蚀刻方法
US6759340B2 (en) * 2002-05-09 2004-07-06 Padmapani C. Nallan Method of etching a trench in a silicon-on-insulator (SOI) structure
KR20040036802A (ko) * 2002-10-24 2004-05-03 주식회사 하이닉스반도체 반도체 소자의 게이트 형성 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05243188A (ja) * 1992-02-27 1993-09-21 Japan Steel Works Ltd:The エッチング方法
JPH09260349A (ja) * 1996-01-12 1997-10-03 Nec Corp 半導体装置の製造方法
JPH09270420A (ja) * 1996-03-29 1997-10-14 Nippon Steel Corp 半導体装置の製造方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009302181A (ja) * 2008-06-11 2009-12-24 Tokyo Electron Ltd プラズマエッチング処理方法およびプラズマエッチング処理装置
KR101147964B1 (ko) * 2008-06-11 2012-05-24 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 처리 방법 및 플라즈마 에칭 처리 장치
TWI405260B (zh) * 2008-06-11 2013-08-11 Tokyo Electron Ltd A plasma etching treatment method and a plasma etching processing apparatus
WO2011021539A1 (ja) * 2009-08-20 2011-02-24 東京エレクトロン株式会社 プラズマ処理装置とプラズマ処理方法
CN102473634A (zh) * 2009-08-20 2012-05-23 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
US8771537B2 (en) 2009-08-20 2014-07-08 Tokyo Electron Limited Plasma treatment device and plasma treatment method
US10224220B2 (en) 2009-08-20 2019-03-05 Tokyo Electron Limited Plasma processing apparatus and plasma etching apparatus

Also Published As

Publication number Publication date
KR20080029856A (ko) 2008-04-03
CN101154582B (zh) 2010-06-09
EP1906439A2 (en) 2008-04-02
EP1906439A3 (en) 2008-04-30
US20080261406A1 (en) 2008-10-23
EP1906439B1 (en) 2015-02-25
TW200826188A (en) 2008-06-16
JP4801553B2 (ja) 2011-10-26
TWI463563B (zh) 2014-12-01
KR100931427B1 (ko) 2009-12-11
CN101154582A (zh) 2008-04-02

Similar Documents

Publication Publication Date Title
JP4972594B2 (ja) エッチング方法及び半導体デバイスの製造方法
JP4801553B2 (ja) エッチング方法及び半導体デバイスの製造方法
US8974628B2 (en) Plasma treatment device and optical monitor device
US8809199B2 (en) Method of etching features in silicon nitride films
JP4668205B2 (ja) 多孔質低誘電率層内に形状を形成する方法および装置
KR101056199B1 (ko) 플라즈마 산화 처리 방법
CN103081074B (zh) 基板处理方法、图案形成方法、半导体元件的制造方法及半导体元件
JP5171683B2 (ja) プラズマ処理方法
KR101718170B1 (ko) 기판 처리 방법
US8778206B2 (en) Substrate processing method and storage medium
JP3893888B2 (ja) プラズマ処理装置
JP2002520848A (ja) 2ステップ自己整合コンタクトエッチング
US7608544B2 (en) Etching method and storage medium
JP6840041B2 (ja) エッチング方法
KR20110102243A (ko) 표면 평탄화 방법
JP2000294626A (ja) 半導体装置の製造方法
JP4643916B2 (ja) 層間絶縁膜のドライエッチング方法及びその装置
JP2005072352A (ja) 層間絶縁膜のドライエッチング方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090925

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110217

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110411

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110511

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110708

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110802

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110805

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140812

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees