KR20120037502A - 플라즈마 처리 장치 및 방법과 플라즈마 에칭 처리 장치 및 방법 - Google Patents

플라즈마 처리 장치 및 방법과 플라즈마 에칭 처리 장치 및 방법 Download PDF

Info

Publication number
KR20120037502A
KR20120037502A KR1020127004868A KR20127004868A KR20120037502A KR 20120037502 A KR20120037502 A KR 20120037502A KR 1020127004868 A KR1020127004868 A KR 1020127004868A KR 20127004868 A KR20127004868 A KR 20127004868A KR 20120037502 A KR20120037502 A KR 20120037502A
Authority
KR
South Korea
Prior art keywords
gas
processing
introduction
plasma
ratio
Prior art date
Application number
KR1020127004868A
Other languages
English (en)
Other versions
KR101386552B1 (ko
Inventor
토시히사 오즈
나오키 마츠모토
타카시 츠카모토
카즈토 다카이
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2009191354A external-priority patent/JP5410881B2/ja
Priority claimed from JP2009191355A external-priority patent/JP5410882B2/ja
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20120037502A publication Critical patent/KR20120037502A/ko
Application granted granted Critical
Publication of KR101386552B1 publication Critical patent/KR101386552B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

(과제) 기판 표면에 있어서의 플라즈마 처리의 균일성을 향상시킨다.
(해결 수단) 처리 용기(2)에 도입된 처리 가스를 플라즈마화시켜 기판(W)을 처리하는 플라즈마 처리 장치(1)에 있어서, 처리 용기(2)에 수납된 기판(W)의 중심부에 도입되는 처리 가스의 도입량과, 처리 용기(2)에 수납된 기판(W)의 주변부에 도입되는 처리 가스의 도입량의 비가, 플라즈마 처리 중에 변화한다. 본 발명에 의하면, 기판(W)의 중심부와 주변부의 에칭 레이트(ER) 등의 편차를 작게 할 수 있다. 이 때문에, 기판(W) 표면에 있어서의 플라즈마 처리의 균일성이 향상된다.

Description

플라즈마 처리 장치와 플라즈마 처리 방법{PLASMA TREATMENT DEVICE AND PLASMA TREATMENT METHOD}
본 발명은, 반도체 제조에 이용되는 플라즈마 처리 장치와 플라즈마 처리 방법에 관한 것이다.
종래로부터, 반도체 디바이스의 제조 분야에서는, 플라즈마를 이용하여 에칭이나 성막 등의 처리를 시행하는 방법이 채용되고 있다. 그 하나로서, 래디얼 라인 슬롯판에 형성된 슬롯으로부터 마이크로파를 처리 용기 내에 전파(propagation)시켜 플라즈마를 생성시키는 RLSA(Radial Line Slot Antenna)형의 플라즈마 처리 장치가 알려져 있다(예를 들면, 특허문헌 1 참조). 이 RLSA형의 플라즈마 처리 장치는, 고밀도로 저전자 온도의 플라즈마를 균일하게 형성할 수 있어, 대형의 반도체 웨이퍼를 균일하고 그리고 고속으로 플라즈마 처리할 수 있다는 이점이 있다. 그리고, 플라즈마 처리의 일 예로서, HBr 가스를 이용하여, 기판의 표면을 에칭하는 프로세스가 알려져 있다. 또한, 다른 플라즈마 처리의 일 예로서, CF4 가스와 CHF3 가스를 포함하는 처리 가스를 이용하여, 기판의 표면에 형성된 SiN막을 에칭하는 프로세스가 알려져 있다.
RLSA형의 플라즈마 처리 장치에서는, 처리 용기의 천정면에 배치된 유전체를 통하여, 처리 용기의 내부로 마이크로파가 전파된다. 그리고, 처리 용기에 도입된 처리 가스가 마이크로파의 에너지에 의해 플라즈마화되어, 기판 표면의 처리가 행해진다. 일반적으로, 처리 용기로 처리 가스를 도입하기 위한 도입부는, 예를 들면 처리 용기의 측면에 배치되어 있다. 또한 최근에는, 처리 용기의 측면에 배치된 도입부에 더하여, 처리 용기의 천정면에 처리 가스의 도입부가 설치된다(예를 들면, 특허문헌 2 참조).
또한, 특허문헌 3에는, 평행 평판형 플라즈마 처리 장치가 개시되어 있다. 이 평행 평판형의 플라즈마 에칭 장치에 있어서는, 처리 용기 내에 한 쌍의 평행한 상부 전극 및 하부 전극을 설치하고, 하부 전극에 고주파를 인가함과 함께, 이 하부 전극 상에 기판을 두고 에칭을 행한다. 에칭되는 기판의 면 내에서의 균일성을 향상하기 위해, 상부 전극은, 기판의 중앙에 처리 가스를 공급하는 중앙 영역과, 기판의 주변에 처리 가스를 공급하는 주변 영역으로 구획된다. 그리고, 그들 중앙 영역과 주변 영역의 처리 가스의 도입량의 비를 컨트롤하는 것이 행해지고 있다(Radical Distribution Control: RDC).
일본공개특허공보 2009-99807호 일본공개특허공보 2008-251660호 일본공개특허공보 2009-117477호
여기에서, 특허문헌 2에 기재된 RLSA형의 플라즈마 처리 장치에서는, 측면의 도입부와 천정면의 도입부로부터의 처리 가스의 도입량의 비를 최적화함으로써, 기판 표면에 있어서의 플라즈마 처리의 균일성 향상이 도모되고 있었다. 그리고, 처리 중에는 그 최적화된 도입량의 비를 유지하여, 플라즈마 처리가 행해지고 있었다. 그러나, 처리 가스의 도입량의 비를 최적화하고 있어도, 기판의 중심부와 주변부의 에칭 레이트 등이 상이하여, 기판 표면에 있어서의 플라즈마 처리를 균일하게 하는 것이 곤란했다.
한편, 최근의 초미세 패턴을 형성하기 위해 에칭의 CD(Critical Dimension)를 정확하게 제어하는 것이 요구되고 있다. 그래서, 마스크 개구부, 스페이서, 게이트 등, 엄격한 CD 컨트롤이 필요해지는 프로세스에 있어서는, 광학식 검사 장치를 이용하여 에칭 후의 CD값을 측정하여, CD값에 기여하는 여러 가지의 요인을 검토하는 것이 행해지고 있다. 그러나, 에칭의 CD를 용이하게 제어할 수 있는 수법은 아직 충분히 확립되어 있지 않다.
또한, 특허문헌 3에 기재된 평행 평판형의 플라즈마 처리 장치에서는, 40㎜ 이내의 단거리로 거리를 둔 상부 전극과 하부 전극과의 사이에 생성되는 플라즈마를 이용하고 있으며, 플라즈마의 전자 온도는 상부 전극으로부터 하부 전극에 이르기까지 높은 채로 유지된다. 덧붙여, 공통 가스 및 첨가 가스는 모두 상부 전극으로 도입되기 때문에, 공통 가스 및 첨가 가스의 해리를 다양하게 제어할 수 없다는 과제가 있다.
본 발명에 의하면, 처리 용기에 도입된 처리 가스를 플라즈마화시켜 기판을 처리하는 플라즈마 처리 장치로서, 상기 처리 용기에 수납된 기판의 중심부에 처리 가스를 도입하는 중앙 도입부와, 상기 처리 용기에 수납된 기판의 주변부에 처리 가스를 도입하는 주변 도입부와, 상기 중앙 도입부와 상기 주변 도입부에 공급하는 처리 가스의 유량비를 가변하게 조절하는 스플리터와, 상기 스플리터를 제어하는 제어부를 구비하고, 상기 제어부는, 플라즈마 처리 중에, 상기 중앙 도입부로부터의 처리 가스의 도입량과 상기 주변 도입부로부터의 처리 가스의 도입량의 비를 변화시키도록, 상기 스플리터를 제어하는 플라즈마 처리 장치가 제공된다.
또한, 본 발명에 의하면, 처리 용기에 도입된 처리 가스를 플라즈마화시켜 기판을 처리하는 플라즈마 처리 방법으로서, 상기 처리 용기에 수납된 기판의 중심부에 도입되는 처리 가스의 도입량과, 상기 처리 용기에 수납된 기판의 주변부에 도입되는 처리 가스의 도입량의 비가, 플라즈마 처리 중에 변화되는 플라즈마 처리 방법이 제공된다.
또한, 본 발명에 의하면, 복수의 원료 가스가 혼합된 처리 가스가 처리 용기에 도입되고, 처리 용기 내에서 처리 가스가 플라즈마화되어 기판이 처리되는 플라즈마 처리 장치로서, 종류가 상이한 원료 가스를 공급하는 복수의 원료 가스 공급부와, 각 원료 가스 공급부에 의한 원료 가스의 공급량을 제어하는 제어부를 구비하는 플라즈마 처리 장치가 제공된다.
또한, 본 발명에 의하면, 복수의 원료 가스가 혼합된 처리 가스가 처리 용기에 도입되고, 처리 용기 내에서 처리 가스가 플라즈마화되어 기판이 처리되는 플라즈마 처리 방법으로서, 종류가 상이한 원료 가스의 혼합비를 바꿈으로써, CD가 제어되는 플라즈마 처리 방법이 제공된다.
본 발명에 의하면, 기판의 중심부로의 처리 가스의 도입량과 기판의 주변부로의 처리 가스의 도입량의 비를 플라즈마 처리 중에 변화시킴으로써, 기판의 중심부와 주변부의 에칭 레이트 등의 편차를 작게 할 수 있다. 이 때문에, 기판 표면에 있어서의 플라즈마 처리의 균일성이 향상된다.
또한, 본 발명에 의하면, 처리 가스 중에 포함되는 CF4 가스나 CHF3 가스 등의 원료 가스의 공급량의 비를 바꿈으로써, 에칭의 CD를 제어하는 것이 가능해진다. 또한, 본 발명에 의하면, 마스크 개구부, 스페이서, 게이트 등, 엄격한 CD 컨트롤이 필요해지는 프로세스를 용이하게 실시할 수 있게 된다.
도 1은 본 발명의 제1 실시 형태에 따른 플라즈마 처리 장치의 개략적인 구성을 나타내는 종단면도이다.
도 2는 도 1 중의 X-X 단면도로, 유전체창의 하면의 상태를 나타내고 있다.
도 3은 종래의 플라즈마 처리 장치에 있어서, 처리 가스가 도입되는 상태의 설명도이다.
도 4는 본 발명의 제1 실시 형태에 따른 플라즈마 처리 장치에 있어서, 처리 가스가 도입되는 상태의 설명도이다.
도 5는 본 발명의 제2 실시 형태에 따른 플라즈마 처리 장치의 개략적인 구성을 나타내는 종단면도이다.
도 6은 비교예 1에 있어서의 에칭 레이트의 분포를 나타내는 그래프이다.
도 7은 비교예 2에 있어서의 에칭 레이트의 분포를 나타내는 그래프이다.
도 8은 비교예 3에 있어서의 에칭 레이트의 분포를 나타내는 그래프이다.
도 9는 실시예 1에 있어서의 에칭 레이트의 분포를 나타내는 그래프이다.
도 10은 실시예 2에 있어서의 웨이퍼 표면의 SiN막의 에칭 형상을 나타내는 부분 확대 단면도이다.
도 11은 실시예 3에 있어서의 웨이퍼의 중심부의 처리 가스 도입량을 적게 하고, 웨이퍼의 주변부의 처리 가스 도입량을 많게 한 경우의, 웨이퍼 표면의 SiN막의 에칭 형상을 나타내는 부분 확대도이다.
도 12는 실시예 3에 있어서의 웨이퍼의 중심부의 처리 가스 도입량을 많게 하고, 웨이퍼의 주변부의 처리 가스 도입량을 적게 한 경우의, 웨이퍼 표면의 SiN막의 에칭 형상을 나타내는 부분 확대도이다.
[부호의 설명]
W : 웨이퍼
1 : 플라즈마 처리 장치
2 : 처리 용기
3 : 서셉터
4 : 외부 전원
5 : 히터
10 : 배기 장치
16 : 유전체창
20 : 래디얼 라인 슬롯판
25 : 유전체판
30 : 동축 도파관
31 : 내부 도체
32 : 외부 도체
35 : 마이크로파 공급 장치
36 : 직사각형 도파관
50, 50' : 가스 공급원
50a : Ar 가스 공급부
50b : HBr 가스 공급부
50c : O2 가스 공급부
50'a : Ar 가스 공급부
50'b : CF4 가스 공급부
50'c : CHF3 가스 공급부
51 : 스플리터
52, 53 : 공급로
55 : 중앙 도입부
56 : 주변 도입부
57 : 인젝터 블록
61 : 인젝터 링
65 : 제어부
(발명을 실시하기 위한 최량의 형태)
이하, 본 발명의 실시 형태의 일 예를, 도면을 참조로 하여 설명한다. 또한, 본 명세서 및 도면에 있어서, 실질적으로 동일한 구성 요소에 대해서는, 동일한 부호를 붙임으로써 중복 설명을 생략한다.
도 1에 나타나는 바와 같이, 본 발명의 제1 실시 형태에 따른 플라즈마 처리 장치(1)는, 원통 형상의 처리 용기(2)를 구비하고 있다. 처리 용기(2)의 상부는 개구되고, 저부(底部)는 막혀 있다. 처리 용기(2)는, 예를 들면 알루미늄으로 이루어지며, 전기적으로 접지되어 있다. 처리 용기(2)의 내벽면은, 예를 들면 알루미나 등의 보호막으로 피복되어 있다.
처리 용기(2) 내의 저부에는, 기판으로서 예를 들면 반도체 웨이퍼(이하 웨이퍼라고 함)(W)를 올려놓기 위한 재치대로서의 서셉터(3)가 설치되어 있다. 서셉터(3)는 예를 들면 알루미늄으로 이루어지며, 서셉터(3)의 내부에는, 외부 전원(4)으로부터의 전력의 공급에 의해 발열하는 히터(5)가 설치되어 있다. 히터(5)에 의해, 서셉터(3) 상의 웨이퍼(W)를 소정 온도로 가열하는 것이 가능하다.
처리 용기(2)의 저부에는, 진공 펌프 등의 배기 장치(10)에 의해 처리 용기(2) 내의 분위기를 배기하기 위한 배기관(11)이 접속되어 있다.
처리 용기(2)의 상부에는, 기밀성을 확보하기 위한 O링 등의 시일재(15)를 개재하여, 예를 들면 석영 등의 유전 재료로 이루어지는 유전체창(16)이 설치되어 있다. 도 2에 나타나는 바와 같이, 유전체창(16)은 대략 원반 형상이다. 유전체창(16)의 재료로서, 석영을 대신하여, 기타 유전체 재료, 예를 들면 Al2O3, AlN 등의 세라믹스를 사용해도 좋다.
유전체창(16)의 상방에는, 평면 형상의 슬롯판, 예를 들면 원판 형상의 래디얼 라인 슬롯판(20)이 설치되어 있다. 래디얼 라인 슬롯판(20)은, 도전성을 갖는 재질, 예를 들면 Ag, Au 등으로 도금이나 코팅된 구리의 얇은 원판으로 이루어진다. 래디얼 라인 슬롯판(20)에는, 복수의 슬롯(21)이, 동심원 형상으로 복수열로 배치되어 있다.
래디얼 라인 슬롯판(20)의 상면에는, 마이크로파의 파장을 단축하기 위한 유전체판(25)이 배치되어 있다. 유전체판(25)은, 예를 들면 Al2O3 등의 유전 재료로 이루어진다. 유전체판(25)의 재료로서, Al2O3을 대신하여, 기타 유전체 재료, 예를 들면 석영, AlN 등의 세라믹스를 사용해도 좋다. 유전체판(25)은 도전성의 커버(26)에 의해 덮여 있다. 커버(26)에는 원환상의 열매체 유로(27)가 설치되며, 이 열매체 유로(27)를 흐르는 열매체에 의해, 커버(26)와 유전체창(16)을 소정 온도로 유지하도록 되어 있다.
커버(26)의 중앙에는 동축 도파관(30)이 접속되어 있다. 동축 도파관(30)은, 내부 도체(31)와 외부 도체(32)에 의해 구성되어 있다. 내측 도체(31)는, 유전체판(25)의 중앙을 관통하여 전술한 래디얼 라인 슬롯판(20)의 상부 중앙에 접속되어 있다. 래디얼 라인 슬롯판(20)에 형성된 복수의 슬롯(21)은, 모두 내측 도체(31)를 중심으로 하는 복수의 원주 상에 배치되어 있다.
동축 도파관(30)에는, 마이크로파 공급 장치(35)가 직사각형 도파관(36) 및 모드 변환기(37)를 통하여 접속되어 있다. 마이크로파 공급 장치(35)에서 발생시킨, 예를 들면 2.45㎓의 마이크로파가, 직사각형 도파관(36), 모드 변환기(37), 동축 도파관(30), 유전체판(25), 래디얼 라인 슬롯판(20)을 통하여, 유전체창(16)에 방사된다. 그리고, 마이크로파에 의해 유전체창(16)의 하면에 전계가 형성되어, 처리 용기(2) 내에 플라즈마가 생성된다.
래디얼 라인 슬롯판(20)에 접속되는 내측 도체(31)의 하단(40)은 원추 사다리꼴 형상으로 형성되어 있다. 이와 같이 내측 도체(31)의 하단(40)이 원추 사다리꼴 형상으로 형성되어 있음으로써, 동축 도파관(30)으로부터 유전체판(25) 및 래디얼 라인 슬롯판(20)에 대하여 마이크로파가 효율 좋게 전파된다.
이러한 구성에 의해 생성된 마이크로파 플라즈마의 특징은, 유전체창(16) 바로 아래(플라즈마 여기 영역이라고 불림)에서 생성된 비교적 전자 온도가 높은 수eV의 플라즈마가 확산되어, 웨이퍼(W) 바로 위(확산 플라즈마 영역)에서는 약 1?2eV 정도의 낮은 전자 온도의 플라즈마가 되는 것에 있다. 즉, 평행 평판형 플라즈마 처리 장치 등에서 생성되는 플라즈마와는 상이하게, 플라즈마의 전자 온도의 분포가 유전체창(16)으로부터의 거리의 함수로서 명확하게 발생하는 것에 특징이 있다. 보다 상세하게는, 유전체창(16) 바로 아래로부터의 거리의 함수로서, 유전체창(16) 바로 아래에서의 수eV?약 10eV의 전자 온도가, 웨이퍼(W) 상에서는 약 1?2eV 정도로 감쇠한다. 웨이퍼(W)의 처리는 플라즈마의 전자 온도가 낮은 영역(확산 플라즈마 영역)에서 행해지기 때문에, 웨이퍼(W)에 리세스 등의 큰 대미지를 부여하는 일이 없다. 플라즈마의 전자 온도가 높은 영역(플라즈마 여기 영역)으로 처리 가스가 공급되면, 처리 가스는 용이하게 여기되어, 해리된다. 한편, 플라즈마의 전자 온도가 낮은 영역(플라즈마 확산 영역)으로 처리 가스가 공급되면, 플라즈마 여기 영역 근방으로 공급된 경우에 비하여, 해리의 정도는 억제된다.
가스 공급원(50)으로부터 공급된 처리 가스가, 스플리터(51)로 양분되어, 두 개의 공급로(52, 53)를 거쳐, 처리 용기(2) 내에 도입된다. 본 발명의 제1 실시 형태에 따른 플라즈마 처리 장치(1)에서는, 가스 공급원(50)은, Ar 가스를 공급하는 Ar 가스 공급부(50a), HBr 가스를 공급하는 HBr 가스 공급부(50b), O2 가스를 공급하는 O2 가스 공급부(50c)를 구비하고 있다. 이들 Ar 가스 공급부(50a), HBr 가스 공급부(50b) 및 O2 가스 공급부(50c)로부터 공급된 Ar 가스, HBr 가스 및 O2 가스의 혼합 가스가, 처리 가스로서 처리 용기(2) 내에 도입된다.
처리 용기(2)의 천정면에는, 웨이퍼(W)의 중심부에 처리 가스를 도입하는 중앙 도입부(55)가 설치되어 있다. 처리 용기(2)의 내측면에는, 웨이퍼(W)의 주변으로부터 처리 가스를 도입하는 주변 도입부(56)가 설치되어 있다. 중앙 도입부(55)는, 처리 용기(2)의 천정면의 중앙에 배치되어 있다. 중앙 도입부(55)에는, 동축 도파관(30)의 내부 도체(31)를 관통하는 공급로(52)의 일측이 접속되어 있다.
중앙 도입부(55)에는, 처리 용기(2) 내에 처리 가스를 도입시키기 위한 인젝터 블록(57)이 부착되어 있다. 인젝터 블록(57)은, 예를 들면 알루미늄 등의 도전성 재료로 이루어지고, 인젝터 블록(57)은, 전기적으로 접지되어 있다. 인젝터 블록(57)은 원판 형상을 이루며, 인젝터 블록(57)에는, 상하로 관통하는 복수의 가스 분출공(58)이 설치되어 있다. 인젝터 블록(57)은, 예를 들면 알루미나나 이트리어로 코팅되어 있어도 좋다.
도 2에 나타내는 바와 같이, 인젝터 블록(57)은, 유전체창(16)의 중앙에 설치된 원통 형상의 공간부(59)에 보유지지되어 있다. 동축 도파관(30)의 내부 도체(31)의 하면과 인젝터 블록(57)의 상면과의 사이에는, 적당한 간격의 원통 형상의 가스 고임부(60)가 형성되어 있다. 내부 도체(31)를 관통하는 공급로(52)로부터 가스 고임부(60)에 공급된 처리 가스가, 가스 고임부(60) 내를 퍼진 후, 인젝터 블록(57)에 설치된 복수의 가스 분출공(58)을 통과하여, 처리 용기(2) 내의 웨이퍼(W)의 중앙 상방에 도입된다.
주변 도입부(56)는, 서셉터(3)에 올려놓여진 웨이퍼(W)의 상방을 둘러싸도록 배치된, 링 형상의 인젝터 링(61)을 구비하고 있다. 인젝터 링(61)은 중공(中空)이며, 인젝터 링(61)의 내부에는, 처리 용기(2)의 측면을 관통하는 공급로(53)를 거쳐, 처리 가스가 공급된다. 인젝터 링(61)의 내측면에는, 복수의 개구(62)가 등간격으로 복수 설치되어 있다. 처리 용기(2)의 측면을 관통하는 공급로(53)로부터 인젝터 링(61)의 내부로 공급된 처리 가스가, 인젝터 링(61)의 내부를 퍼진 후, 인젝터 링(61)의 내측면에 설치된 복수의 개구(62)를 통과하여, 처리 용기(2) 내의 웨이퍼(W)의 주위 상방에 도입된다. 또한, 인젝터 링(61)은 없어도 좋다. 예를 들면, 처리 용기(2)의 내측면에 처리 가스의 공급 노즐이 등간격으로 설치되어 있어도 좋다.
스플리터(51)와, 가스 공급원(50)의 Ar 가스 공급부(50a), HBr 가스 공급부(50b) 및 O2 가스 공급부(50c)는, 제어부(65)에 의해 제어된다. 제어부(65)의 제어에 의해, Ar 가스 공급부(50a)로부터 스플리터(51)에 공급되는 Ar 가스의 비율과, HBr 가스 공급부(50b)로부터 스플리터(51)에 공급되는 HBr 가스의 비율과, O2 가스 공급부(50c)로부터 스플리터(51)에 공급되는 O2 가스의 비율이 결정되며, 이에 따라, 처리 용기(2)에 도입되는 처리 가스의 조성이 결정된다. 제어부(65)의 제어에 의해, 스플리터(51)로부터 두개의 공급로(52, 53)로 양분되어 중앙 도입부(55)와 주변 도입부(56)에 공급되는 처리 가스의 유량비가 결정된다. 이에 따라, 중앙 도입부(55)와 주변 도입부(56)로부터 처리 용기(2)에 도입되는 처리 가스의 도입량비가 결정된다.
중앙 도입부(55)로부터 유전체창(16) 바로 아래에 처리 가스를 도입하면, 플라즈마의 전자 온도가 높기 때문에, 에칭 가스의 해리는 진행되기 쉬워진다. 그 한편, 유전체창(16)으로부터 비교적 먼 위치의 주변 도입부(56)로부터 처리 가스를 도입하면, 플라즈마의 전자 온도가 낮기 때문에, 처리 가스의 해리가 저도로 억제된다. 따라서, 소망하는 처리 가스의 해리 상태를 얻으려고 할 때, 중앙 도입부(55)로부터 공급하는 가스의 양과, 주변 도입부(56)로부터 공급하는 가스의 양을 조절함으로써 용이하게 해리 상태를 컨트롤할 수 있다.
다음으로, 이상과 같이 구성된 본 발명의 제1 실시 형태에 따른 플라즈마 처리 장치(1)의 작용에 대해서 설명한다. 또한, 본 발명의 제1 실시 형태에 따른 플라즈마 처리 장치(1)에 대해서는, 플라즈마 처리의 일 예로서, HBr 가스를 포함하는 처리 가스를 사용하여, 웨이퍼(W)의 표면의 Poly-Si막을 에칭하는 예를 설명한다.
도 1에 나타내는 바와 같이, 본 발명의 제1 실시 형태에 따른 플라즈마 처리 장치(1)에 있어서, 우선 웨이퍼(W)가 처리 용기(2) 내에 반입되어, 서셉터(3) 상에 올려놓여진다. 그리고, 배기관(11)으로부터 배기가 행해져 처리 용기(2) 내가 감압된다. 또한, 가스 공급원(50)으로부터 Ar 가스, HBr 가스, O2 가스를 포함하는 처리 가스가 도입된다. 이 경우, 제어부(65)의 제어에 의해, Ar 가스 공급부(50a)로부터 스플리터(51)에 공급되는 Ar 가스의 비율과, HBr 가스 공급부(50b)로부터 스플리터(51)에 공급되는 HBr 가스의 비율과, O2 가스 공급부(50c)로부터 스플리터(51)에 공급되는 O2 가스의 비율이 결정되어, 처리 가스의 조성이 결정된다. 그리고, 스플리터(51)에서 혼합된 소정의 조성을 갖는 처리 가스가 처리 용기(2) 내로 도입된다.
처리 용기(2) 내로의 처리 가스의 도입은, 처리 용기(2)의 천정면에 설치된 중앙 도입부(55)와, 처리 용기(2)의 내측면에 설치된 주변 도입부(56)로부터 동시에 행해져, 웨이퍼(W)의 중심부와 웨이퍼(W)의 주변의 양쪽으로부터 처리 가스가 도입된다. 중앙 도입부(55)의 처리 가스의 도입량과 주변 도입부(56)로부터의 처리 가스의 도입량의 비는, 웨이퍼(W)의 표면 전체에 균일한 에칭 처리가 이루어지도록, 제어부(65)에 의해 결정된다. 제어부(65)는, 스플리터(51)를 제어하고, 이 결정된 도입량비에 따라서, 중앙 도입부(55)와 주변 도입부(56)로부터 처리 용기(2) 내로 처리 가스가 도입된다.
그리고, 마이크로파 공급 장치(35)의 작동에 의해, 유전체창(16)의 하면에 전계가 발생하여, 처리 가스가 플라즈마화되고, 그때에 발생한 활성종(種)에 의해, 웨이퍼(W)의 표면의 Poly-Si막이 에칭된다. 그리고, 소정 시간 에칭 처리가 행해진 후, 마이크로파 공급 장치(35)의 작동과, 처리 용기(2) 내로의 처리 가스의 공급이 정지되고, 웨이퍼(W)가 처리 용기(2) 내로부터 반출되어, 일련의 플라즈마 에칭 처리가 종료된다.
그런데, 이상과 같은 플라즈마 처리 장치(1)에 있어서, 종래는, 중앙 도입부(55)로부터의 처리 가스의 도입량과 주변 도입부(56)로부터의 처리 가스의 도입량의 비를 최적화함으로써, 웨이퍼(W)의 표면의 Poly-Si막에 대한 에칭의 균일성 향상이 도모되고 있었다. 종래는, 스플리터(51)의 도입량비가 플라즈마 처리 중 일정하게 되도록, 제어부(65)에 의해 제어되고 있었다. 그러나, 중앙 도입부(55)로부터의 처리 가스의 도입량과 주변 도입부(56)로부터의 처리 가스의 도입량의 비를 고정밀도로 최적화하고 있어도, 웨이퍼(W)의 표면에 있어서의 중심부와 주변부의 에칭 레이트가 크게 상이하여, 균일한 에칭을 행하는 것이 곤란했다.
여기에서, 중앙 도입부(55)와 주변 도입부(56)의 양쪽으로부터의 처리 가스를 도입한 경우에, 웨이퍼(W)의 표면에 있어서의 중심부와 주변부의 에칭 레이트의 상이가 발생하는 요인을 검토했다. 도 3에 나타내는 바와 같이, 종래는, 제어부(65)의 제어에 의해, 중앙 도입부(55)로부터 도입되는 처리 가스 G1의 도입량 Q와 주변 도입부(56)로부터 도입되는 처리 가스 G2의 도입량 R의 비 Q/R가, 플라즈마 처리 중 일정하게 유지되고 있었다. 이 때문에, 중앙 도입부(55)로부터 도입되는 처리 가스 G1과 주변 도입부(56)로부터 도입되는 처리 가스 G2가, 서셉터(3) 상에 올려놓여진 웨이퍼(W)의 표면에 있어서, 항상 동일한 위치 P에서 부딪치는 상태로 되어 있었다. 그 결과, 위치 P에 있어서, 처리 가스 G1과 처리 가스 G2가 가라앉아 버리는 것이 예측되었다. 그리고, 이 처리 가스 G1과 처리 가스 G2의 가라앉음이 항상 동일한 위치 P에서 발생하는 것이, 웨이퍼(W)의 표면에 있어서의 중심부와 주변부의 에칭 레이트의 상이의 요인이 된다고 추론되었다.
그래서, 본 발명자들은, 제어부(65)의 제어에 의해, 플라즈마 처리 중에 처리 가스의 침체를 발생하는 위치를 웨이퍼(W)의 표면 상에서 이동시킴으로써, 웨이퍼(W)의 표면에 있어서의 중심부와 주변부의 에칭 레이트의 상이를 저감시키는 것을 시도했다. 도 4 중에 있어서 실선으로 나타내는 바와 같이, 우선, 중앙 도입부(55)로부터 처리 가스 G1을 도입량 Q1으로 도입하고, 주변 도입부(56)로부터 처리 가스 G2를 도입량 R1으로 도입했다(즉, 제어부(65)에 의해 스플리터(51)의 도입량비를 Q1/R1로 제어함). 이때, 중앙 도입부(55)로부터 도입되는 처리 가스 G1과 주변 도입부(56)로부터 도입되는 처리 가스 G2가, 서셉터(3) 상에 올려놓여진 웨이퍼(W)의 표면에 있어서, 위치 P1과 부딪치는 상태로 되어 있었다.
다음으로, 플라즈마 처리의 계속 중에, 도 4 중에 있어서 일점 쇄선으로 나타내는 바와 같이, 중앙 도입부(55)로부터 처리 가스 G1을 도입량 Q2(Q2<Q1)로 도입하고, 주변 도입부(56)로부터 처리 가스 G2를 도입량 R2(R2>R1)로 도입했다(즉, 제어부(65)에 의해 스플리터(51)의 도입량비를 Q2/R2로 제어함). 이때, 중앙 도입부(55)로부터 도입되는 처리 가스 G1과 주변 도입부(56)로부터 도입되는 처리 가스 G2가, 서셉터(3) 상에 올려놓여진 웨이퍼(W)의 표면에 있어서, 위치 P1보다도 웨이퍼(W)의 중심에서 가까운 위치 P2에서 부딪치는 상태로 되어 있었다.
그리고, 플라즈마 처리의 계속 중에, 제어부(65)에 의해 스플리터(51)의 도입량비를 Q1/R1과 Q2/R2로 교대로 제어함으로써, 중앙 도입부(55)로부터 처리 가스 G1을 도입량 Q1로 도입하고, 주변 도입부(56)로부터 처리 가스 G2를 도입량 R1로 도입하는 상태(도입량비 Q1/R1)와, 중앙 도입부(55)로부터 처리 가스 G1을 도입량 Q2로 도입하고, 주변 도입부(56)로부터 처리 가스 G2를 도입량 R2로 도입하는 상태(도입량비 Q2/R2)를 교대로 반복했다. 이와 같이 도입량비 Q1/R1의 상태와 도입량비 Q2/R2의 상태를 교대로 반복함으로써, 웨이퍼(W)의 표면 상에서 처리 가스 G1과 처리 가스 G2가 부딪치는 위치를, 위치 P1과 위치 P2로 교대로 이동시킬 수 있었다.
본 발명자들은, 이 실험의 결과로부터, 제어부(65)에 의해 스플리터(51)의 도입량비를 플라즈마 처리 중에 변화시키도록 제어하고, 중앙 도입부(55)로부터의 처리 가스 G1의 도입량과, 주변 도입부(56)로부터의 처리 가스 G2의 도입량의 비를 플라즈마 처리 중에 변화시킴으로써, 웨이퍼(W)의 표면에 있어서의 중심부와 주변부의 에칭 레이트의 상이를 작게 하여, 균일한 에칭이 가능하게 된다는 지견을 얻었다. 또한, 본 발명자들이 이러한 지견을 얻기에 이른 실험에 대해서는 나중에 설명한다.
따라서, 본 발명의 제1 실시 형태에 따른 플라즈마 처리 장치(1)에 의하면, 제어부(65)에 의해 스플리터(51)의 도입량비를 플라즈마 처리 중에 변화시킴으로써, 웨이퍼(W)의 표면에 있어서의 플라즈마 처리의 균일성이 향상된다. 그 결과, 성능이 좋은 우수한 반도체 디바이스를 제조할 수 있게 된다.
다음으로, 본 발명의 제2 실시 형태에 따른 플라즈마 처리 장치(1')를 설명한다. 도 5에 나타나는 바와 같이, 본 발명의 제2 실시 형태에 따른 플라즈마 처리 장치(1')에서는, 가스 공급원(50')은, Ar 가스를 공급하는 Ar 가스 공급부(50'a), CF4 가스를 공급하는 CF4 가스 공급부(50'b), CHF3 가스를 공급하는 CHF3 가스 공급부(50'c)를 구비하고 있다. 이들 Ar 가스 공급부(50'a), CF4 가스 공급부(50'b) 및 CHF3 가스 공급부(50'c)로부터 공급된 Ar 가스, CF4 가스 및 CHF3 가스의 혼합 가스가, 처리 가스로서 처리 용기(2) 내에 도입된다. 또한, 본 발명의 제1 실시 형태에 따른 플라즈마 처리 장치(1)의 가스 공급원(50)과, 본 발명의 제2 실시 형태에 따른 플라즈마 처리 장치(1')의 가스 공급원(50')의 가스종이 상이한 점을 제외하면, 본 발명의 제1 실시 형태에 따른 플라즈마 처리 장치(1)와, 본 발명의 제2 실시 형태에 따른 플라즈마 처리 장치(1')의 구성은 실질적으로 동일하다. 그 때문에, 가스 공급원(50') 외에 구성 요소의 설명에 대해서는, 본 발명의 제1 실시 형태에 따른 플라즈마 처리 장치(1)와 중복되기 때문에 생략한다.
다음으로, 이상과 같이 구성된 본 발명의 제2 실시 형태에 따른 플라즈마 처리 장치(1')의 작용에 대해서 설명한다. 또한, 본 발명의 제2 실시 형태에 따른 플라즈마 처리 장치(1)에 대해서는, 플라즈마 처리의 일 예로서, CF4 가스와 CHF3 가스를 포함하는 처리 가스를 사용하여, 웨이퍼(W)의 표면의 SiN막을 에칭하는 예를 설명한다.
도 5에 나타내는 바와 같이, 본 발명의 제2 실시 형태에 따른 플라즈마 처리 장치(1')에 있어서, 우선 웨이퍼(W)가 처리 용기(2) 내에 반입되어, 서셉터(3) 상에 올려놓여진다. 그리고, 배기관(11)으로부터 배기가 행해져 처리 용기(2) 내가 감압된다. 또한, 가스 공급원(50)으로부터 Ar 가스, CF4 가스, CHF3 가스를 포함하는 처리 가스가 도입된다. 이 경우, 제어부(65)의 제어에 의해, Ar 가스 공급부(50a)로부터 스플리터(51)에 공급되는 Ar 가스의 비율과, CF4 가스 공급부(50b)로부터 스플리터(51)에 공급되는 CF4 가스의 비율과, CHF3 가스 공급부(50c)로부터 스플리터(51)에 공급되는 CHF3 가스의 비율이 결정되어, 처리 가스 중에 있어서의 각 원료 가스(Ar 가스, CF4 가스, CHF3 가스)의 혼합비가 결정된다. 그리고, 스플리터(51)에서 혼합된 처리 가스가 처리 용기(2) 내에 도입된다.
처리 용기(2) 내로의 처리 가스의 도입은, 처리 용기(2)의 천정면에 설치된 중앙 도입부(55)와, 처리 용기(2)의 내측면에 설치된 주변 도입부(56)로부터 동시에 행해져, 웨이퍼(W)의 중심부와 웨이퍼(W)의 주변의 양쪽으로부터 처리 가스가 도입된다. 중앙 도입부(55)의 처리 가스의 도입량과 주변 도입부(56)로부터의 처리 가스의 도입량의 비는, 제어부(65)가 스플리터(51)를 제어함으로써 결정되며, 웨이퍼(W)의 표면 전체에 균일한 에칭 처리가 이루어지도록, 스플리터(51)의 도입량비가 조정된다.
그리고, 마이크로파 공급 장치(35)의 작동에 의해, 유전체창(16)의 하면에 전계가 발생하여, 처리 가스가 플라즈마화되고, 그때에 발생한 활성종에 의해, 웨이퍼(W)의 표면의 SiN막이 에칭된다. 그리고, 소정 시간 에칭 처리가 행해진 후, 마이크로파 공급 장치(35)의 작동과, 처리 용기(2) 내로의 처리 가스의 공급이 정지되고, 웨이퍼(W)가 처리 용기(2) 내로부터 반출되어, 일련의 플라즈마 에칭 처리가 종료된다.
그런데, 이상과 같은 본 발명의 제2 실시 형태에 따른 플라즈마 처리 장치(1')에서는, 최근의 초미세 패턴을 형성하기 위해 에칭의 CD(Critical Dimension)를 정확하게 제어하는 것이 요구되고 있다. 한편, 본 발명자들의 지견에 의하면, 처리 용기(2) 내에 도입되어 플라즈마화되는 처리 가스 중의 CF4 가스와 CHF3 가스의 혼합비가 바뀌면, 에칭 처리되는 웨이퍼(W) 표면의 SiN막의 CD가 바뀌는 것이 판명되었다. 또한, 본 발명자들이 이러한 지견을 얻기에 이른 실험에 대해서는 나중에 설명한다.
그래서, 본 발명의 제2 실시 형태에 따른 플라즈마 처리 장치(1')에서는, 제어부(65)에 의해 CF4 가스 공급부(50b)로부터 스플리터(51)에 공급되는 CF4 가스의 공급량과, CHF3 가스 공급부(50c)로부터 스플리터(51)에 공급되는 CHF3 가스의 공급량을 조정하여, 처리 가스 중의 CF4 가스와 CHF3 가스의 혼합비를 바꿈으로써, 웨이퍼(W) 표면의 SiN막의 CD를 제어한다. 그 결과, 웨이퍼(W) 표면의 SiN막의 CD를 용이하게 제어할 수 있게 된다. 그 결과, 마스크 개구부, 스페이서, 게이트 등, 엄격한 CD 컨트롤이 필요해지는 에칭 프로세스를 용이하게 실시할 수 있게 된다.
또한, 본 발명의 제2 실시 형태에 따른 플라즈마 처리 장치(1')에 있어서도, 제어부(65)에 의해 스플리터(51)의 도입량비를 플라즈마 처리 중에 변화시키도록 제어하고, 중앙 도입부(55)로부터의 처리 가스 G1의 도입량과, 주변 도입부(56)로부터의 처리 가스 G2의 도입량의 비를 플라즈마 처리 중에 변화시킴으로써, 웨이퍼(W)의 표면에 있어서의 중심부와 주변부의 에칭 레이트의 상이를 작게 하여, 균일한 에칭이 가능하게 된다. 그 결과, 성능이 좋은 우수한 반도체 디바이스를 제조할 수 있게 된다.
이상, 본 발명의 바람직한 실시 형태의 일 예를 설명했지만, 본 발명은 여기에 예시한 형태로 한정되지 않는다. 당업자라면, 특허 청구의 범위에 기재된 사상의 범주 내에 있어서, 각종의 변경예 또는 수정예에 생각이 미칠 수 있는 것은 분명하고, 그들에 대해서도 당연히 본 발명의 기술적 범위에 속하는 것으로 이해된다.
이상의 실시 형태에서는, 본 발명을 에칭 처리를 행하는 플라즈마 처리 장치(1, 1')에 적용했지만, 본 발명은, 에칭 처리 이외의 기판 처리, 예를 들면 성막 처리를 행하는 플라즈마 처리 장치에도 적용할 수 있다.
이상의 실시 형태에서는, HBr 가스를 포함하는 처리 가스를 사용하여, 웨이퍼(W)의 표면의 Poly-Si막을 에칭하는 예와, CF4 가스와 CHF3 가스를 원료 가스로 하는 처리 가스를 이용하여, 웨이퍼(W)의 표면의 SiN막을 에칭하는 예를 설명했지만, 본 발명은, HBr 가스, CF4 가스, CHF3 가스 외의 원료 가스를 포함하는 처리 가스를 이용한 에칭 프로세스에도 적용할 수 있다. 또한, 에칭의 대상도 Poly-Si막, SiN막으로 한정되지 않는다. 또한, 본 발명은, RLSA형의 플라즈마 에칭 처리 장치에 한정되지 않고, 다른 ECR형의 플라즈마 에칭 처리 장치 등에도 적용할 수 있다. 또한, 본 발명의 플라즈마 처리 장치에서 처리되는 기판은, 반도체 웨이퍼, 유기 EL기판, FPD(플랫 패널 디스플레이)용의 기판 등 중 어느 것이라도 좋다.
실시예 1
스플리터(51)의 도입량비에 대한, 웨이퍼(W)의 표면에 있어서의 중심부와 주변부의 에칭 레이트의 상이를 고찰했다. 또한, 웨이퍼(W)로서 직경 300㎜의 Si 웨이퍼를 이용하여, 표면에 형성된 Poly-Si막을 에칭했다.
(비교예 1?3)
표 1?3은, 비교예 1?3의 처리 조건을 각각 나타내고 있다. 비교예 1?3에서는, 플라즈마 처리 중 스플리터(51)의 도입량비를 일정하게 유지하고, Poly-Si막을 제거하는 에칭 공정(Poly)을 30초간 행했다. 에칭 공정(Poly) 중, 중앙 도입부(55)로부터의 처리 가스 G1의 도입량과 주변 도입부(56)로부터의 처리 가스 G2의 도입량의 비를, 비교예 1에서는 25/75로 유지하고, 비교예 2에서는 32/68로 유지하고, 비교예 3에서는 40/60으로 유지했다. 또한, 에칭 처리의 개시시에 7초간, 웨이퍼(W)의 표면에 형성된 산화막을 제거하는 브레이크스루 공정(BT)를 행하고, 그 후, 에칭 공정(Poly)을 행했다.
Figure pct00001
Figure pct00002
Figure pct00003
(실시예 1)
표 4는, 실시예 1의 처리 조건을 나타내고 있다. 실시예 1에서는, 에칭 처리의 개시시에 7초간, 웨이퍼(W)의 표면에 형성된 산화막을 제거하는 브레이크스루 공정(BT)를 행한 후, 중앙 도입부(55)로부터의 처리 가스 G1의 도입량과 주변 도입부(56)로부터의 처리 가스 G2의 도입량의 비를 3초간 25/75로 하여 Poly-Si막을 제거하는 에칭 공정(Poly1)과, 중앙 도입부(55)로부터의 처리 가스 G1의 도입량과 주변 도입부(56)로부터의 처리 가스 G2의 도입량의 비를 3초간 40/60으로 하여 Poly-Si막을 제거하는 에칭 공정(Poly2)를 교대로 5회씩 반복했다.
Figure pct00004
이들 비교예 1?3, 실시예 1의 결과를 도 6?9에 나타낸다. 도 6?9에 있어서, 횡축(橫軸)은 웨이퍼(W)의 표면의 위치(0은 중앙)를 나타내고, 종축(縱軸)은 에칭 레이트(ER)를 나타낸다.
(비교예 1)
도 6에 나타내는 바와 같이, 비교예 1은, 웨이퍼(W)의 주변부에서 에칭 레이트(ER)가 크고, 웨이퍼(W)의 중심부에서 에칭 레이트(ER)가 작아졌다. 에칭 레이트(ER)의 균일성(에칭 레이트(ER)의 평균값±에칭 레이트(ER)의 변동폭)은, 121.0㎚/min±43.7%이었다.
(비교예 2)
도 7에 나타내는 바와 같이, 비교예 2는, 웨이퍼(W)의 중심부에서 에칭 레이트(ER)가 크고, 웨이퍼(W)의 중심부와 주변부의 사이에서 에칭 레이트(ER)가 가장 작아졌다. 에칭 레이트(ER)의 균일성(에칭 레이트(ER)의 평균값±에칭 레이트(ER)의 변동폭)은, 164.5㎚/min±25.0%이었다.
(비교예 3)
도 8에 나타내는 바와 같이, 비교예 3은, 웨이퍼(W)의 중심부에서 에칭 레이트(ER)가 크고, 웨이퍼(W)의 주변부에서 에칭 레이트(ER)가 작아졌다. 에칭 레이트(ER)의 균일성(에칭 레이트(ER)의 평균값±에칭 레이트(ER)의 변동폭)은, 198.2㎚/min±22.6%이었다.
(실시예 1)
도 9에 나타내는 바와 같이, 실시예 1은, 웨이퍼(W)의 주변부에서 에칭 레이트(ER)가 근소하게 커졌지만, 웨이퍼(W)의 중심부로부터 주변부의 사이에서 에칭 레이트(ER)가 거의 균일하게 되었다. 에칭 레이트(ER)의 균일성(에칭 레이트(ER)의 평균값±에칭 레이트(ER)의 변동폭)은, 148.5㎚/min±18.1%이었다. 비교예 1?3에 비하여, 실시예 1은 에칭 레이트(ER)의 변동폭이 가장 작아졌다.
실시예 2
CF4 가스와 CHF3 가스를 원료 가스로 하는 처리 가스를 이용하여, 웨이퍼의 표면의 SiN막을 에칭 처리시에 있어서, CF4 가스와 CHF3 가스의 혼합비(CF4 가스/CHF3)와 CD의 관계를 조사했다. 도 10에, 웨이퍼 표면의 SiN막의 에칭 형상을 나타낸다. CF4 가스와 CHF3 가스의 혼합비(CF4 가스/CHF3)와 CD의 관계는, 다음의 표 5의 결과가 되었다.
Figure pct00005
이 실시예에서는, CF4 가스와 CHF3 가스의 혼합비(CF4 가스/CHF3)가 커지면, CD가 작아지는 경향이 보였다. 이 실시예 2의 결과로부터, 처리 가스 중의 CF4 가스와 CHF3 가스의 혼합비를 바꿈으로써, SiN막을 에칭할 때의 CD를 제어할 수 있는 것을 알 수 있다.
실시예 3
다음으로, 웨이퍼의 중심부에 도입되는 처리 가스(CF4 가스와 CHF3 가스를 원료 가스로 하는 처리 가스)의 도입량과 웨이퍼의 주변부에 도입되는 처리 가스의 도입량의 비의 영향을 조사했다. 또한, 웨이퍼의 중심부에 도입되는 처리 가스와 웨이퍼의 주변부에 도입되는 처리 가스의 혼합비(CF4 가스/CHF3)는 동일하게 했다. 도 11에 나타내는 바와 같이, 웨이퍼의 중심부의 처리 가스 도입량을 적게 하고, 웨이퍼의 주변부의 처리 가스 도입량을 많게 한 경우, 웨이퍼의 중심부에서는, 웨이퍼 표면의 SiN막의 에칭 형상은, 측면이 저부측으로 갈수록 넓어지는 테이퍼 형상이 되고(a), 웨이퍼의 주변부에서는, 웨이퍼 표면의 SiN막은 측면이 거의 수직으로 에칭되었다(b). 한편, 도 12에 나타내는 바와 같이, 웨이퍼의 중심부의 처리 가스 도입량을 많게 하고, 웨이퍼의 주변부의 처리 가스 도입량을 적게 한 경우, 웨이퍼의 중심부에서는, 웨이퍼 표면의 SiN막은 측면이 거의 수직으로 에칭되고(a), 웨이퍼의 주변부에서는, 웨이퍼 표면의 SiN막의 에칭 형상은, 측면이 저부측으로 갈수록 넓어지는 테이퍼 형상이 되었다(b).
이들, 실시예 2, 3의 결과로부터, 처리 가스 중의 CF4 가스와 CHF3 가스의 혼합비와, 웨이퍼의 중심부에 도입되는 처리 가스 도입량과 웨이퍼의 주변부에 도입되는 처리 가스 도입량의 비를 바꿈으로써, SiN막을 에칭할 때의 CD를 제어할 수 있어, 더욱, SiN막의 에칭 형상을 제어할 수 있는 것을 알 수 있다.
본 발명은, 예를 들면 반도체 제조 분야에 유용하다.

Claims (13)

  1. 처리 용기에 도입된 처리 가스를 플라즈마화시켜 기판을 처리하는 플라즈마 처리 장치로서,
    상기 처리 용기에 수납된 기판의 중심부에 처리 가스를 도입하는 중앙 도입부와,
    상기 처리 용기에 수납된 기판의 주변부에 처리 가스를 도입하는 주변 도입부와,
    상기 중앙 도입부와 상기 주변 도입부에 공급하는 처리 가스의 유량비를 가변하게 조절하는 스플리터와,
    상기 스플리터를 제어하는 제어부
    를 구비하고,
    상기 제어부는, 플라즈마 처리 중에, 상기 중앙 도입부로부터의 처리 가스의 도입량과 상기 주변 도입부로부터의 처리 가스의 도입량의 비를 변화시키도록, 상기 스플리터를 제어하는 플라즈마 처리 장치.
  2. 제1항에 있어서,
    상기 제어부는, 플라즈마 처리 중에, 상기 중앙 도입부로부터의 처리 가스의 도입량과 상기 주변 도입부로부터의 처리 가스의 도입량의 비를, 제1 도입량비와, 상기 제1 도입량비와는 상이한 제2 도입량비로 교대로 전환하도록, 상기 스플리터를 제어하는 플라즈마 처리 장치.
  3. 제1항에 있어서,
    상기 중앙 도입부는, 상기 처리 용기의 천정면에 설치되고,
    상기 주변 도입부는, 상기 처리 용기의 내측면에 설치되는 플라즈마 처리 장치.
  4. 제1항에 있어서,
    상기 처리 가스는, HBr을 포함하는 플라즈마 처리 장치.
  5. 처리 용기에 도입된 처리 가스를 플라즈마화시켜 기판을 처리하는 플라즈마 처리 방법으로서,
    상기 처리 용기에 수납된 기판의 중심부에 도입되는 처리 가스의 도입량과, 상기 처리 용기에 수납된 기판의 주변부에 도입되는 처리 가스의 도입량의 비가, 플라즈마 처리 중에 변화되는 플라즈마 처리 방법.
  6. 제5항에 있어서,
    상기 처리 용기에 수납된 기판의 중심부에 도입되는 처리 가스의 도입량과, 상기 처리 용기에 수납된 기판의 주변부에 도입되는 처리 가스의 도입량의 비가, 제1 도입량과, 상기 제1 도입량비와는 상이한 제2 도입량비로 교대로 전환되는 플라즈마 처리 방법.
  7. 제5항에 있어서,
    상기 처리 가스는, HBr을 포함하는 플라즈마 처리 방법.
  8. 복수의 원료 가스가 혼합된 처리 가스가 처리 용기에 도입되고, 처리 용기 내에서 처리 가스가 플라즈마화되어 기판이 에칭 처리되는 플라즈마 에칭 처리 장치로서,
    종류가 상이한 원료 가스를 공급하는 복수의 원료 가스 공급부와, 각 원료 가스 공급부에 의한 원료 가스의 공급량을 제어하는 제어부를 구비하는 플라즈마 에칭 처리 장치.
  9. 제8항에 있어서,
    상기 처리 용기에 수납된 기판의 중심부에 처리 가스를 도입하는 중앙 도입부와, 상기 처리 용기에 수납된 기판의 주변부에 처리 가스를 도입하는 주변 도입부와, 상기 중앙 도입부와 상기 주변 도입부에 공급하는 처리 가스의 유량비를 가변하게 조절하는 스플리터를 구비하고,
    상기 제어부는, 플라즈마 에칭 처리 중에, 상기 중앙 도입부로부터의 처리 가스의 도입량과 상기 주변 도입부로부터의 처리 가스의 도입량의 비를 변화시키도록, 상기 스플리터를 제어하는 플라즈마 에칭 처리 장치.
  10. 제8항에 있어서,
    상기 복수의 원료 가스 공급부는, CF4 가스를 공급하는 CF4 가스 공급부와, CHF3 가스를 공급하는 CHF3 가스 공급부를 포함하고,
    상기 제어부는, 상기 CF4 가스 공급부에 의한 CF4의 공급량과 상기 CHF3 가스 공급부에 의한 CHF3 가스의 공급량을 제어하는 플라즈마 에칭 처리 장치.
  11. 복수의 원료 가스가 혼합된 처리 가스가 처리 용기에 도입되고, 처리 용기 내에서 처리 가스가 플라즈마화되어 기판이 에칭 처리되는 플라즈마 에칭 처리 방법으로서,
    종류가 상이한 원료 가스의 혼합비를 바꿈으로써, CD가 제어되는 플라즈마 에칭 처리 방법.
  12. 제11항에 있어서,
    상기 처리 용기에 수납된 기판의 중심부에 도입되는 처리 가스의 도입량과, 상기 처리 용기에 수납된 기판의 주변부에 도입되는 처리 가스의 도입량의 비가, 플라즈마 에칭 처리 중에 변화되는 플라즈마 에칭 처리 방법.
  13. 제11항에 있어서,
    상기 복수의 원료 가스는, CF4 가스와 CHF3 가스를 포함하고,
    상기 CF4 가스의 공급량과 상기 CHF3 가스의 공급량이 제어되는 플라즈마 에칭 처리 방법.
KR1020127004868A 2009-08-20 2010-08-10 플라즈마 처리 장치 및 방법과 플라즈마 에칭 처리 장치 및 방법 KR101386552B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2009191354A JP5410881B2 (ja) 2009-08-20 2009-08-20 プラズマ処理装置とプラズマ処理方法
JPJP-P-2009-191354 2009-08-20
JP2009191355A JP5410882B2 (ja) 2009-08-20 2009-08-20 プラズマエッチング処理装置とプラズマエッチング処理方法
JPJP-P-2009-191355 2009-08-20
PCT/JP2010/063543 WO2011021539A1 (ja) 2009-08-20 2010-08-10 プラズマ処理装置とプラズマ処理方法

Publications (2)

Publication Number Publication Date
KR20120037502A true KR20120037502A (ko) 2012-04-19
KR101386552B1 KR101386552B1 (ko) 2014-04-17

Family

ID=43606994

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127004868A KR101386552B1 (ko) 2009-08-20 2010-08-10 플라즈마 처리 장치 및 방법과 플라즈마 에칭 처리 장치 및 방법

Country Status (5)

Country Link
US (2) US8771537B2 (ko)
KR (1) KR101386552B1 (ko)
CN (1) CN102473634B (ko)
TW (1) TWI414017B (ko)
WO (1) WO2011021539A1 (ko)

Families Citing this family (255)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101386552B1 (ko) * 2009-08-20 2014-04-17 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 방법과 플라즈마 에칭 처리 장치 및 방법
JP5377587B2 (ja) * 2011-07-06 2013-12-25 東京エレクトロン株式会社 アンテナ、プラズマ処理装置及びプラズマ処理方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP2013243218A (ja) * 2012-05-18 2013-12-05 Tokyo Electron Ltd プラズマ処理装置、及びプラズマ処理方法
JP5568608B2 (ja) * 2012-08-20 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10041168B2 (en) * 2013-01-14 2018-08-07 California Institute Of Technology Graphene structure
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102104018B1 (ko) * 2013-03-12 2020-04-23 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2015201567A (ja) * 2014-04-09 2015-11-12 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN104465325A (zh) * 2014-11-28 2015-03-25 上海华力微电子有限公司 一种改善有源区关键尺寸均匀性的方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
WO2017127163A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
DE102018120580A1 (de) * 2018-08-23 2020-02-27 Infineon Technologies Ag Vorrichtung und verfahren zum abscheiden einer schicht bei atmosphärendruck
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2666768B2 (ja) * 1995-04-27 1997-10-22 日本電気株式会社 ドライエッチング方法及び装置
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US20030155079A1 (en) * 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6752166B2 (en) * 2001-05-24 2004-06-22 Celerity Group, Inc. Method and apparatus for providing a determined ratio of process fluids
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
JP3856730B2 (ja) * 2002-06-03 2006-12-13 東京エレクトロン株式会社 流量制御装置を備えたガス供給設備からのチャンバーへのガス分流供給方法。
US20040087153A1 (en) * 2002-10-31 2004-05-06 Yan Du Method of etching a silicon-containing dielectric material
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US20040168719A1 (en) * 2003-02-28 2004-09-02 Masahiro Nambu System for dividing gas flow
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US7072743B2 (en) * 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
US20070066038A1 (en) * 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
JP4550507B2 (ja) 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
JP4559202B2 (ja) 2004-07-30 2010-10-06 東京エレクトロン株式会社 プラズマエッチング装置
EP1782457A2 (en) * 2004-08-31 2007-05-09 HONDA MOTOR CO., Ltd. Growth of nitride semiconductor crystals
US7722737B2 (en) 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
US7993489B2 (en) * 2005-03-31 2011-08-09 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
US7621290B2 (en) * 2005-04-21 2009-11-24 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using antisymmetric optimal control
US7673645B2 (en) * 2005-04-21 2010-03-09 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using a multiple antisymmetric optimal control arrangement
JP4673173B2 (ja) * 2005-09-15 2011-04-20 株式会社日立ハイテクノロジーズ プラズマエッチング方法
JP5082229B2 (ja) 2005-11-29 2012-11-28 東京エレクトロン株式会社 プラズマ処理装置
US20070151668A1 (en) * 2006-01-04 2007-07-05 Tokyo Electron Limited Gas supply system, substrate processing apparatus, and gas supply method
US20070241454A1 (en) * 2006-04-13 2007-10-18 Jun-Ming Chen Capture ring
US20070249173A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch process using etch uniformity control by using compositionally independent gas feed
US7431859B2 (en) 2006-04-28 2008-10-07 Applied Materials, Inc. Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
JP4801553B2 (ja) * 2006-09-28 2011-10-26 東京エレクトロン株式会社 エッチング方法及び半導体デバイスの製造方法
US9405298B2 (en) * 2006-11-20 2016-08-02 Applied Materials, Inc. System and method to divide fluid flow in a predetermined ratio
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
KR101119627B1 (ko) * 2007-03-29 2012-03-07 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
JP5438260B2 (ja) 2007-03-29 2014-03-12 東京エレクトロン株式会社 プラズマ処理装置
KR100872312B1 (ko) * 2007-05-04 2008-12-05 주식회사 디엠에스 에칭가스 제어시스템
US8202393B2 (en) 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
JP5459895B2 (ja) * 2007-10-15 2014-04-02 Ckd株式会社 ガス分流供給ユニット
JP5374853B2 (ja) 2007-10-17 2013-12-25 東京エレクトロン株式会社 プラズマ処理装置
JP5192214B2 (ja) 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
US20090221149A1 (en) * 2008-02-28 2009-09-03 Hammond Iv Edward P Multiple port gas injection system utilized in a semiconductor processing system
US20090272717A1 (en) * 2008-03-21 2009-11-05 Applied Materials, Inc. Method and apparatus of a substrate etching system and process
JP2008166853A (ja) * 2008-03-28 2008-07-17 Hitachi Ltd プラズマエッチング装置
US20100084023A1 (en) * 2008-10-07 2010-04-08 Chris Melcer Flow control module for a fluid delivery system
KR101386552B1 (ko) * 2009-08-20 2014-04-17 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 방법과 플라즈마 에칭 처리 장치 및 방법
JP2011192664A (ja) * 2010-03-11 2011-09-29 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置
US20110265883A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control

Also Published As

Publication number Publication date
CN102473634A (zh) 2012-05-23
WO2011021539A1 (ja) 2011-02-24
TWI414017B (zh) 2013-11-01
US20140262025A1 (en) 2014-09-18
CN102473634B (zh) 2015-02-18
US20120190208A1 (en) 2012-07-26
KR101386552B1 (ko) 2014-04-17
TW201137966A (en) 2011-11-01
US8771537B2 (en) 2014-07-08
US10224220B2 (en) 2019-03-05

Similar Documents

Publication Publication Date Title
KR101386552B1 (ko) 플라즈마 처리 장치 및 방법과 플라즈마 에칭 처리 장치 및 방법
KR101772723B1 (ko) 플라즈마 처리 방법
JP4943047B2 (ja) 処理装置及び処理方法
KR101176061B1 (ko) 천판 및 플라즈마 처리 장치
KR100978966B1 (ko) 기판 처리 방법 및 기판 처리 장치
KR101076469B1 (ko) 플라즈마 성막 장치 및 플라즈마 성막 방법
US10418224B2 (en) Plasma etching method
KR102523730B1 (ko) 이중 주파수 표면파 플라즈마 소스
JP5410882B2 (ja) プラズマエッチング処理装置とプラズマエッチング処理方法
JP4093212B2 (ja) プラズマ処理装置
JP2008235611A (ja) プラズマ処理装置及びプラズマ処理方法
JP2012049376A (ja) プラズマ処理装置およびプラズマ処理方法
KR101411171B1 (ko) 플라즈마 처리 장치
US20150096882A1 (en) Plasma processing apparatus and plasma processing method
JP2012094911A (ja) プラズマ処理装置及び処理方法
JP5410881B2 (ja) プラズマ処理装置とプラズマ処理方法
KR20010002743A (ko) 산화막 식각 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170322

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180329

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190328

Year of fee payment: 6