TW201740501A - 晶圓傳送用的晶圓升降環系統 - Google Patents

晶圓傳送用的晶圓升降環系統 Download PDF

Info

Publication number
TW201740501A
TW201740501A TW106104690A TW106104690A TW201740501A TW 201740501 A TW201740501 A TW 201740501A TW 106104690 A TW106104690 A TW 106104690A TW 106104690 A TW106104690 A TW 106104690A TW 201740501 A TW201740501 A TW 201740501A
Authority
TW
Taiwan
Prior art keywords
substrate
substrate support
ring
height
lift
Prior art date
Application number
TW106104690A
Other languages
English (en)
Other versions
TWI736584B (zh
Inventor
布萊恩 塞文森
伊弗霖 安格洛夫
詹姆斯 尤金 卡朗
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201740501A publication Critical patent/TW201740501A/zh
Application granted granted Critical
Publication of TWI736584B publication Critical patent/TWI736584B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

一種基板支撐件包含:一內部部分,該內部部分係用以支撐一基板;圍繞該內部部分的一升降環,該升降環係用以支撐該基板之外邊緣;及一控制器,該控制器係用以控制一作動器而藉由使該升降環及該基板支撐件的該內部部分其中至少一者選擇性地升高及降低以調整該升降環相對於該內部部分的一高度。為了調整該升降環的該高度,該控制器選擇性地進行以下步驟:將該升降環的該高度調整至一傳送高度,該傳送高度係用以將該基板傳送至該升降環、及從該升降環取回該基板;及將該升降環的該高度調整至一處理高度,該處理高度係用於該基板之處理。

Description

晶圓傳送用的晶圓升降環系統
[相關申請案之交互參照]本申請案主張2016年2月16日申請之美國臨時專利申請案第62/295808號。前面引述的申請案以全文併入本案之參考資料。
本揭露內容係關於在基板處理系統中從基板支撐件抬升基板或晶圓之系統及方法。
這裡所提供之先前技術描述係為了大體上呈現本發明之背景。在此先前技術章節中敘述的成果之範圍內之本案列名之發明人的成果、以及在申請期間不適格作為先前技術之說明書的實施態樣,皆非有意地或暗示地被承認為對抗本發明之先前技術。
一基板處理系統可用以蝕刻基板(例如,半導體晶圓)上的膜。該基板處理系統一般包含處理腔室、氣體分配裝置、及基板支撐件(例如,靜電卡盤、或ESC)。在處理期間,基板係佈置在基板支撐件上。可將不同的氣體混合物導入處理腔室中,並可使用射頻(RF)電漿來活化化學反應。
基板支撐件可包含一升降機構,該升降機構係用以於處理等期間抬升及降低基板,以將其傳送至基板支撐件及離開基板支撐件。例如,可將一或更多升降銷佈置在基板下方的基板支撐件中。升降銷係加以選擇性地控制(例如,電機式、氣動式等)以與基板之底部表面接合而使基板相對於基板支撐件上升或下降。
一種基板支撐件包含:一內部部分,該內部部分係用以支撐一基板;圍繞該內部部分的一升降環,該升降環係用以支撐該基板之外邊緣;及一控制器,該控制器係用以控制一作動器而藉由使該升降環及該基板支撐件的該內部部分其中至少一者選擇性地升高及降低以調整該升降環相對於該內部部分的一高度。為了調整該升降環的該高度,該控制器選擇性地進行以下步驟:將該升降環的該高度調整至一傳送高度,該傳送高度係用以將該基板傳送至該升降環、及從該升降環取回該基板;及將該升降環的該高度調整至一處理高度,該處理高度係用於該基板之處理。該處理高度係低於該傳送高度。
一種操作基板支撐件的方法包含:設置一升降環,該升降環係用以圍繞該基板支撐件的一內部部分、及支撐一基板的一外邊緣;及控制一作動器以藉由使該升降環及該基板支撐件的該內部部分其中至少一者選擇性地升高及降低而調整該升降環相對於該內部部分的一高度。該調整該升降環之該高度的步驟包含選擇性地進行以下步驟:將該升降環的該高度調整至一傳送高度,該傳送高度係用以將該基板傳送至該升降環、及從該升降環取回該基板;及將該升降環的該高度調整至一處理高度,該處理高度係用於該基板之處理,其中該處理高度係低於該傳送高度。
本揭露內容之進一步的可應用領域將從實施方式、發明申請專利範圍及圖式中變得明顯。詳細說明及具體範例係意圖為僅供說明的目的,而非意欲限制本揭示內容的範圍。
基板處理系統中的基板支撐件可包含一或更多升降銷,該一或更多升降銷係用以抬升基板以將其傳送至基板支撐件或離開基板支撐件。該等升降銷可佈置在基板之中央或內部部分的下方並向上作動以接觸並抬升基板離開基板支撐件。
基板支撐件可設有電機式及/或氣動式升降機構以使升降銷作動。升降機構係相對昂貴的,且需要額外的硬體、維護、及在基板支撐件下方服務的設施。在一些範例中,升降銷與對基板下側之電弧放電、在升降銷各別的空腔中之空心陰極放電等係相關聯的。另外,升降機構在基板支撐件下方佔據了額外的空間,從而使得基板支撐件的底板、加熱元件等之設計變得複雜。
根據本揭露內容之原理的基板(換言之,晶圓)升降系統及方法提供一升降環,該升降環至少部分地圍繞基板之周緣。升降環的內邊緣包含支撐基板的凸耳(ledge)或唇部(lip)。升降環係藉由升降銷而選擇性地升高及降低。舉例而言,一些基板支撐件係用以選擇性地升高及降低以調整基板與氣體分配裝置之間的距離。在本發明之基板升降系統及方法的一些範例中,降低基板支撐件導致升降銷的下端與在固定位置的升降銷停止件接合。因此,當基板支撐件繼續降低時,升降銷係藉由升降銷停止件而固定在固定位置,從而導致升降環將基板從基板支撐件抬升。在其它範例中,升降銷停止件可選擇性地升高及降低以使升降銷升高及降低。在一範例中,升降銷停止件可由接地的導電材料所形成。
在範例中,設置了三個升降銷。該等升降銷可相等地間隔於升降環下方。升降銷係由介電材料製成且佈置在一介電環中,該介電環係圍繞該基板支撐件的外周緣而設置。升降環(例如,C形環)可包含三個凹部(例如,槽式凹部),該等凹部係佈置成對應於各別的升降銷。例如,該等凹部接收各別升降銷的上端,以使升降環環繞基板支撐件而徑向對準。 升降環的內直徑可略小於基板的外直徑。因此,一內邊緣(例如,唇部或凸耳)支撐著基板的外邊緣。例如,升降環的內邊緣可包含一凹部,該凹部之外直徑略大於該基板之外直徑。
該升降環並非為連續的(換言之,升降環並非為完整、無中斷的圓或環)。確切而言,當升降環從基板支撐件升起時,升降環的一區段(例如,將其稱為橋部)可自升降環分離以提供一開口。因此,晶圓傳送機械臂的末端作用器可經由該開口而進入該升降環中,以將基板放置於基板支撐件及從基板支撐件取回基板。該橋部相對於基板及基板支撐件(例如,靜電卡盤、或ESC)保持靜止。
由於升降銷並非位於基板下方的基板支撐件內,升降銷不會影響基板支撐件之表面的熱均勻性,從而簡化並降低了任何用以控制熱均勻性的設備之複雜性。此外,升降銷不會提供通至基板之下側的電弧路徑,而與升降銷對應的空腔不位於基板的下方且因此不會促成在基板下方的空心陰極放電。
現在參照圖1,根據本揭露內容,其顯示了用以蝕刻基板之層(僅舉例而言,鎢或W層)的基板處理腔室100之範例。雖然顯示並描述特定的基板處理腔室,但本文中所描述的方法可在其他類型的基板處理系統上實行。
基板處理腔室100包含下腔室區域102及上腔室區域104。下腔室區域102係由腔室側壁表面108、腔室底部表面110、及氣體分配裝置114的下表面所界定。
上腔室區域104係由氣體分配裝置114的上表面、及圓頂118的內表面所界定。在一些範例中,圓頂118係置於第一環形支撐件121上。在一些範例中,第一環形支撐件121包含用以輸送處理氣體至上腔室區域104的一或更多間隔的孔123(以下將進一步描述)。在一些範例中,處理氣體係藉由該一或更多間隔的孔123而在向上方向上以一銳角(相對於包含氣體分配裝置114之平面)輸送,但是亦可使用其它角度/方向。在一些範例中,在第一環形支撐件121中的氣體流動通道134將氣體供應至一或更多間隔的孔123。
第一環形支撐件121可置於第二環形支撐件125上,該第二環形支撐件125界定了用以從氣體流動通道129輸送處理氣體至下腔室區域102的一或更多間隔的孔127。在一些範例中,氣體分配裝置114中的孔131係與孔127對準。在其它範例中,氣體分配裝置114具有較小的直徑且不需要孔131。在一些範例中,處理氣體係藉由一或更多間隔的孔127而在向下方向上朝基板以一銳角(相對於包含氣體分配裝置114之平面)輸送,但是亦可使用其它角度/方向。
在其他範例中,上腔室區域104為具有平坦的頂部表面之圓柱形,且可使用一或更多平坦的感應線圈。在更其他範例中,可使用在噴淋頭與基板支撐件之間具有一間隔部的單一腔室。
基板支撐件122係設置在下腔室區域102中。在一些範例中,基板支撐件122包含靜電卡盤(ESC),但是亦可使用其它類型的基板支撐件。基板126於蝕刻期間係設置在基板支撐件122的上表面上。在一些範例中,基板126的溫度可藉由加熱板136、具有流體通道之可選性的冷卻板、及一或更多感測器(未顯示)而控制 ,但是亦可使用任何其他合適的基板支撐件溫度控制系統。
在一些範例中,氣體分配裝置114包含一噴淋頭(例如,具有複數間隔的孔138的板128)。該複數間隔的孔138從板128的上表面延伸至板128的下表面。在一些實施例中,間隔的孔138具有在從0.4英吋至0.75英吋之範圍內的直徑,且噴淋頭係由導電材料(例如,鋁)或具有由導電材料製成之嵌入式電極的非導電材料(例如,陶瓷)所製成。
一或更多感應線圈140係圍繞圓頂118的外部而設置。當通電時,一或更多感應線圈140於圓頂118內產生電磁場。在一些範例中,使用上線圈及下線圈。氣體注入器142注入來自氣體輸送系統150-1的一或更多氣體混合物。
在一些實施例中,氣體輸送系統150-1包含一或更多氣體來源152、一或更多閥154、一或更多質量流量控制器(MFC) 156、及一混合歧管158,但是亦可使用其他類型的氣體輸送系統。可使用一氣體分流器(未顯示)以改變氣體混合物的流率。可使用另一氣體輸送系統150-2以供應蝕刻氣體或蝕刻氣體混合物至氣體流動通道129及/或134(在來自氣體注入器142的蝕刻氣體之外另外供應,或取代來自氣體注入器142的蝕刻氣體)。
合適的氣體輸送系統係顯示並描述於共同受讓的美國專利申請案第14/945,680號(發明名稱為「Gas Delivery System」,申請日為2015年12月4日)中,其內容係藉由參照完整納入本文中。合適的單一或雙氣體注入器及其它氣體注入位置係顯示並描述於共同受讓的美國臨時專利申請案第62/275,837號(發明名稱為「Substrate Processing System with Multiple Injection Points and Dual Injector」,申請日為2016年1月7日)中,其內容係完整納入本文中做為參照。
在一些範例中,氣體注入器142包含以向下方向引導氣體的一中央注入位置、及以相對於向下方向的一角度注入氣體的一或更多側邊注入位置。在一些範例中,氣體輸送系統150-1以第一流率將氣體混合物的第一部分輸送至氣體注入器142的中央注入位置,並以第二流率將氣體混合物的第二部分輸送至氣體注入器142的側邊注入位置。在其它範例中,藉由氣體注入器142輸送不同的氣體混合物。在一些實施例中,氣體輸送系統150-1輸送調諧氣體至氣體流動通道129與134、及/或至將於以下描述之處理腔室中的其它位置。
電漿產生器170可用以產生輸出至一或更多感應線圈140的RF功率。電漿190係於上腔室區域104中產生。在一些實施例中,電漿產生器170包含RF產生器172、及匹配網路174。匹配網路174將RF產生器172的阻抗與一或更多感應線圈140的阻抗相匹配。在一些範例中,氣體分配裝置114係連接至一參考電位(例如接地)。閥178及泵浦180可用以控制下腔室區域102及上腔室區域104內的壓力及將反應物抽空。
控制器176與氣體輸送系統150-1與150-2、閥178、泵浦180、及/或電漿產生器170通訊以控制吹掃氣體(purge gas)、處理氣體之流量、RF電漿及腔室壓力。在一些範例中,電漿係藉由一或更多感應線圈140而維持在圓頂118內。一或更多氣體混合物係藉由使用氣體注入器142 (及/或孔123)而從腔室的頂部部分導入,且電漿係藉由使用氣體分配裝置114而限制圓頂118中。
將電漿限制在圓頂118中使得電漿物種得以進行體積復合(volume recombination),並使得想要的蝕刻劑物種得以透過氣體分配裝置114而流出。在一些範例中,沒有施加RF偏壓至基板126。因此,基板126上不存在有效的鞘層且離子不以任何有限的能量撞擊基板。一些量的離子會透過氣體分配裝置114而擴散離開電漿區域。然而,擴散的電漿量較位於圓頂118內的電漿低了一個數量級。電漿中大部分的離子由於高壓下的體積復合而損失。在氣體分配裝置114之上表面上的表面復合損失(surface recombination loss)亦降低了氣體分配裝置114下方的離子密度。
在其它範例中,設置一RF偏壓產生器184,該RF偏壓產生器184包含RF產生器186及匹配網路188。RF偏壓可用以在氣體分配裝置114與基板支撐件之間產生電漿,或在基板126上產生自偏壓以吸引離子。控制器176可用以控制RF偏壓。
基板支撐件122包含一升降環192。基板支撐件122更包含一支撐環194。位於支撐環194中的升降銷196支撐著升降環192。升降環192的內邊緣支撐並固定基板126。根據本揭露內容之原理的升降環192相對於基板支撐件122為可動的(例如,可在垂直方向向上及向下移動的)。例如,當基板支撐件122下降時,升降環192係保持在固定位置,使得基板126被從基板支撐件122抬升或升起(如下面更詳細地描述)。在其它範例中,使升降銷196升起以抬升升降環192及基板126。
現在參照圖2A、2B、2C、2D、及2E,根據本揭露內容之原理,其顯示了範例性基板支撐件200,該基板支撐件200具有佈置於其上的一基板204。基板支撐件200可包含具有內部部分(例如,對應於ESC)208及外支撐環212的基部或基座。在圖2A中,基板支撐件200係顯示為具有處於完全降低位置的升降環216。在圖2B及2C中,基板支撐件200係顯示為具有處於升高位置的升降環216。例如,在圖2B中,在包含內部部分208及外環212的基板支撐件200降低(例如,相對於基板處理腔室之底部表面220)的同時,升降銷224保持靜止以使升降環216自基板支撐件200分離。相反地,在圖2C中,在基板支撐件200保持靜止的同時,使升降銷224向上作動(例如,相對於底部表面220)以與升降環216接合並將其抬升至升高位置。因此,在各樣的實施例中,可對基板支撐件200進行配置,使得升降環216可相對於基板支撐件200升起以將基板204抬升至傳送位置,及/或使得基板支撐件200可降低以使升降環216及基板204升高至傳送位置。
圖2D及2E更詳細地顯示了升降環216及基板204(分別以近視圖及平面圖)。升降環216的內邊緣228係對應於支撐基板204之外邊緣232的凸耳或唇部。例如,升降環216包含用以接收基板204之外邊緣232的凹部236。例如,升降環216的內邊緣228之內直徑係小於基板204的外邊緣232之外直徑。相反地,凹部236的外直徑係大於基板204的外邊緣232之外直徑。如圖2D中所示,升降環216的底部表面包含各別的凹部240,該等凹部係用以容納各別的升降銷224。因此,凹部240捕捉並固定升降銷224,且升降銷224對應地保持了升降環216的徑向對準。
如圖2E中所示,升降環216包含橋部244。橋部244係與升降環216分開的,並藉此相對於基板支撐件200及升降環216保持在固定位置。因此,在升降環216相對於基板支撐件200升起之範例中,橋部244不被升起、並藉此從升降環216分離。相反地,在基板支撐件200相對於升降環216下降之範例中,橋部244亦被降低、並藉此從升降環216分離。當橋部244及升降環216分離時,開口248係界定於升降環216中。例如,升降環216可為「C形」,且橋部係與「C形」的開口248對準。開口248使升降環216得以接收晶圓傳送機械臂的末端作用器以從升降環216捕捉並傳送基板204 。
橋部244於接合部252鄰接升降環216。例如,接合部252係對應於搭接式接合部(例如,升降環216的各末端分別與橋部244的各末端相重疊)。可對接合部252加以配置,以使在升降環216與橋部244的各別末端之間的空間中的自由體積最小化而防止接合部252中的寄生電漿點燃。如圖所示,接合部252係對應於徑向切口(換言之,接合部252係對應於與升降環216所界定之圓垂直的線)。因此,接合部252係以橋部244及升降環216的各別邊緣形成直角。在其他範例中,可用不同的角度形成接合部252。
圖3顯示了另一範例性基板支撐件300之橫剖面。基板支撐件300包含支撐升降銷308的外環304。升降銷308支撐升降環312。升降環312係顯示為處於升高位置(例如,對應於升降銷308係作動至升高位置、及/或基板支撐件300係作動至降低位置)。
升降環312包含橋部316,橋部316與升降環312為分開的。橋部316係保持在固定位置,俾使當升降環312升高及/或基板支撐件300下降時,橋部316從升降環312分離。使橋部316從升降環312分離(亦即,藉由將升降環312之高度調整至傳送高度)於升降環312中界定了開口320。開口320使得升降環312得以接收末端作用器324以將基板傳送至升降環312及將基板傳送離開升降環312。升降環312的末端328係配置成與橋部316形成搭接式接合部。僅舉例而言,傳送高度可對應於一機械臂之末端執行器的厚度,該機械臂係用以將基板傳送至升降環312及將基板傳送離開升降環312。換言之,傳送高度係對應於一高度,在該高度下於基板的底部表面與橋部316的上表面之間的距離d至少大於末端作用器的厚度以容許末端作用器插入至基板與橋部316之間。
圖4A及圖4B顯示了基板支撐件400的升降機構之範例性實行例。在圖4A中,控制器404控制馬達或作動器408以使基板支撐件400選擇性地升高及降低。僅舉例而言,馬達408使驅動軸或螺桿412旋轉以將基板支撐件400選擇性地升高及降低。當基板支撐件400下降時,升降銷416與升降銷停止件420接合,導致升降環424及基板428保持靜止。因此,升降環424相對於基板支撐件400上升。
相反地,在圖4B中,控制器404控制馬達408以使升降銷416選擇性地升高及降低,同時基板支撐件400保持靜止。因此,升降環424相對於基板支撐件400上升。
現在參照圖5,範例性控制器500(例如,對應於控制器404)包含一升降環高度控制模組504,該升降環高度控制模組504係用以選擇性地控制升降環相對於基板支撐件的高度。升降環高度控制模組504接收一或更多輸入508,該一或更多輸入508包含(但不限於)指示將基板傳送至處理腔室、或從處理腔室取回基板之輸入。例如,輸入508可包含了基板上之處理已經完成、使用者已手動請求(例如,經由一外部介面)基板之傳送或取回等指示。在一些範例中,輸入508可包含傳輸自機械臂或機械臂控制器的一信號,該信號指示機械臂係定位以傳送或取回基板。
升降環高度控制模組504回應輸入508而控制升降環的高度。例如,升降環高度控制模組504與馬達控制模組512進行通訊。馬達控制模組512回應升降環高度控制模組504而輸出一或更多控制信號以控制各別的馬達(例如,圖4A中所示的馬達408、圖4B中所示的馬達408等)。
在一些範例中,控制器500包含記憶體516,該記憶體516儲存與升降環之高度控制相關聯的數據。所儲存的數據可包含由升降環高度控制模組504擷取及/或執行的程式、演算法、模型等。例如,數據可包含複數控制參數,該等控制參數係與基於所收到之輸入508指示的各樣條件來控制升降環高度有關聯。
現在參照圖6,根據本揭露內容,用以調整升降環之高度以傳送基板的範例性方法600開始於604。在608,將升降環相對於基板支撐件的高度調整至一傳送高度(例如,藉由使用控制器500)。例如,使升降環升高,或使基板支撐件的內部部分降低(如上面於圖1-5中所述)。僅舉例而言,傳送高度可對應於一機械臂之末端執行器的厚度,該機械臂係用以將基板傳送至升降環及將基板傳送離開升降環。換言之,傳送高度係對應於一高度,在該高度下於基板的底部表面與橋部的上表面之間的距離至少大於末端作用器的厚度以容許末端作用器插入至基板與橋部之間。在一範例中,記憶體516可儲存使一或更多傳送機械臂與各別的傳送高度相關聯的查閱表或其他數據。換言之,控制器500可用以基於傳送機械臂之尺寸(例如,機械臂之末端執行器的厚度)而將升降環調整至足夠的傳送高度。
在612,將基板設置於升降環上。在616,將升降環的高度調整至一處理高度(換言之,藉由降低升降環或升高基板支撐件的內部部分而將基板佈置於基板支撐件上)。在620,於基板上執行一或更多處理步驟。在624,方法600(例如,控制器500)判定是否要取回基板。例如,控制器500可判定處理完成且機械臂係就定位以取回基板。若成立,則方法600繼續至628。若不成立,則方法600繼續至620。
在628,將升降環的高度調整至傳送高度。在632,從升降環取回基板。方法600於636結束。
以上所述在本質上僅為說明且係決非意欲限制本揭示內容、其應用、或使用。本揭示內容的廣泛教示可以多種方式執行。因此,雖然此揭示內容包含特殊的例子,但本揭示內容的真實範圍應不被如此限制,因為其他的變化將在研讀圖示、說明書及以下申請專利範圍後變為顯而易見。吾人應理解方法中的一或多個步驟可以不同的順序(或同時)執行而不改變本揭示內容的原理。另外,儘管每個實施例中皆於以上敘述為具有特定的特徵,但相關於本揭示內容之任何實施例中所敘述的該等特徵之任何一或多者可在其他實施例之任一者的特徵中實施、及/或與之組合而實施,即使該組合並未明確說明亦然。換言之,上述實施例並非互相排除,且一或多個實施例之間的排列組合仍屬於本揭示內容的範圍內。
元件之間(例如,在模組、電路元件,半導體層等之間)的空間和功能上的關係係使用各種術語來表述,其中包括「連接」、「接合」、「耦接」、「相鄰」、「接近」、「在頂端」、「上方」、「下方」和「配置」。除非明確敘述為「直接」,否則當於上述揭示內容中描述第一和第二元件之間的關係時,該關係可為第一及二元件之間沒有其他中間元件存在的直接關係,但也可為第一及二元件之間(空間上或功能上)存在一或多個中間元件的間接關係。如本文中所使用,詞組「A、B和C中至少一者」應解讀為意指使用非排除性邏輯OR的邏輯(A OR B OR C),且不應解讀為「A中至少一者、B中至少一者、及C中至少一者」。
在一些實行例中,控制器為系統的一部分,其可為上述範例的一部分。此等系統可包括半導體處理設備,其包含一個以上處理工具、一個以上腔室、用於處理的一個以上平臺、及/或特定處理元件(晶圓基座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板處理之前、期間、及之後控制這些系統的操作。電子設備可稱作為「控制器」,其可控制該一個以上系統之各種的元件或子部分。依據系統的處理需求及/或類型,控制器可加以編程以控制本文中所揭露的任何製程,其中包含:處理氣體的輸送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、出入工具、及其他轉移工具、及/或與特定系統連接或介接的負載鎖之晶圓傳送。
廣義而言,控制器可定義為電子設備,其具有各種不同的積體電路、邏輯、記憶體、及/或軟體,其接收指令、發布指令、控制操作、啟用清潔操作、啟用終點量測等。積體電路可包含儲存程式指令之韌體形式的晶片、數位信號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一或多個微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)的形式與控制器通訊的指令,該等設定定義了用以在半導體晶圓上、對基板、或系統執行特定製程的操作參數。在一些實施例中,該等操作參數可為由製程工程師定義之配方的部分,以在一或多個層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒之製造期間內完成一或多個處理步驟。
在一些實行例中,控制器可為電腦的一部分或連接至電腦,該電腦係與系統整合、連接至系統、以其他方式網路連至系統、或其組合。舉例而言,控制器可為在「雲端」或工廠主機電腦系統的整體或部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監測製造操作的當前進度、檢查過往製造操作的歷史、檢查來自複數個製造操作的趨勢或性能度量、改變目前處理的參數、設定目前操作之後的處理步驟、或開始新的處理。在一些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方給系統,該網路可包含區域網路或網際網路。遠端電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠端電腦被傳遞至系統。在一些例子中,控制器接收數據形式的指令,該數據明確指定於一或多個操作期間將被執行之各個處理步驟的參數。吾人應理解參數可專門用於將執行之製程的類型與配置控制器以介接或控制之工具的類型。因此,如上面所述,控制器可為分散式的,例如藉由包含一或多個分散的控制器,其由網路連在一起且朝共同的目的(例如本文中所述之製程及控制)作業。一個用於此等目的之分散式控制器的例子將為腔室上的一或多個積體電路,連通位於遠端(例如在平台級或作為遠端電腦的一部分)的一或多個積體電路,其結合以控制腔室中的製程。
不受限制地,示例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何可關聯或使用於半導體晶圓的製造及/或生產中之其他的半導體處理系統。
如上面所述,依據將由工具執行的一個以上處理步驟,控制器可與下述通訊:一或多個其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一個控制器、或用於材料傳送的工具,該等用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或裝載埠。
以上所述在本質上僅為說明且係決非意欲限制本揭示內容、其應用、或使用。本揭示內容的廣泛教示可以多種方式執行。因此,雖然此揭示內容包含特殊的例子,但本揭示內容的真實範圍應不被如此限制,因為其他的變化將在研讀圖示、說明書及以下申請專利範圍後變為顯而易見。吾人應理解方法中的一或多個步驟可以不同的順序(或同時)執行而不改變本揭示內容的原理。另外,儘管每個實施例中皆於以上敘述為具有特定的特徵,但相關於本揭示內容之任何實施例中所敘述的該等特徵之任何一或多者可在其他實施例之任一者的特徵中實施、及/或與之組合而實施,即使該組合並未明確說明亦然。換言之,上述實施例並非互相排除,且一或多個實施例之間的排列組合仍屬於本揭示內容的範圍內。
元件之間(例如,在模組、電路元件,半導體層等之間)的空間和功能上的關係係使用各種術語來表述,其中包括「連接」、「接合」、「耦接」、「相鄰」、「接近」、「在頂端」、「上方」、「下方」和「配置」。除非明確敘述為「直接」,否則當於上述揭示內容中描述第一和第二元件之間的關係時,該關係可為第一及二元件之間沒有其他中間元件存在的直接關係,但也可為第一及二元件之間(空間上或功能上)存在一或多個中間元件的間接關係。如本文中所使用,詞組「A、B和C中至少一者」應解讀為意指使用非排除性邏輯OR的邏輯(A OR B OR C),且不應解讀為「A中至少一者、B中至少一者、及C中至少一者」。
在本申請案中,包含以下定義,術語「模組」或術語「控制器」可以術語「電路」加以取代。術語「模組」可意指下列裝置、為下列裝置的一部分、或包含下列裝置:特殊應用積體電路(ASIC);數位、類比、或混合類比/數位離散電路;數位、類比、或混合類比/數位積體電路;組合邏輯電路;場可程式閘陣列(FPGA);用以執行程式碼的(共用、專用、或集體)處理器;用以儲存由處理器所執行之碼的(共用、專用、或集體)記憶體;其他用以提供所述功能的合適硬體元件;或上述裝置其中某些或全部之組合,例如在一系統晶片(system-on-chip)中。
模組可包含一或更多介面電路。在一些範例中,介面電路可包含有線或無線的介面,其連接到區域網路(LAN) 、網際網路、廣域網路 (WAN)、或其組合。可將本揭露內容的任何特定模組之功能分散於透過介面電路而連接的多個模組中。例如,多個模組使得負載平衡 (load balancing)得以進行。在另外的範例中,伺服器(亦稱為遠端、或雲端)模組可代替客戶模組完成一些功能。
如上面所使用,術語「程式碼」可包含軟體、韌體、及/或微程式碼,且可意指程式、程序、功能、類程、資料結構、及/或物件。術語「共享處理器電路」涵蓋了執行來自多個模組的一些或全部程式碼的單一處理器電路。術語「群組處理器電路」涵蓋了結合額外處理器的處理器電路,其執行來自一或更多的模組的一些或全部的程式碼。當提及多個處理器電路時,其涵蓋了分立式晶片上的多個處理器電路、單一晶片上的多個處理器電路、單一處理器電路的多個核心、單一處理器電路的多個線程、或上述之組合。術語「共享記憶體電路」涵蓋了儲存來自多個模組的一些或全部的程式碼的單一記憶體電路。術語「群組記憶體電路」涵蓋了結合額外記憶體的記憶體電路,其儲存來自一或更多的模組的一些或全部的程式碼。
術語「記憶體電路」可為術語「電腦可讀媒體」之子集。如本文中所使用,術語「電腦可讀媒體」不涵蓋透過介質(例如載波)所傳播的暫態電子或電磁訊號,因此術語「電腦可讀媒體」可被認為係有形的及非暫態的。非暫態有形電腦可讀媒體之非限定範例包含非依電性記憶體電路(例如:快閃記憶體電路、可抹除唯讀記憶體電路、或遮罩唯讀記憶體電路)、依電性記憶體電路(例如:靜態隨機存取記憶體電路及動態隨機存取記憶體電路)、磁性儲存裝置(例如:類比或數位磁帶、或硬碟)、及光學儲存媒體(例如:CD、DVD、或藍光光碟)。
本申請案中所述之設備及方法可部分地或完整地透過特殊用途電腦來實行,該特殊用途電腦係藉由配置一般用途電腦來執行一或更多植入電腦程式中之特定功能而產生。上面所述的功能性方塊、流程圖要素、及其他要素係作為軟體說明之用,該軟體說明可藉由熟習技術之技術人員或編程人員的慣常程序作業而轉譯為電腦程式。
電腦程式包含處理器可執行的指令,該等指令係儲存於至少一非暫態有形電腦可讀媒體上。電腦程式亦可包含及/或依靠儲存的資料。電腦程式可涵蓋與特殊用途電腦之硬體互動的基本輸入/輸出系統(BIOS,basic input/output system)、與特殊用途電腦的特定元件互動的裝置驅動程式、一或更多操作系統、使用者應用程式、幕後服務、及幕後應用程式等。
電腦程式可包含:(i)符合語法的描述性文本,例如HTML(超文本標記式語言)或XML(可擴展標記式語言);(ii)組合碼;(iii)由編譯器從來源碼產生的目的碼;(iv)用於由直譯器執行的來源碼;(v)用於由即時編譯器編碼與執行的來源碼等。僅以舉例而言,來源碼可藉由使用來自包含下列各項之語言的語法來寫入:C、C++、C#、Objective-C、Haskell、Go、SQL、R 、Lisp 、Java®、 Fortran、Perl、Pascal、Curl、OCaml、Javascript®、HTML5、Ada、ASP(動態伺服器網頁)、PHP、Scala、Eiffel、Smalltalk、Erlang、Ruby、Flash®、Visual Basic®、Lua、及Python®。
吾人不欲任何敘述於申請專利範圍中的元件成為美國專利法§112(f)文意中的手段功能用語之元件,除非元件直接使用「用於…之手段」之措辭來敘述,或在使用「用於…的操作」或「用於…的步驟」的措辭之方法請求項情況下。
100‧‧‧基板處理腔室
102‧‧‧下腔室區域
104‧‧‧上腔室區域
108‧‧‧腔室側壁表面
110‧‧‧腔室底部表面
114‧‧‧氣體分配裝置
118‧‧‧圓頂
121‧‧‧第一環形支撐件
122‧‧‧基板支撐件
123‧‧‧孔
125‧‧‧第二環形支撐件
126‧‧‧基板
127‧‧‧孔
128‧‧‧板
129‧‧‧氣體流動通道
131‧‧‧孔
134‧‧‧氣體流動通道
136‧‧‧加熱板
138‧‧‧孔
140‧‧‧感應線圈
142‧‧‧氣體注入器
150-1‧‧‧氣體輸送系統
150-2‧‧‧氣體輸送系統
152‧‧‧氣體來源
154‧‧‧閥
156‧‧‧質量流量控制器 (MFC)
158‧‧‧混合歧管
170‧‧‧電漿產生器
172‧‧‧RF產生器
174‧‧‧匹配網路
176‧‧‧控制器
178‧‧‧閥
180‧‧‧泵浦
184‧‧‧RF偏壓產生器
186‧‧‧RF產生器
188‧‧‧匹配網路
190‧‧‧電漿
192‧‧‧升降環
194‧‧‧支撐環
196‧‧‧升降銷
200‧‧‧基板支撐件
204‧‧‧基板
208‧‧‧內部部分
212‧‧‧外環
216‧‧‧升降環
220‧‧‧底部表面
224‧‧‧升降銷
228‧‧‧內邊緣
232‧‧‧外邊緣
236‧‧‧凹部
240‧‧‧凹部
244‧‧‧橋部
248‧‧‧開口
252‧‧‧接合部
300‧‧‧基板支撐件
304‧‧‧外環
308‧‧‧升降銷
312‧‧‧升降環
316‧‧‧橋部
320‧‧‧開口
324‧‧‧末端作用器
400‧‧‧基板支撐件
404‧‧‧控制器
408‧‧‧馬達/作動器
412‧‧‧驅動軸/螺桿
416‧‧‧升降銷
420‧‧‧升降銷停止件
424‧‧‧升降環
428‧‧‧基板
500‧‧‧控制器
504‧‧‧升降環高度控制模組
508‧‧‧輸入
512‧‧‧馬達控制模組
516‧‧‧記憶體
600‧‧‧方法
604‧‧‧步驟
608‧‧‧步驟
612‧‧‧步驟
616‧‧‧步驟
620‧‧‧步驟
624‧‧‧步驟
628‧‧‧步驟
632‧‧‧步驟
636‧‧‧步驟
本揭示內容從實施方式及隨附圖式可更完全了解,其中:
根據本揭露內容,圖1為範例性處理腔室的功能方塊圖;
根據本揭露內容,圖2A至2E顯示了具有升降環的範例性基板支撐件;
根據本揭露內容,圖3顯示了具有升降環的範例性基板支撐件之橫剖面圖;
根據本揭露內容,圖4A及4B顯示了處於升高位置及降低位置中的範例性升降環;
根據本揭露內容,圖5顯示了範例性控制器;及
根據本揭露內容,圖6顯示了調整升降環之高度以傳送基板的範例性方法之步驟。
在圖式中,元件符號可被再次使用以辨別相似及/或相同的元件。
400‧‧‧基板支撐件
404‧‧‧控制器
408‧‧‧馬達/作動器
412‧‧‧驅動軸/螺桿
416‧‧‧升降銷
420‧‧‧升降銷停止件
424‧‧‧升降環
428‧‧‧基板

Claims (18)

  1. 一種基板支撐件,包含: 一內部部分,用以支撐一基板; 一升降環,圍繞該內部部分,該升降環係用以支撐該基板之外邊緣;及 一控制器,用以控制一作動器而藉由使(i)該升降環及(ii)該基板支撐件的該內部部分其中至少一者選擇性地升高及降低以相對於該內部部分調整該升降環的一高度, 其中,為了調整該升降環的該高度,該控制器選擇性地進行以下步驟: 將該升降環的該高度調整至一傳送高度,該傳送高度係用以將該基板傳送至該升降環、及從該升降環取回該基板;及 將該升降環的該高度調整至一處理高度,該處理高度係用於該基板之處理,其中該處理高度係低於該傳送高度。
  2. 如申請專利範圍第1項之基板支撐件,其中該基板支撐件包含圍繞該內部部分的一外環,其中該外環容納用以與該升降環之底部表面接合的至少一升降銷。
  3. 如申請專利範圍第1項之基板支撐件,其中該升降環之內邊緣包含用以支撐該基板的一唇部(lip)。
  4. 如申請專利範圍第1項之基板支撐件,其中該升降環之內邊緣包含用以接收該基板的一凹部。
  5. 如申請專利範圍第1項之基板支撐件,其中該升降環之底部表面包含至少一凹部,該至少一凹部係用以接收被容納在該基板支撐件中的一升降銷。
  6. 如申請專利範圍第1項之基板支撐件,其中該升降環包含一C形部分及一橋部。
  7. 如申請專利範圍第6項之基板支撐件,其中該C形部分相對於該內部部分為可動的,且該橋部相對於該內部部分為靜止的。
  8. 如申請專利範圍第6項之基板支撐件,其中當該橋部與該C形部分分離時,該C形部分包含一開口。
  9. 如申請專利範圍第6項之基板支撐件,其中在該C形部分與該橋部之間的複數接合部以該C形部分與該橋部之內邊緣及外邊緣形成直角。
  10. 一種操作基板支撐件的方法,該方法包含: 設置一升降環,其中該升降環係配置成圍繞該基板支撐件的一內部部分、及支撐一基板的一外邊緣;及 控制一作動器以藉由使(i)該升降環及(ii)該基板支撐件的該內部部分其中至少一者選擇性地升高及降低而相對於該內部部分調整該升降環的一高度, 其中該調整該升降環之該高度的步驟包含選擇性地進行以下步驟: 將該升降環的該高度調整至一傳送高度,該傳送高度係用以將該基板傳送至該升降環、及從該升降環取回該基板;及 將該升降環的該高度調整至一處理高度,該處理高度係用於該基板之處理,其中該處理高度係低於該傳送高度。
  11. 如申請專利範圍第10項之操作基板支撐件的方法,更包含:設置圍繞該內部部分的一外環,其中該外環容納用以與該升降環之底部表面接合的至少一升降銷。
  12. 如申請專利範圍第10項之操作基板支撐件的方法,其中該升降環之內邊緣包含用以支撐該基板的一唇部。
  13. 如申請專利範圍第10項之操作基板支撐件的方法,其中該升降環之內邊緣包含用以接收該基板的一凹部。
  14. 如申請專利範圍第10項之操作基板支撐件的方法,其中該升降環之底部表面包含至少一凹部,該至少一凹部係用以接收被容納在該基板支撐件中的一升降銷。
  15. 如申請專利範圍第10項之操作基板支撐件的方法,其中該升降環包含一C形部分及一橋部。
  16. 如申請專利範圍第15項之操作基板支撐件的方法,其中該C形部分相對於該內部部分為可動的,且該橋部相對於該內部部分為靜止的。
  17. 如申請專利範圍第15項之操作基板支撐件的方法,其中當該橋部與該C形部分分離時,該C形部分包含一開口。
  18. 如申請專利範圍第15項之操作基板支撐件的方法,其中在該C形部分與該橋部之間的複數接合部以該C形部分與該橋部之內邊緣及外邊緣形成直角。
TW106104690A 2016-02-16 2017-02-14 晶圓傳送用的晶圓升降環系統 TWI736584B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662295808P 2016-02-16 2016-02-16
US62/295,808 2016-02-16
US15/427,528 US10438833B2 (en) 2016-02-16 2017-02-08 Wafer lift ring system for wafer transfer
US15/427,528 2017-02-08

Publications (2)

Publication Number Publication Date
TW201740501A true TW201740501A (zh) 2017-11-16
TWI736584B TWI736584B (zh) 2021-08-21

Family

ID=59562272

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106104690A TWI736584B (zh) 2016-02-16 2017-02-14 晶圓傳送用的晶圓升降環系統

Country Status (4)

Country Link
US (1) US10438833B2 (zh)
KR (1) KR102630917B1 (zh)
CN (1) CN107086196A (zh)
TW (1) TWI736584B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI687997B (zh) * 2018-01-24 2020-03-11 南韓商吉佳藍科技股份有限公司 包括與升降的引導部聯動的聯動部的基板處理裝置

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
SG10202002601QA (en) 2014-10-17 2020-05-28 Applied Materials Inc Cmp pad construction with composite material properties using additive manufacturing processes
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10204795B2 (en) 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US11011353B2 (en) * 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10763081B2 (en) 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
CN109841536A (zh) * 2017-11-29 2019-06-04 长鑫存储技术有限公司 边缘补偿系统、晶圆载台系统及晶圆安装方法
WO2019112903A1 (en) * 2017-12-05 2019-06-13 Lam Research Corporation System and method for edge ring wear compensation
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
KR102078241B1 (ko) * 2018-02-28 2020-02-19 주성엔지니어링(주) 반도체 기판 처리장치
JP7018801B2 (ja) * 2018-03-29 2022-02-14 東京エレクトロン株式会社 プラズマ処理装置、及び被処理体の搬送方法
JP7214021B2 (ja) * 2018-03-29 2023-01-27 東京エレクトロン株式会社 プラズマ処理装置、及び被処理体の搬送方法
CN110416046B (zh) * 2018-04-27 2022-03-11 中微半导体设备(上海)股份有限公司 一种极板间距可调容性耦合等离子体处理系统及其方法
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10600623B2 (en) 2018-05-28 2020-03-24 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10347500B1 (en) 2018-06-04 2019-07-09 Applied Materials, Inc. Device fabrication via pulsed plasma
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
CN112654655A (zh) 2018-09-04 2021-04-13 应用材料公司 先进抛光垫配方
KR102605121B1 (ko) * 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
US11279032B2 (en) 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US10964584B2 (en) 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
US11626305B2 (en) 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
US11370114B2 (en) 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
KR102649714B1 (ko) * 2020-10-27 2024-03-21 세메스 주식회사 기판 처리 장치 및 기판 반송 방법
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
WO2024030307A1 (en) * 2022-08-03 2024-02-08 Lam Research Corporation System and method to maintain constant clamping pressure during chamber rebooting and power failure instances
CN115881506B (zh) * 2023-03-02 2023-06-27 深圳市新凯来技术有限公司 等离子体调节装置及半导体刻蚀设备

Family Cites Families (191)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8004005A (nl) 1980-07-11 1982-02-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
US4431477A (en) 1983-07-05 1984-02-14 Matheson Gas Products, Inc. Plasma etching with nitrous oxide and fluoro compound gas mixture
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
EP0424299A3 (en) 1989-10-20 1991-08-28 International Business Machines Corporation Selective silicon nitride plasma etching
US6024826A (en) 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5329965A (en) 1993-07-30 1994-07-19 The Perkin-Elmer Corporation Hybrid valving system for varying fluid flow rate
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
JP3082624B2 (ja) * 1994-12-28 2000-08-28 住友金属工業株式会社 静電チャックの使用方法
US5605179A (en) 1995-03-17 1997-02-25 Insync Systems, Inc. Integrated gas panel
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5662143A (en) 1996-05-16 1997-09-02 Gasonics International Modular gas box system
US5744695A (en) 1997-01-10 1998-04-28 Sony Corporation Apparatus to check calibration of mass flow controllers
US6210593B1 (en) 1997-02-06 2001-04-03 Matsushita Electric Industrial Co., Ltd. Etching method and etching apparatus
KR100295518B1 (ko) 1997-02-25 2001-11-30 아끼구사 나오유끼 질화실리콘층의에칭방법및반도체장치의제조방법
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
JP3586075B2 (ja) 1997-08-15 2004-11-10 忠弘 大見 圧力式流量制御装置
US6074959A (en) 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6060400A (en) 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
JP3830670B2 (ja) 1998-09-03 2006-10-04 三菱電機株式会社 半導体製造装置
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US7150994B2 (en) 1999-03-03 2006-12-19 Symyx Technologies, Inc. Parallel flow process optimization reactor
WO2000063756A1 (fr) 1999-04-16 2000-10-26 Fujikin Incorporated Dispositif d'alimentation en fluide du type derivation parallele, et procede et dispositif de commande du debit d'un systeme de pression du type a fluide variable utilise dans ledit dispositif
US6210482B1 (en) 1999-04-22 2001-04-03 Fujikin Incorporated Apparatus for feeding gases for use in semiconductor manufacturing
DE60041341D1 (de) 1999-08-17 2009-02-26 Tokyo Electron Ltd Gepulstes plasmabehandlungsverfahren und vorrichtung
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
ATE287291T1 (de) 2000-03-07 2005-02-15 Symyx Technologies Inc Prozessoptimierungsreaktor mit parallelem durchfluss
JP2001313329A (ja) * 2000-04-28 2001-11-09 Applied Materials Inc 半導体製造装置におけるウェハ支持装置
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP2002110570A (ja) 2000-10-04 2002-04-12 Asm Japan Kk 半導体製造装置用ガスラインシステム
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3388228B2 (ja) 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
DE10112985B4 (de) 2001-03-17 2006-03-02 Tracto-Technik Gmbh Schlagbohrkopf und ein Verfahren zum Horizontalbohren mit einem Schlaggerät
US6962879B2 (en) 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
DE10216703A1 (de) 2001-04-20 2002-11-28 Festo Corp Hauppauge Stapelbare Ventilverteileranordnung
US7203429B2 (en) 2001-05-07 2007-04-10 Tyco Telecommunications (Us) Inc. Optical transmission system using optical signal processing in terminals for improved system performance
WO2002095519A1 (en) 2001-05-24 2002-11-28 Unit Instruments, Inc. Method and apparatus for providing a determined ratio of process fluids
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US7882800B2 (en) * 2001-12-13 2011-02-08 Tokyo Electron Limited Ring mechanism, and plasma processing device using the ring mechanism
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
JP3856730B2 (ja) 2002-06-03 2006-12-13 東京エレクトロン株式会社 流量制御装置を備えたガス供給設備からのチャンバーへのガス分流供給方法。
US7552015B2 (en) 2002-06-24 2009-06-23 Mks Instruments, Inc. Apparatus and method for displaying mass flow controller pressure
US6810308B2 (en) 2002-06-24 2004-10-26 Mks Instruments, Inc. Apparatus and method for mass flow controller with network access to diagnostics
US7136767B2 (en) 2002-06-24 2006-11-14 Mks Instruments, Inc. Apparatus and method for calibration of mass flow controller
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
CN100345163C (zh) * 2002-09-13 2007-10-24 松下电器产业株式会社 虹膜编码方法、个人认证方法、虹膜编码登录装置、虹膜认证装置
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
JP4502590B2 (ja) 2002-11-15 2010-07-14 株式会社ルネサステクノロジ 半導体製造装置
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20040112540A1 (en) 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040163601A1 (en) 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
US20040168719A1 (en) 2003-02-28 2004-09-02 Masahiro Nambu System for dividing gas flow
US6907904B2 (en) 2003-03-03 2005-06-21 Redwood Microsystems, Inc. Fluid delivery system and mounting panel therefor
CN100454200C (zh) 2003-06-09 2009-01-21 喜开理株式会社 相对压力控制系统和相对流量控制系统
JP4195837B2 (ja) 2003-06-20 2008-12-17 東京エレクトロン株式会社 ガス分流供給装置及びガス分流供給方法
US7137400B2 (en) 2003-09-30 2006-11-21 Agere Systems Inc. Bypass loop gas flow calibration
JP4399227B2 (ja) 2003-10-06 2010-01-13 株式会社フジキン チャンバの内圧制御装置及び内圧被制御式チャンバ
US6869348B1 (en) * 2003-10-07 2005-03-22 Strasbaugh Retaining ring for wafer carriers
US7129171B2 (en) 2003-10-14 2006-10-31 Lam Research Corporation Selective oxygen-free etching process for barrier materials
US7128806B2 (en) * 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
US20050133166A1 (en) * 2003-12-19 2005-06-23 Applied Materials, Inc. Tuned potential pedestal for mask etch processing apparatus
DE102004002131B4 (de) 2004-01-15 2006-04-13 Danfoss Compressors Gmbh Kühlaggregat und Kühlmöbel
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7072743B2 (en) 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7412986B2 (en) 2004-07-09 2008-08-19 Celerity, Inc. Method and system for flow measurement and validation of a mass flow controller
US7338907B2 (en) 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7621290B2 (en) 2005-04-21 2009-11-24 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using antisymmetric optimal control
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
JP4895167B2 (ja) 2006-01-31 2012-03-14 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法
JP4788920B2 (ja) 2006-03-20 2011-10-05 日立金属株式会社 質量流量制御装置、その検定方法及び半導体製造装置
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US8997791B2 (en) 2006-04-14 2015-04-07 Mks Instruments, Inc. Multiple-channel flow ratio controller
JP4814706B2 (ja) 2006-06-27 2011-11-16 株式会社フジキン 流量比可変型流体供給装置
JP5037510B2 (ja) 2006-08-23 2012-09-26 株式会社堀場エステック 集積型ガスパネル装置
US7757541B1 (en) 2006-09-13 2010-07-20 Pivotal Systems Corporation Techniques for calibration of gas flows
US7309646B1 (en) 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
EP2104755A4 (en) 2006-10-26 2011-01-12 Symyx Solutions Inc HIGH PRESSURE PARALLEL FIXED BIN REACTOR AND METHOD THEREFOR
US7964818B2 (en) * 2006-10-30 2011-06-21 Applied Materials, Inc. Method and apparatus for photomask etching
US9405298B2 (en) 2006-11-20 2016-08-02 Applied Materials, Inc. System and method to divide fluid flow in a predetermined ratio
US7758698B2 (en) 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
TWI444799B (zh) 2006-12-05 2014-07-11 Horiba Stec Co 流量控制裝置與流量測定裝置之校準方法、流量控制裝置之校準系統、及半導體製造裝置
KR100823302B1 (ko) * 2006-12-08 2008-04-17 주식회사 테스 플라즈마 처리 장치
US8019481B2 (en) 2006-12-12 2011-09-13 Horiba Stec, Co., Ltd. Flow rate ratio control device
SE530902C2 (sv) 2006-12-19 2008-10-14 Alfa Laval Corp Ab Sektionerad flödesanordning och förfarande för att reglera temperaturen i denna
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US8074677B2 (en) 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7846497B2 (en) 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7988813B2 (en) 2007-03-12 2011-08-02 Tokyo Electron Limited Dynamic control of process chemistry for improved within-substrate process uniformity
JP5317424B2 (ja) 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US8202393B2 (en) 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
US8440259B2 (en) 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
US7832354B2 (en) 2007-09-05 2010-11-16 Applied Materials, Inc. Cathode liner with wafer edge gas injection in a plasma reactor chamber
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
JP5459895B2 (ja) 2007-10-15 2014-04-02 Ckd株式会社 ガス分流供給ユニット
WO2009057583A1 (ja) 2007-10-31 2009-05-07 Tohoku University プラズマ処理システム及びプラズマ処理方法
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US8137463B2 (en) 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US8037894B1 (en) 2007-12-27 2011-10-18 Intermolecular, Inc. Maintaining flow rate of a fluid
KR101028213B1 (ko) 2007-12-27 2011-04-11 가부시키가이샤 호리바 에스텍 유량 비율 제어 장치
KR101840047B1 (ko) 2008-01-18 2018-03-19 피포탈 시스템즈 코포레이션 가스 유동 제어기의 인 시투 시험을 위한 방법 및 장치
JP2009188173A (ja) 2008-02-06 2009-08-20 Tokyo Electron Ltd 基板処理方法及び基板処理装置
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
US8969151B2 (en) 2008-02-29 2015-03-03 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing resistance altering techniques
US20090272717A1 (en) 2008-03-21 2009-11-05 Applied Materials, Inc. Method and apparatus of a substrate etching system and process
JP2010034416A (ja) 2008-07-30 2010-02-12 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
ES2379698T3 (es) 2008-08-13 2012-04-30 Shell Internationale Research Maatschappij B.V. Método para controlar un caudal de gas entre una pluralidad de flujos de gases
US8089046B2 (en) 2008-09-19 2012-01-03 Applied Materials, Inc. Method and apparatus for calibrating mass flow controllers
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
JP5216632B2 (ja) 2009-03-03 2013-06-19 東京エレクトロン株式会社 流体制御装置
US8409995B2 (en) 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
WO2011021539A1 (ja) 2009-08-20 2011-02-24 東京エレクトロン株式会社 プラズマ処理装置とプラズマ処理方法
KR20120098751A (ko) 2009-10-26 2012-09-05 솔베이 플루오르 게엠베하 Tft 매트릭스 제조를 위한 식각 공정
US20120244715A1 (en) 2009-12-02 2012-09-27 Xactix, Inc. High-selectivity etching system and method
US9127361B2 (en) 2009-12-07 2015-09-08 Mks Instruments, Inc. Methods of and apparatus for controlling pressure in multiple zones of a process tool
WO2011078242A1 (ja) 2009-12-25 2011-06-30 株式会社堀場エステック マスフローコントローラシステム
KR101430093B1 (ko) 2010-03-04 2014-09-22 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법, 반도체 디바이스의 제조 방법 및 플라즈마 에칭 장치
US20110265883A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
WO2012016971A1 (en) 2010-08-02 2012-02-09 Basell Polyolefine Gmbh Process and apparatus for mixing and splitting fluid streams
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US8905074B2 (en) 2010-10-22 2014-12-09 Applied Materials, Inc. Apparatus for controlling gas distribution using orifice ratio conductance control
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
JP5855921B2 (ja) 2010-12-17 2016-02-09 株式会社堀場エステック ガス濃度調整装置
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9059678B2 (en) 2011-04-28 2015-06-16 Lam Research Corporation TCCT match circuit for plasma etch chambers
US8746284B2 (en) 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
KR101926571B1 (ko) 2011-05-31 2018-12-10 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5377587B2 (ja) 2011-07-06 2013-12-25 東京エレクトロン株式会社 アンテナ、プラズマ処理装置及びプラズマ処理方法
JP5739261B2 (ja) 2011-07-28 2015-06-24 株式会社堀場エステック ガス供給システム
US8728239B2 (en) 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8849466B2 (en) 2011-10-04 2014-09-30 Mks Instruments, Inc. Method of and apparatus for multiple channel flow ratio controller system
US20130104996A1 (en) 2011-10-26 2013-05-02 Applied Materials, Inc. Method for balancing gas flow supplying multiple cvd reactors
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
JP5932599B2 (ja) 2011-10-31 2016-06-08 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US8671733B2 (en) 2011-12-13 2014-03-18 Intermolecular, Inc. Calibration procedure considering gas solubility
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9855515B2 (en) * 2012-01-25 2018-01-02 Amit Katyal Horizontal method for tray distillation and other gas-liquid contact operations
US9804609B2 (en) 2012-02-22 2017-10-31 Agilent Technologies, Inc. Mass flow controllers and methods for auto-zeroing flow sensor without shutting off a mass flow controller
JP5881467B2 (ja) 2012-02-29 2016-03-09 株式会社フジキン ガス分流供給装置及びこれを用いたガス分流供給方法
US9301383B2 (en) 2012-03-30 2016-03-29 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface wave plasma (SWP) processing method and apparatus
US9385017B2 (en) * 2012-08-06 2016-07-05 Nordson Corporation Apparatus and methods for handling workpieces of different sizes
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP5616416B2 (ja) 2012-11-02 2014-10-29 株式会社フジキン 集積型ガス供給装置
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US20140144471A1 (en) 2012-11-28 2014-05-29 Intermolecular, Inc. Contamination Control, Rinsing, and Purging Methods to Extend the Life of Components within Combinatorial Processing Systems
US9090972B2 (en) 2012-12-31 2015-07-28 Lam Research Corporation Gas supply systems for substrate processing chambers and methods therefor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
CN104798446B (zh) 2013-03-12 2017-09-08 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
US20140273460A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Passive control for through silicon via tilt in icp chamber
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20150010381A1 (en) * 2013-07-08 2015-01-08 United Microelectronics Corp. Wafer processing chamber and method for transferring wafer in the same
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
JP6193679B2 (ja) 2013-08-30 2017-09-06 株式会社フジキン ガス分流供給装置及びガス分流供給方法
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US20150184287A1 (en) 2013-12-26 2015-07-02 Intermolecular, Inc. Systems and Methods for Parallel Combinatorial Vapor Deposition Processing
US9580360B2 (en) 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
JP6204869B2 (ja) 2014-04-09 2017-09-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20150340209A1 (en) 2014-05-20 2015-11-26 Micron Technology, Inc. Focus ring replacement method for a plasma reactor, and associated systems and methods
US9034771B1 (en) * 2014-05-23 2015-05-19 Applied Materials, Inc. Cooling pedestal for dicing tape thermal management during plasma dicing
US9318343B2 (en) 2014-06-11 2016-04-19 Tokyo Electron Limited Method to improve etch selectivity during silicon nitride spacer etch
CN107148661B (zh) 2014-10-17 2019-10-18 朗姆研究公司 包括用于可调气流控制的气体分流器的气体供应输送装置
US20160181116A1 (en) 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
TW201634738A (zh) 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US9640409B1 (en) 2016-02-02 2017-05-02 Lam Research Corporation Self-limited planarization of hardmask
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI687997B (zh) * 2018-01-24 2020-03-11 南韓商吉佳藍科技股份有限公司 包括與升降的引導部聯動的聯動部的基板處理裝置

Also Published As

Publication number Publication date
KR102630917B1 (ko) 2024-01-29
US20170236743A1 (en) 2017-08-17
TWI736584B (zh) 2021-08-21
CN107086196A (zh) 2017-08-22
KR20170096596A (ko) 2017-08-24
US10438833B2 (en) 2019-10-08

Similar Documents

Publication Publication Date Title
TW201740501A (zh) 晶圓傳送用的晶圓升降環系統
US11342163B2 (en) Variable depth edge ring for etch uniformity control
CN107086169B (zh) 等离子体源的室构件和有移动衬底c形环的升降销的基座
US9831110B2 (en) Vision-based wafer notch position measurement
KR102490237B1 (ko) 기울어진 한정 링들을 갖는 플라즈마 프로세싱 시스템들 및 구조체들
US10410832B2 (en) Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
CN106409741B (zh) 基于可视的晶片凹口的位置测量
US10541117B2 (en) Systems and methods for tilting a wafer for achieving deposition uniformity
TW201729244A (zh) 用以控制電漿不穩定性之射頻電源的調頻用系統及方法
KR20170114250A (ko) 웨이퍼 내 프로세스 균일도를 제어하기 위한 방법 및 장치
TW201834139A (zh) 具有小間隙之銷升降器組件
KR20220070073A (ko) 이동가능한 에지 링 설계들
CN110752133A (zh) 基板支撑设备及具有基板支撑设备的等离子体处理设备
JP2019532496A (ja) 低圧リフトピンキャビティハードウェア
US20210118648A1 (en) Substrate processing system and method for replacing edge ring
TWI823977B (zh) 預防半導體基板處理中基座上的沉積
US20220305601A1 (en) Use of vacuum during transfer of substrates
TW202320152A (zh) 升降銷止擋件
TW202144942A (zh) 基板處理工具的多種模組之硬體元件的自動配置