TW201123293A - Etching process for producing a TFT matrix - Google Patents

Etching process for producing a TFT matrix Download PDF

Info

Publication number
TW201123293A
TW201123293A TW099136546A TW99136546A TW201123293A TW 201123293 A TW201123293 A TW 201123293A TW 099136546 A TW099136546 A TW 099136546A TW 99136546 A TW99136546 A TW 99136546A TW 201123293 A TW201123293 A TW 201123293A
Authority
TW
Taiwan
Prior art keywords
mixture
gas
argon
etching
fluorine
Prior art date
Application number
TW099136546A
Other languages
Chinese (zh)
Inventor
Marcello Riva
Original Assignee
Solvay Fluor Gmbh
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Solvay Fluor Gmbh filed Critical Solvay Fluor Gmbh
Publication of TW201123293A publication Critical patent/TW201123293A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs

Abstract

A thin film transistor (TFT) matrix for a liquid crystal display (LCD) can be prepared by performing several steps of forming layers, and several steps of partially etching layers. Fluorine and preferably carbonyl fluoride, preferably together with oxygen, N2O and/or argon, are used as etching gases. The invention also concerns a gas mixture consisting of F2 or carbonyl fluoride, N2O and optionally argon.

Description

201123293 六、發明說明: 本專利申請要求了 2〇〇9年10月26日提交的歐洲專利申 請號09 1 7403 4.0的權益’將其全部內容藉由引用結合在本 專利申請中。 【發明所屬之技術領域】 本發明涉及用於生產液晶顯示器(LCD )的一種薄膜 電晶體(TFT)基質的一種方法,並且更具體地涉及用減 少的掩蔽步驟形成T F T基質的一簡化的後通道蝕刻方法並 且涉及氣體混合物,特別是合適作爲用於此種過程的蝕刻 氣體。 【先前技術】 TFT基質的製造包括形成某幾個物質的層的幾個步驟 ’例如光致抗蝕劑層、傳導性層、蝕刻終止劑層、半導體 層以及鈍化層。將該等層施用並且然後蝕刻以獲得該TFT 基質。如在US 6,4〇6,928中所提及的,鈍化層的蝕刻可以 使用三氟甲烷進行’而半導體層的蝕刻可以使用四氟化碳 、三氯化硼、氯氣、六氟化硫或它們的—混合物進行。 該等餓刻試劑具有許多缺點。例如,三氟甲烷 '四氟 化碳和六氟化硫被認爲基於環保的原因是有缺點的。 【發明內容】 本發明的目的係提供用於製造液晶顯示器(LCD )的 201123293 一種薄膜電晶體(TFT )基質的一 供有用於此方法中的一種改進的貪虫 和其他目的係藉由本發明的方法和 〇 本發明的用於製造TFT基質的 驟其中將一個層用一氣體蝕刻劑進 劑包括碳醯氟(c〇F2) 、F2或其混 氟(F2 )沒有GWP並且不會影 應性的,但是不是非常選擇性的, 形式施用。它可以用來例如蝕刻鎢 碳醯氟具有以下優點:它具窄 響臭氧層。它在本發明的框架下是 明的方法中是較佳的蝕刻氣體。在 特別是當使用一包含碳酿氟的蝕刻 佳的是沒有元素氟的。 在一實施方式中,該蝕刻劑包 另一實施方式中,該蝕刻劑包括或 該實施方式係尤其適合於非晶 刻的。 包括或由氟或碳醢氟以及氮或 常合適於蝕刻非晶態矽或氮化矽的 氮化砂。 在一具體的實施方式中,碳驢 、氬氣、N 2 0和氧氣構成的組的氣 種改進的方法並且是提 刻氣體。本發明的該等 蝕刻氣體混合物來實現 方法,包括至少一個步 行蝕刻並且其中該蝕刻 合物。 響臭氧層。它是非常反 並且因此應該以稀釋的 (W )。 「的GWP爲1並且它不影 非常合適的並且在本發 一具體的實施方式中, 氣體時,該蝕刻氣體較 括或由碳醯氟構成。在 由氟構成。 態矽或氮化矽的快速蝕 氬氣構成的混合物係非 並且尤其是合適於蝕刻 氟與至少一種選自氮氣 體的混合物用作根據本 201123293 發明的方法的蝕刻氣體。 在該實施方式的一第一方面,將包括或由碳醯氟、氧 氣和氬氣構成的一混合物施用爲蝕刻氣體。在該實施方式 的一第二方面’將包括或由碳醯氟、N2〇和氬氣構成的一 混合物施用爲蝕刻氣體。 在根據本發明的方法的特定的方面,該蝕刻步驟係電 漿輔助的。 當由選自下組的一種材料製成的一個層進行触刻時, 根據本發明的方法係有利地使用的,該組的構成爲氮化矽 、氧化矽、氧氮化矽、或它們中兩種或更多種的一組合。 更有利的是,當該層包括或由氮化矽構成時,使用根據本 發明的方法。在根據本發明的方法的一具體方面,施用包 括碳醯氟和N2o以及可隨意地氬氣和可隨意地氧氣的混合 物以選擇性地蝕刻a-Si層上的一個層,該層包括或由碳化 矽、氧氮化矽以及兩者的一組合構成。較佳的是,施用包 括或尤其由碳醯氟、N20和氬氣構成的混合物或包括或尤 其由碳醯氟、n2o、氧氣以及氬氣構成的混合物矽係一種 四重的配位原子,它通常以四面體結合到四個相鄰的矽原 子上。在晶體矽中,這種四面體結構在一大的範圍內延續 ,因此形成了 一良好有序的晶格。 在非晶態砂中’表不爲a-Si或α-Si,這種長程有序並 不存在。而是,多個原子形成了一連續的隨機網路。此外 ,並非非晶態矽中的所用原子都是四重配位的。由於材料 的無序性質一些原子具有懸空鍵。結果係,術語“ a-Si ’, 201123293 表示其中矽原子形成了一連續的隨機網路的矽。 N 2〇、氧氣或N2 0與氧氣的一混合物的存在提供了對 於蝕刻的選擇性:當塗覆了該a - s i層的氮化矽層被蝕刻掉 時’並且a - S i層與蝕刻氣體混合物相接觸時,該層表面上 的a-Si被氧化進入與n2〇接觸並且因此是鈍化的,因爲形 成了 一個氧化矽層,它保護了 a-Si免於被蝕刻。 在又另一方面,施用根據本發明的方法來蝕刻由選自 下組的材料所形成的一個層:本質非晶態矽、微晶矽以及 多晶矽。微晶矽(還稱爲奈米晶體矽)包括小的晶體。它 吸收了更寬光譜的光並且是柔性的。多晶矽(或半晶矽、 多晶矽、多-Si )係由多個小的矽晶體構成的一種材料。 在又另一方面,施用根據本發明的方法來蝕刻由選自 下組的材料所形成的一個層:高度摻雜的非晶態矽、高度 摻雜的微晶矽以及高度摻雜的多晶矽。 還有可能藉由使用由碳醯氟 '氟構成的蝕刻氣體或較 佳的是藉由使用由碳醯氟和氬氣以及可隨意的氮氣的構成 的混合物進行一快速蝕刻來蝕刻本征非晶態微晶矽、微晶 矽和多晶矽、高摻雜的非晶矽、高摻雜的微晶矽以及高摻 雜的多晶矽。 在另一實施方式中,有可能進行選擇性的蝕刻來蝕刻 氮化矽、氧氮化矽或它們的混合物,它們作爲本質非晶態 矽、微晶矽和多晶矽、高摻雜的非晶矽、高摻雜的微晶矽 以及高摻雜的多晶矽上的一塗覆層存在,該鈾刻使用以下 物質: -8 - 201123293 •包括碳醯氟和N2o的混合物可隨意地在氬氣的存在 下,當與該等氣體混合物接觸時,提供了所述Si的鈍化; •包括碳醯氟和N2o和氬氣的混合物,當與該等氣體 混合物接觸時,提供了所述Si的鈍化; •包括碳醯氟、N2o和氧氣的混合物可隨意地在氬氣 的存在下,當與該等氣體混合物接觸時,提供了所述Si的 鈍化; •包括碳醯氟和N2o、氧氣和氬氣的混合物,當與該 等氣體混合物接觸時,提供了所述s i的鈍化。 本發明現在就一較佳的實施方式進行詳細說明。在一 TFT LCD的製造過程中,形成層並且部分地蝕刻掉該等層 的幾個連續的步驟係必需的。美國專利6,406,928描述了用 於製造TFT的方法。因此,它提到了對於在常規的方法中 形成TFT基質需要六至九個掩蔽步驟。一 6-掩蔽過程,例 如可以包括以下步驟: 將一第一傳導層塗覆到一玻璃基板上,並且使用一第 一光掩蔽以及光刻程式來使該第一傳導層形成圖案並且將 其鈾刻以形成由掃描線和一 TFT單元的柵電極構成的一活 性區域; 隨後在產生的結構上形成一絕緣層、一非晶態矽(a_ Si)層、一 n +非晶態砂層以及一光致抗触劑層,並且將該 產生的結構從基板的背面進行暴露,其中將一區域上的光 致抗蝕劑的一部分藉由將該部分免於暴露而保護以表現一 自對齊效果; -9 - 201123293 蝕刻掉暴露的光致抗蝕劑、其下面的部分、以及剩餘 的光致抗蝕劑這樣使得每個剩餘的層具有基本上與以上所 提及的部分相同的形狀,並且使用一第二光掩蔽以及光刻 程式使所述層再次形成圖案並且將其蝕刻以分離一 T F T單 元;使用一第三光掩蔽以及光刻法來使所述層形成圖案並 蝕刻以形成一帶式自動連接(TAB )接觸視窗或掃描線; 將一個銦錫氧化物(ITO )施用到所產生的結構上, 並且使用一個四光掩蔽和光蝕刻程式使該ITO層形成圖案 並蝕刻以藉由該TFT單元的一單獨側形成一圖元電極; 使用一第五光掩蔽以及光刻程式在產生的結構上施用 一第二傳導層並且蝕刻該第二傳導層以完整地形成一基準 線’在該T F T單元與該基準線之間的一第一連線以及該 TFT單元與該圖元電極之間的一第二連線,並且使用剩餘 的第二傳導層作爲一保護以蝕刻掉該連線之間的一部分摻 雜的a-Si層以分離該TFT單元的源/漏極電極;並且 將一鈍化層施用在產生的結構上,並且使用一第六光 掩蔽和光刻程式使該鈍化層形成圖案並且將其蝕刻以暴露 用於掃描線的該T A B接觸視窗,產生數據掃描線的τ A B接 觸視窗,並且產生用於圖元電極的一打開視窗。該方法描 述並且說明在美國專利6,4〇6,928中,將其內容藉由應用結 合在此。 所述U S專利揭露了在它們的多步驟方法上的一種改進 。在用於形成LCD的TFT基質的改進的方法中,提供由絕 緣材料製成的一基板;在該基板的一第〜側形成一第一傳 -10- 201123293 導層’並且用一第一掩蔽以及形成圖案的程式來除去該第 一傳導層的一部分以定義一掃描線和TFT單元的一柵電極 ;然後在具有該掃描線和柵電極的基板上順序形成一絕緣 層、一半導體層、一摻雜半導體層以及一光致抗蝕劑層; 在該基板相對於該第一側的一第二側提供一暴露源藉由使 用該掃描線和該柵電極作爲保護來獲得一暴露區域以及一 未暴露的區域;然後除去該暴露區域的光致抗鈾劑層以及 半導體層這樣在未暴露區域的半導體的剩餘部分具有與該 掃描線和該柵電極一起的形狀類似的一特定形狀;然後在 該基板上順序地形成一透明的傳導層和一第二傳導層;並 且然後使用一第二掩蔽並且形成圖案的程式來除去該透明 傳導層的一部分以及該第二傳導層的一部分以分別限定一 圖元電極區域數據以及連線;除去該摻雜的半導體層的另 一部分其中該第二傳導層的其餘部分作爲保護來限定源/ 漏極區域;在該基板上形成一鈍化層,並且使用一第三掩 蔽以及形成圖案的程式來除去該鈍化層的一部分;並且除 去該第二傳導層的另一部分其中形成圖案的部分作爲保護 以暴露該圖元電極區域。 當該暴露源係一光輻射時,該絕緣材料係一種透光的 材料,例如玻璃。 較佳的是,該第一以及第二傳導層各自是由鉻、鉬、 鉬、鉬鉬、鎢鉬、鋁、鋁矽化物、銅或它們的一組合形成 的。對於該等金屬的蝕刻劑是已知的。鉻和鉬可以由 CCl4/〇2電獎飽刻,銅用CI2電漿進行處理並且隨後使用h2 -11 - 201123293 電漿處理,鋁使用BC13電漿處理,鎢使用F2電漿處理進行 蝕刻。 較佳的是,該絕緣層係由氮化矽、氧化矽、氧氮化矽 或它們的一組合形成的。 較佳的是,該戟刻終止劑層係由氮化砂、氧化矽、或 氧氮化矽形成的。 較佳的是,該半導體層係由本征非晶相態矽、微晶矽 或多晶矽形成的並且該摻雜的半導體層係由高摻雜的非晶 態矽、高摻雜的微晶矽或高摻雜的多晶矽形成的 較佳的是,該透明傳導層係由銦錫氧化物、銦鋅氧化 物或銦鉛氧化物形成的。如果需要的話,則銦錫氧化物( “ I τ Ο ” )層可以使用Η B r,可隨意地與B C13 —起進行蝕刻 。銦鋅氧化物(“ IZO” )可以使用一種Ar/Cl2電漿進行 蝕刻。 較佳的是,該鈍化層係由氮化矽或氧氮化矽形成的。 較佳的是,該第三掩蔽以及形成圖案程式另外限定了 多個沿著該TFT基質的TAB墊區域。 在該第三掩蔽以及形成圖案程式之後,較佳的是圍繞 該圖元電極的第二傳導層的一部分仍然作爲一黑色基質( black matrix ) 〇 含有碳醯氟的蝕刻氣體係適合用於進行以上提及的蝕 刻多個層(鈍化層、絕緣層和半導體層)的步驟的蝕刻。 用於蝕刻氣體包括碳醯氟,有可能產生一絕緣窗口,如在 US 6,4〇6,92 8的圖21中以參考號28所畫的輪廓。 -12- 201123293 蝕刻方便地在電漿下進行;該電漿可以是道 原位電漿)或一種遠程電漿或兩者的一組合。 碳醯氟可以作爲純的物質施用或與其他活性 體,例如與氮氣或氮氣混合施用。它較佳的是與 用。如果一個氮化矽層必須在一 a-矽或其他形式 選擇性地蝕刻,則該鈾刻氣體混合物另外包括: N20 ;氮氣不是必需的。如以上提及的,一旦氮 覆層被蝕刻掉,氧氣和氮氣氧化物就提供了在一 的一個氧化矽鈍化層。 如果希望的話,包括碳醯氟的氣體混合物可 蝕刻劑氣體一起施用,例如與其他含碳、氫、氟 意的氯的氣體。如果它與含碳、氫、氟的氣體一 話,則該氣體較佳的是選自:氟代甲烷、二氟甲 甲烷以及CF2 = CH2。然而應値得注意的是該等氣 定的GWP並且鈍化作用可以藉由加入氧氣和/或^ 氣體中來實現。 尤其在具有高功率電槳的裝置中,通常有可 淨的碳醯氟用於快速蝕刻。在具有較低電槳功率 置中,應用碳醯氟和氬(可隨意地與氮一起)的 是可取的,因爲氬具有一種積極影響,例如在使 中〇 如果它與其他氣體(尤其是如以上描述的氬 和/或N20 ) —起施用的話,碳醯氟較佳的是可以 大於5 0 v ο 1 %、較佳的是等於或小於7 9 V ο 1 %的量 流電漿( 或惰性氣 氬一起施 的矽層上 氧氣和/或 化矽的塗 a-矽層上 以與其他 以及可隨 起施用的 烷、三氟 體具有一 2 〇至蝕刻 能使用純 的電漿裝 混合物也 電漿穩定 氣、氧氣 以等於或 被包括。 -13- 201123293 到1 00 vol %的剩餘部分較佳的是由氧、氬和/或N2 0組成。 包括或由碳醯氟和氬氣構成的混合物較佳的是用於快速蝕 刻;包括或由碳醯氟和Ν 2 〇構成的混合物’包括或由碳醯 氟和氧氣構成的混合物,包括或由碳醯氟、氧氣和氬氣構 成的混合物,包括或由碳醯氟、Ν20和氬氣構成的混合物 ,以及包括碳醯氟、氧氣、氮氧化物以及氬氣的混合物非 常佳的是作爲選擇性地蝕刻塗覆矽的層的蝕刻氣體’尤其 是作爲選擇性地蝕刻塗覆a -矽的氮化矽層。在該等混合物 中,碳醯氟的含量可以較佳的是等於或大於50 vol%,尤 其是當不存在a-矽與蝕刻氣體接觸的風險時在開始選擇性 蝕刻氮化矽層時。甚至可以施用純的碳醯氟或碳醯氟與氬 氣、而沒有鈍化氧或鈍化N 2 0的一混合物。在蝕刻過程的 稍後階段,當氮氧化物層部分地蝕刻掉之後,碳醯氟較佳 的是可以以等於或小於5 0 v ο 1 %的量、並且較佳的是以等 於或大於1 5 vol %的量被包括。N20,以及如果存在的話氧 氣和氬氣分別是到1 〇〇 vol%的差値。由此,所保護的是氮 化矽在a-矽上被選擇性地蝕刻掉。 因此,在本發明的蝕刻方法的一較佳的是實施方式中 ,在飩刻過程的初始階段的F2或COF2的濃度係大於在最終 階段的値。 本發明還涉及包括或由碳醯氟或氟和N20以及可隨意 的氬氣構成的某些混合物,其中碳醯氟或氟的含量較佳的 是等於或大於50 vol%;並且涉及包括或由碳醯氟或氟、 氧氣和N20以及可隨意的氬氣構成的混合物其中碳醯氟或 -14- 201123293 氟的含量較佳的是等於或大於50 vol%。該等混 的是在其中它們被施用的一工具中原位產生。將 氣體或碳醯氟以及N20以及可隨意的氬氣加入到 ’該工具可以例如是TFT或光電池的一鈾刻室。 方案’該等混合物可以以常規的方式藉由將其提 器中,較佳的是在等於或大於1 · 5巴(絕對値) 並且較佳的是等於或低於1 5巴(絕對値)的壓力 該等混合物較佳的是具有0. 1毫巴(絕對値 (絕對値)的壓力。 在該等混合物中,碳醯氟係較佳的蝕刻劑。 該等混合物在用於蝕刻氮化矽層(例如a-矽 上)的方法的早期階段係非常合適的。 本發明還涉及包括或由碳醯氟或氟和N20以 的氬氣構成的某些混合物,其中碳醯氟或氟的含 是等於或低於50 vol% ;並且涉及包括或由碳醯 氧氣和Ν 2 Ο以及可隨意的氬氣構成的某些混合物 氟或氟的含量較佳的是等於或低於50 vol%。該 較佳的是在其中它們被施用的一工具中原位產生 的氟氣體或碳醯氟以及N2o加入到該工具中,該 例如是TFT或光電池的一蝕刻室。在該實施方 COF2的含量較佳的是等於或大於1 5 vol%。 該等混合物較佳的是具有〇. 1毫巴(絕對値 (絕對値)的壓力。 該等混合物非常適合在用於選擇性地蝕刻氮 合物較佳 適量的氟 該工具中 作爲替代 供到一容 的壓力下 下製備。 )至15巴 上的砂層 及可隨意 量較佳的 氟或氟、 其中碳醯 等混合物 。將適量 工具可以 式中F2或 )至1 5巴 化矽層的 -15- 201123293 一種方法的最後階段,尤其是當a_矽接近於與蝕刻氣體的 接觸時的a-矽上的矽層。 在一第一方面,根據本發明的混合物係包括或由碳醯 氟和N20構成的一混合物或由碳醯氟N20和氬氣構成的一 混合物。在該等混合物中,COF2含量總體上是等於或大於 50 vol%。氬氣的含量較佳的是0至20 vol%。N20以及N20 和氬氣分別構成了到1 〇 0 v 01 %的差値。該等混合物係尤其 合適的(如以上所描述的)用於在該鈾刻過程的初始階段 選擇性地蝕刻a -砂上的氣化砍塗層。該等混合物的典型例 子在表1中彙編。 表1 :具有COF22 v〇l%的餓刻氣體混合物(里値以 混合物N。 「cof2 Ar n2o 1.0 75 10 10 1.0 70 10 20 1.1 65 10 25 1.3 1 60 15 25 1.3 60 10 30 1.4 55 10 35 1.5 50 10 40 1.6 75 25 1.7 70 30 1.8 65 45 1.9 60 40 2.0 55 45 2.1 50 50 -16 - 201123293 在一第—方面’根據本發明的混合物係包括或由碳醯 氟和N2 0構成的一混合物或由碳醯氟、n 2 〇和氬氣構成的 一混合物。在該等混合物中,(::€^2含量總體上是等於或小 於50 vol%。氬氣的含量較佳的是〇至2〇 vol%。N20以及 Ν 2 Ο和氬氣分別構成了到丨〇 〇 v 0丨%的差値。碳醯氟的含量 較佳的是等於或大於15 vol%。該等混合物尤其適合於在 蝕刻的最終階段選擇性地蝕刻a-矽上的氮化砍塗層’其中 a-矽可以與該蝕刻氣體接觸。該等混合物的典型例子在表 2中彙編。 表2 :具有C〇F2<5〇 vol%的蝕刻氣體混合物(量値以 混合物N。 cof2 Ar N20 7 〇 49 10 41 2.1 45 10 45 ----- 2.3 40 10 50 7 3 35 10 55 - ? 4 30 10 60 二·ι___ 2.5 25 10 65 — 2 6 20 10 ^ 70 ------ 2 7 50 10 Γ40 --- 2.8 49 51 ~~ 2.9 45 55 _—---- 2.10 40 60 ------ 2 11 35 65 2 12 30 50 ?. 13 35 65 —----- ----—· 2 14 30 70 _ — ? 15 25 75 —— 2 16 20 80 2.15__ 20 ---__,_—--- 85 201123293 在一具體方面,根據本發明的混合物進一步包括氧氣 。在該等情況下’碳醯氟含量係如以上所給出,氬氣的含 量較佳的是〇至20 vol%,並且在該氣體混合物中氧氣和 N2〇含量之和係到100 vol%的差値。因此氧氣和n2〇含量總 計係到100 vol%的差値。氧氣的含量係 >〇 vol%,並且 還有N20的含量係大於0。在一較佳的實施方式中,〇2 : N2〇莫耳比係0.1 : 1至1 : 0.1。該混合物還可以包括氮氣 ;較佳的是它們不包括氮氣。 在該方面的一具體實施方式中,碳醯氟的含量係等於 或大於5 0 v ο 1 %。較佳的是,它係等於或小於9 0 v ο 1 %。氧 氣含量較佳的是大於〇 v ο 1 %並且等於或小於2 〇 v ο 1 %。N 2 〇 ,以及如果存在的話氬氣係到1 00 vol%的差値。該等混合 物係尤其合適的(如以上所描述的)用於在該蝕刻過程的 初始階段選擇性地蝕刻a-矽上的氮化矽塗層。該等混合物 的典型例子在表3中彙編。 -18- 201123293 表3 :具有COF2 > 50 vol %的蝕刻氣體混合物(量 値以 vol%給出) 混合物N° cof2 Ar 氧氣 n2o 3.0 75 10 5 10 3.1 70 10 10 10 3.3 65 10 10 15 3.3 60 10 10 20 3.4 60 10 15 15 3.5 55 10 10 25 3.6 55 10 15 20 3.7 55 15 10 20 3.8 50 10 10 30 3.9 50 10 5 35 3.10 50 10 20 20 在這個方面的另一具體實施方式中,碳醯氟的含量係 < 5 0 v ο 1 %。較佳的是,它係等於或大於1 5 v ο 1 %。氧氣含 量較佳的是大於〇 v ο 1 %並且等於或小於2 0 v ο 1 %。Ν 2 Ο,以 及如果存在的話氬氣係到1 〇 v 〇 1 〇 %的差値。該等混合物係 尤其合適的(如以上所描述的)用於在該蝕刻過程的最終 階段選擇性地蝕刻a-矽上的氮化矽塗層。該等混合物的典 型例子在表4中彙編。 -19- 201123293 表4 :具有COF2 > 5〇 vol%的蝕刻氣體混合物(量 値以 V ο I %給出)___ 混合物Ν〇 cof2 Ar 氧氣 n2o 4.0 49 10 6 35 4.1 45 10 10 35 4.3 45 10 15 30 4.3 40 10 10 40 4.4 40 10 10 40 4.5 35 10 10 45 4.6 35 10 15 40 4.7 30 15 10 35 4.8 30 10 10 50 4.9 25 10 5 60 4.10 25 10 10 65 4.9 20 10 10 60 4.10 20 10 20 50 4.11 15 10 10 65 根據一實施方式,本發明的混合物係包括碳醯氟和 &0以及可隨意的其他氣體(例如氮氣或尤其是氬氣或氧 氣)的液體混合物。在另一實施方式中,該混合物係氣體 的。壓力可以是等於或大於〇·1毫巴(絕對値)至等於或 小於1 5巴(絕對値)。如果它們係在蝕刻工具中在原位提 供或製備的話,該氣體混合物較佳的是具有等於或大於 〇 · 1毫巴(絕對値)至等於或小於1巴(絕對値)的壓力。 如果將其存儲在一存儲容器中,它們較佳的是具有2 1 ( 絕對値)至等於或小於1 5巴(絕對値)的壓力。 在一第二方面,根據本發明的混合物係包括或由氟和 〜〇構成的—混合物或由氟、ν2〇和氬氣構成的一混合物 -20- 201123293 。在該等混合物中’ F2含量總體上是等於或大於50 vol% 。氬氣的含量較佳的是0至2〇v〇l%。N20以及N2O和Μ氣分 別構成了到1 〇 〇 V 〇 1 %的差値。 在一第三方面’根據本發明的混合物係包括或由氟和 Ν2ο構成的一混合物或由氟、ν2ο和氬氣構成的一混合物 。在該等混合物中,F2含量總體上是等於或小於50 vol% 。氬氣的含量較佳的是0至20 vol%。N20以及N20和氬氣分 別構成了到1 〇〇 vol%的差値。氟的含量較佳的是等於或大 於 2 5 v ο 1 %。 在一具體方面’根據本發明包括氟的混合物進一步包 括氧氣。在這種情況下,氣體混合物中氧氣的含量總體上 是從>〇至2 0 vol%並且N20以及如果存在的話氬氣係到100 v ο 1 %的差値。 在以下的表5至8中’本發明的含f2混合物在以下進行 詳細描述。 在一第一方面’根據本發明的混合物係包括或由F 2和 A 0構成的一混合物或由F2、N2 0和氬氣構成的—混合物 。在該等混合物中’ F 2含量總體上是等於或大於5 〇 v 〇 1 % 。氬氣的含量較佳的是0至20 vol%。N2〇以及n2〇和氬氣分 別構成了到100 vol %的差値。該等混合物係尤其合適的( 如以上所描述的)用於在該蝕刻過程的初始階段選擇性地 蝕刻a-矽上的氮化矽塗層。該等混合物的典型例子在表5 中彙編。 -21 - 201123293 表5 :具有F 2 > 5 0 v ο 1 %的蝕刻氣體混合物(量値以 vol%給出) 混合物N。 F2 Ar N2〇 1.0 75 10 10 1.0 70 10 20 1.1 65 10 25 1.3 60 15 25 1.3 60 10 30 1.4 55 10 35 1.5 50 10 40 1.6 75 25 1.7 70 30 1.8 65 45 1.9 60 40 2.0 55 45 2.1 50 50 在一第二方面,根據本發明的混合物係包括或由?2和 N 2 Ο構成的一混合物或由F 2、Ν 2 Ο和氬氣構成的一混合物 。在該等混合物中,F2含量總體上是等於或小於50 vol% 。氬氣的含量較佳的是〇至20 vol%。N20以及N20和氬氣分 別構成了到1 〇〇 vol%的差値。F2的含量較佳的是等於或大 於1 5 vol%。該等混合物尤其適合於在蝕刻的最終階段選 擇性地蝕刻a-矽上的氮化矽塗層,其中a-矽可以與該蝕刻 氣體接觸。該等混合物的典型例子在表6中彙編。 -22- 201123293 表6 :具有F2 < 50 vol%的餓刻氣體混合物 v ο 1 % 給出) ^____ (量値以 —-— 混合® F2 Ar N20 2.〇_—— 49 10 41 2.U__ 45 10 45 2.3__ 40 10 50 2.3__ 35 10 55 2.4一 30 10 60 2.5__ —25 10 65 2.6__ 20 10 70 2.7__ 50 10 40 2.8__ —49 51 2.9 45 55 2.10 40 60 2.11 _ 35 65 2.12 —30 50 2.13 35 65 2.14 30 70 2.15 25 75 2.16 20 80 2.15 20 85 在一具體方面’根據本發明的混合物進一步 。在該等情況下,F2含量係如以上所給出,氬氣 佳的是〇至20 vol%,並且在該氣體混合物中氧氣 量之和係到100 vol%的差値。因此氧氣和N20含. 到1 00 vol%的差値。氧氣的含量係>〇 v〇l%,並且 的含量係大於0。在一較佳的實施方式中,02 : N 係0 · 1 ·· 1至1 : 〇 . 1。該混合物還可以包括氮氣; 它們不包括氮氣。 包括氧氣 的含量較 和N 2 0含 量總計是 還有n20 2〇莫耳比 較佳的是 -23- 201123293 在該方面的一具體實施方式中,Fa的含毚係等於或大 於50 vol%。較佳的是’它係等於或小於90 VnlD/ ^ ^ 1 /q。氧氣的 含量較佳的是大於〇 vol%並且等於或小於2〇 ν υ 1 %。N 2 〇, 以及如果存在的話氬氣係到1 0 〇 ν 0 1 %的差値。琴Μ k寺&fc合物 係尤其合適的(如以上所描述的)用於在該蝕刻過程的初 始階段選擇性地蝕刻a-矽上的氮化矽塗層。該笔 ^寺混合物的 典型例子在表7中彙編。 表7 :具有F0 50 vol%的蝕刻氣體混合物(量値以 vol %給出)201123293 VI. INSTRUCTIONS: This patent application claims the benefit of the European Patent Application No. 09 1 7403, filed on Oct. 26, 2009, the entire disclosure of which is incorporated herein by reference. BACKGROUND OF THE INVENTION 1. Field of the Invention This invention relates to a method for producing a thin film transistor (TFT) substrate for a liquid crystal display (LCD), and more particularly to a simplified back channel for forming a TFT substrate with a reduced masking step. The etching method also involves a gas mixture, particularly as an etching gas for such a process. [Prior Art] The fabrication of a TFT substrate includes several steps of forming a layer of a certain substance, such as a photoresist layer, a conductive layer, an etch stop layer, a semiconductor layer, and a passivation layer. The layers are applied and then etched to obtain the TFT substrate. As mentioned in US 6,4,6,928, the etching of the passivation layer can be performed using trifluoromethane, and the etching of the semiconductor layer can use carbon tetrafluoride, boron trichloride, chlorine, sulfur hexafluoride or them. - the mixture is carried out. These hungry agents have a number of disadvantages. For example, trifluoromethane 'tetrafluorocarbon and sulfur hexafluoride are considered to be disadvantageous for environmental reasons. SUMMARY OF THE INVENTION An object of the present invention is to provide a thin film transistor (TFT) substrate for the manufacture of a liquid crystal display (LCD), an improved substrate for use in this method, and other objects by the present invention. The method and the method for producing a TFT substrate of the present invention, wherein a layer is coated with a gas etchant, including carbon lanthanum fluoride (c〇F2), F2 or its mixed fluorine (F2) without GWP and is not compatible. , but not very selective, form administration. It can be used, for example, to etch tungsten ruthenium fluoride with the following advantages: it has a narrow ozone layer. It is a preferred etching gas in the method of the present invention. In particular, when etching using a carbon-containing fluorine is preferred, there is no elemental fluorine. In one embodiment, the etchant package. In another embodiment, the etchant comprises or the embodiment is particularly suitable for amorphous. It includes or consists of fluorine or carbon fluorene and nitrogen or nitrogen nitride which is often suitable for etching amorphous germanium or tantalum nitride. In a specific embodiment, the gas species of the group consisting of carbonium, argon, N20 and oxygen is an improved method and is a gas for scavenging. The etching gas mixture of the present invention implements a method comprising at least one step etching and wherein the etching compound. Ozone layer. It is very anti- and therefore should be diluted (W). "The GWP is 1 and it is not very suitable and in the case of a specific embodiment of the present invention, the gas is composed of or consists of carbon fluorene. In the case of fluorine or tantalum nitride. The mixture of rapidly argon argon is not and especially suitable for etching a mixture of fluorine and at least one selected from the group consisting of nitrogen gas for use as an etching gas according to the method of the invention of the invention of 201123293. In a first aspect of this embodiment, A mixture of carbonium fluoride, oxygen and argon is applied as an etching gas. In a second aspect of this embodiment, a mixture comprising or consisting of carbonium fluoride, N2 krypton and argon is applied as an etching gas. In a particular aspect of the method according to the invention, the etching step is plasma assisted. The method according to the invention is advantageously used when the layer is made by a layer selected from a material selected from the group consisting of The composition of the group is tantalum nitride, hafnium oxide, hafnium oxynitride, or a combination of two or more thereof. More advantageously, when the layer comprises or consists of tantalum nitride, the root is used. According to a method of the invention, in a specific aspect of the method according to the invention, a mixture comprising carbonium fluoride and N2o and optionally argon and optionally oxygen is applied to selectively etch a layer on the a-Si layer The layer comprises or consists of tantalum carbide, tantalum oxynitride and a combination of the two. Preferably, the application comprises or consists in particular of a mixture of carbonium fluoride, N20 and argon or comprises or in particular consists of carbon fluoride. a mixture of n2o, oxygen, and argon is a four-fold coordinating atom that is typically tetrahedral bonded to four adjacent germanium atoms. In crystalline germanium, the tetrahedral structure is large. The continuation of the range, thus forming a well-ordered crystal lattice. In the amorphous sand, 'the surface is not a-Si or α-Si, this long-range order does not exist. Instead, multiple atoms are formed. A continuous random network. Furthermore, not all of the atoms used in the amorphous yttrium are tetracoordinated. Some atoms have dangling bonds due to the disordered nature of the material. As a result, the term "a-Si", 201123293 means Among them, germanium atoms form a continuous Silicon random network. The presence of N 2 〇, oxygen or a mixture of N 2 0 and oxygen provides selectivity for etching: when the tantalum nitride layer coated with the a - si layer is etched away 'and a - S i layer and etched When the gas mixture is in contact, the a-Si on the surface of the layer is oxidized into contact with n2? and is therefore passivated because a layer of tantalum oxide is formed which protects the a-Si from being etched. In yet another aspect, the method according to the present invention is applied to etch a layer formed of a material selected from the group consisting of: an amorphous amorphous germanium, a microcrystalline germanium, and a polycrystalline germanium. Microcrystalline germanium (also known as nanocrystalline germanium) includes small crystals. It absorbs a wider spectrum of light and is flexible. Polycrystalline germanium (or semicrystalline germanium, polycrystalline germanium, poly-Si) is a material composed of a plurality of small germanium crystals. In yet another aspect, the method according to the present invention is applied to etch a layer formed of a material selected from the group consisting of highly doped amorphous germanium, highly doped microcrystalline germanium, and highly doped polycrystalline germanium. It is also possible to etch intrinsic amorphous by using an etching gas composed of carbon fluorene fluorine, or preferably by using a mixture of carbon fluorinated fluorine and argon gas and optionally nitrogen gas for rapid etching. Microcrystalline germanium, microcrystalline germanium and polycrystalline germanium, highly doped amorphous germanium, highly doped microcrystalline germanium and highly doped polycrystalline germanium. In another embodiment, it is possible to perform selective etching to etch tantalum nitride, hafnium oxynitride or a mixture thereof as essential amorphous germanium, microcrystalline germanium and polycrystalline germanium, highly doped amorphous germanium. , a highly doped microcrystalline germanium and a coating layer on the highly doped polycrystalline germanium, the uranium engraved using the following: -8 - 201123293 • A mixture comprising carbonium fluoride and N2o can optionally be present in the presence of argon Providing, when in contact with the gas mixture, providing passivation of the Si; • comprising carbon fluorinated fluorine and a mixture of N2o and argon, providing contact with the Si gas when contacted with the gas mixture; Mixtures comprising carbon fluorene, N2o and oxygen are optionally provided in the presence of argon, when contacted with the gas mixture, providing passivation of the Si; • including carbon fluorene and N2o, oxygen and argon The mixture, when contacted with the gas mixture, provides passivation of the si. The invention will now be described in detail with reference to a preferred embodiment. In the fabrication of a TFT LCD, several successive steps of forming a layer and partially etching away the layers are necessary. U.S. Patent 6,406,928 describes a method for fabricating a TFT. Therefore, it is mentioned that six to nine masking steps are required for forming a TFT substrate in a conventional method. A 6-masking process, for example, can include the steps of: applying a first conductive layer to a glass substrate, and patterning the first conductive layer and uranium using a first photomasking and lithography process Forming an active region composed of a scan line and a gate electrode of a TFT unit; subsequently forming an insulating layer, an amorphous germanium (a_Si) layer, an n + amorphous sand layer, and a layer on the resulting structure Photosensitive anti-catalyst layer and exposing the resulting structure from the back side of the substrate, wherein a portion of the photoresist on a region is protected from exposure by exposure to exhibit a self-aligning effect; -9 - 201123293 Etching away the exposed photoresist, the underlying portion thereof, and the remaining photoresist such that each remaining layer has substantially the same shape as the portion mentioned above and is used a second photomasking and lithography pattern causes the layer to be patterned again and etched to separate a TFT cell; the third photomask and photolithography are used to pattern and etch the layer Forming a tape-type automatic connection (TAB) contact window or scan line; applying an indium tin oxide (ITO) to the resulting structure, and patterning and etching the ITO layer using a four-light masking and photo-etching procedure Forming a primitive electrode from a separate side of the TFT unit; applying a second conductive layer on the resulting structure using a fifth photomask and lithography process and etching the second conductive layer to completely form a reference line' a first connection between the TFT unit and the reference line and a second connection between the TFT unit and the primitive electrode, and using the remaining second conductive layer as a protection to etch the connection a portion of the doped a-Si layer between the lines to separate the source/drain electrodes of the TFT cell; and applying a passivation layer to the resulting structure, and using a sixth photomask and lithography program to passivate the passivation The layer is patterned and etched to expose the TAB contact window for the scan lines, creating a τ AB contact window for the data scan lines, and creating an open window for the primitive electrodes. The method is described and illustrated in U.S. Patent No. 6, 4, 6,928, the disclosure of which is incorporated herein by reference. The U S patent discloses an improvement in their multi-step method. In an improved method for forming a TFT substrate for an LCD, a substrate made of an insulating material is provided; a first pass - 201121293 junction layer ' is formed on a first side of the substrate and a first mask is used And forming a pattern to remove a portion of the first conductive layer to define a scan line and a gate electrode of the TFT unit; and then sequentially forming an insulating layer, a semiconductor layer, and a substrate on the substrate having the scan line and the gate electrode Doping a semiconductor layer and a photoresist layer; providing an exposure source on a second side of the substrate relative to the first side by using the scan line and the gate electrode as a protection to obtain an exposed area and a An unexposed area; then removing the photo-induced uranium-repellent layer of the exposed area and the semiconductor layer such that the remaining portion of the semiconductor in the unexposed area has a specific shape similar to the shape of the scan line and the gate electrode; Forming a transparent conductive layer and a second conductive layer sequentially on the substrate; and then removing the transparent conductive layer using a second masking and patterning process a portion and a portion of the second conductive layer to define a pixel electrode region data and a wiring, respectively; removing another portion of the doped semiconductor layer, wherein a remaining portion of the second conductive layer serves as a source to define a source/drain region Forming a passivation layer on the substrate and removing a portion of the passivation layer using a third masking and patterning process; and removing portions of the second conductive layer in which the pattern is formed as a protection to expose the pattern Element electrode area. When the source of exposure is an optical radiation, the insulating material is a light transmissive material such as glass. Preferably, the first and second conductive layers are each formed of chromium, molybdenum, molybdenum, molybdenum molybdenum, tungsten molybdenum, aluminum, aluminum telluride, copper or a combination thereof. Etching agents for such metals are known. Chromium and molybdenum can be saturated by CCl4/〇2, copper is treated with CI2 plasma and subsequently treated with h2 -11 - 201123293 plasma, aluminum is treated with BC13 plasma, and tungsten is etched using F2 plasma treatment. Preferably, the insulating layer is formed of tantalum nitride, hafnium oxide, hafnium oxynitride or a combination thereof. Preferably, the etch stopper layer is formed of cerium nitride, cerium oxide, or cerium oxynitride. Preferably, the semiconductor layer is formed of intrinsic amorphous phase germanium, microcrystalline germanium or polycrystalline germanium and the doped semiconductor layer is composed of highly doped amorphous germanium, highly doped microcrystalline germanium or Preferably, the highly doped polysilicon is formed from indium tin oxide, indium zinc oxide or indium lead oxide. If desired, the indium tin oxide ("I τ Ο ") layer can be etched using B 13 B r and optionally etched with B C13 . Indium zinc oxide ("IZO") can be etched using an Ar/Cl2 plasma. Preferably, the passivation layer is formed of tantalum nitride or hafnium oxynitride. Preferably, the third masking and patterning pattern additionally defines a plurality of TAB pad regions along the TFT substrate. After the third masking and patterning process, it is preferred that a portion of the second conductive layer surrounding the primitive electrode still serves as a black matrix. An etching gas system containing carbon fluorene is suitable for performing the above. Etching of the step of etching a plurality of layers (a passivation layer, an insulating layer, and a semiconductor layer) is mentioned. For etching gases including carbon fluorene, it is possible to create an insulating window, as outlined by reference numeral 28 in Figure 21 of US 6,4,6,92 8 . -12- 201123293 Etching is conveniently performed under plasma; the plasma can be in-situ plasma) or a remote plasma or a combination of the two. The carbonium fluoride can be applied as a pure substance or in combination with other actives such as nitrogen or nitrogen. It is better to use. If a tantalum nitride layer must be selectively etched in an a- or other form, the uranium engraved gas mixture additionally includes: N20; nitrogen is not necessary. As mentioned above, once the nitrogen coating is etched away, oxygen and nitrogen oxides provide a passivation passivation layer in one. If desired, a gas mixture comprising carbon fluorene may be applied together with an etchant gas, such as with other gases containing carbon, hydrogen, or fluorine. If it is a gas containing carbon, hydrogen or fluorine, the gas is preferably selected from the group consisting of: fluoromethane, difluoromethane and CF2 = CH2. However, it should be noted that these GWPs can be achieved and passivation can be achieved by adding oxygen and/or gas. Especially in devices with high power electric paddles, there is usually a net carbon fluorene for rapid etching. In the case of lower propeller power, it is advisable to use carbon fluorene and argon (optionally with nitrogen) because argon has a positive effect, for example in the middle of the enthalpy if it is with other gases (especially The argon and/or N20 described above, preferably, the carbon fluorinated fluorine may be greater than 5 0 v ο 1 %, preferably equal to or less than 7 9 V ο 1 % of the flow plasma (or The inert aerated argon is applied to the a layer of oxygen and/or ruthenium on the ruthenium layer to form a 2 〇 to the other and the alkane and trifluoron which can be applied simultaneously to the etching. Plasma is also stabilized by gas, oxygen is equal to or included. -13- 201123293 to 1 00 vol % The remainder is preferably composed of oxygen, argon and/or N20. Included or consists of carbon fluorene and argon The mixture is preferably used for rapid etching; a mixture comprising or consisting of carbon lanthanum fluoride and ruthenium ruthenium' comprises or consists of a mixture of carbon ruthenium fluoride and oxygen, including or consisting of carbon ruthenium fluoride, oxygen gas and argon gas. Mixture, including or a mixture of carbon, fluorine, helium 20 and argon And a mixture comprising carbon fluorinated fluorine, oxygen, nitrogen oxides and argon is very preferred as an etching gas for selectively etching a layer coated with ruthenium, especially as a selectively etched a-ruthenium-coated nitrogen. The ruthenium layer may have a content of carbon lanthanum fluoride of preferably 50 vol% or more, especially when there is no risk of a- 矽 contact with the etching gas at the beginning of selective etching of bismuth nitride. At the time of the layer, it is even possible to apply pure carbonium fluoride or carbonium fluoride to argon without a passivating oxygen or passivating a mixture of N20. At a later stage of the etching process, when the nitrogen oxide layer is partially etched away Preferably, the carbonium fluoride is included in an amount equal to or less than 50 v ο 1 %, and preferably equal to or greater than 15 vol %. N20, and if present, oxygen and argon The difference is to 1 〇〇 vol%, respectively. Thus, the tantalum nitride is protected from being selectively etched away on the a-germanium. Therefore, a preferred embodiment of the etching method of the present invention is Medium, the concentration of F2 or COF2 in the initial stage of the engraving process The present invention also relates to certain mixtures comprising or consisting of carbonium fluoride or fluorine and N20 and optionally argon, wherein the content of carbonium fluoride or fluorine is preferably equal to or greater than 50. Vol%; and relates to a mixture comprising or consisting of carbonium fluoride or fluorine, oxygen and N20 and optionally argon gas, wherein the content of carbonium fluoride or-14-201123293 fluorine is preferably equal to or greater than 50 vol%. Equal mixing is generated in situ in a tool in which they are applied. Gas or carbon fluorene and N20 and optionally argon are added to the tool. The tool may be, for example, a uranium chamber of a TFT or photovoltaic cell. The mixture may be prepared in a conventional manner by means of a lifter, preferably at or above 1.5 bar (absolute 値) and preferably at or below 15 bar (absolute 値). The pressure of the mixture preferably has a pressure of 0.1 mbar (absolute 値 (absolute 値). Among these mixtures, carbon fluorene is a preferred etchant. These mixtures are used for etch nitriding. The early stages of the process of the ruthenium layer (e.g., on a- 矽) are very suitable. The invention also relates to certain mixtures comprising or consisting of carbon argon or fluorine and N20 argon, wherein the carbon ruthenium fluoride or fluorine The content is equal to or lower than 50 vol%; and the content of fluorine or fluorine in some mixtures including or consisting of carbon argon and argon and optionally argon is preferably equal to or lower than 50 vol%. Preferably, fluorine gas or carbon ruthenium fluoride and N2o produced in situ in a tool in which they are applied are added to the tool, such as an etch chamber of a TFT or photovoltaic cell. The COF2 content in the embodiment is higher. Preferably, it is equal to or greater than 15 vol%. Is a pressure of 毫 1 mbar (absolute 値 (absolute 値). These mixtures are very suitable for use in the tool for selectively etching nitrogen compounds, preferably in an appropriate amount of fluorine. Prepare.) to 15 bar of sand layer and optionally a mixture of fluorine or fluorine, which is a mixture of carbon and the like. The appropriate amount of tools can be in the form of F2 or) to 15 bar bismuth layer -15-201123293 The final stage, especially when a_矽 is close to the layer of germanium on the a-矽 when in contact with the etching gas. In a first aspect, the mixture according to the present invention comprises or a mixture of carbonium fluoride and N20 or a mixture of carbonium fluoride N20 and argon. In these mixtures, the COF2 content is generally equal to or greater than 50 vol%. The content of argon is preferably from 0 to 20 vol%. N20 and N20 and argon constitute a difference of 1 〇 0 v 01 %, respectively. These mixtures are particularly suitable (as described above) for selectively etching a gasification chopped coating on a-sand during the initial stages of the uranium engraving process. Typical examples of such mixtures are compiled in Table 1. Table 1: a hungry gas mixture with COF22 v〇l% (Lif as a mixture N. "cof2 Ar n2o 1.0 75 10 10 1.0 70 10 20 1.1 65 10 25 1.3 1 60 15 25 1.3 60 10 30 1.4 55 10 35 1.5 50 10 40 1.6 75 25 1.7 70 30 1.8 65 45 1.9 60 40 2.0 55 45 2.1 50 50 -16 - 201123293 In a first aspect, the mixture according to the invention comprises or consists of carbon fluorene and N20 a mixture or a mixture of carbon ruthenium fluoride, n 2 ruthenium and argon. In these mixtures, the content of (:: € 2 is generally equal to or less than 50 vol %. The content of argon is preferably 〇 Up to 2 〇 vol%. N20 and Ν 2 Ο and argon respectively constitute a difference to 丨〇〇v 0丨%. The content of carbon fluorene is preferably equal to or greater than 15 vol%. The nitrided chopped coating on the a-矽 is selectively etched in the final stage of etching, wherein a-矽 can be contacted with the etching gas. Typical examples of such mixtures are compiled in Table 2. Table 2: With C〇 F2 < 5 〇 vol% of the etching gas mixture (measured in a mixture of N. cof2 Ar N20 7 〇 49 1 0 41 2.1 45 10 45 ----- 2.3 40 10 50 7 3 35 10 55 - ? 4 30 10 60 2·ι___ 2.5 25 10 65 — 2 6 20 10 ^ 70 ------ 2 7 50 10 Γ40 --- 2.8 49 51 ~~ 2.9 45 55 _----- 2.10 40 60 ------ 2 11 35 65 2 12 30 50 ?. 13 35 65 —----- ---- —· 2 14 30 70 _ — 15 15 25 —— 2 16 20 80 2.15__ 20 ---__, _—-- 85 201123293 In a specific aspect, the mixture according to the invention further comprises oxygen. In the case where the 'carbonium fluoride content is as given above, the argon content is preferably 〇 to 20 vol%, and the sum of the oxygen and N2 bismuth contents in the gas mixture is 100 vol%. Therefore, the oxygen and n2 cerium contents amount to a total of 100 vol%. The oxygen content is > 〇 vol %, and the content of N 20 is more than 0. In a preferred embodiment, the 〇2:N2〇 molar ratio is 0.1:1 to 1:0.1. The mixture may also include nitrogen; preferably they do not include nitrogen. In a specific embodiment of this aspect, the content of carbonium fluoride is equal to or greater than 5 0 v ο 1 %. Preferably, it is equal to or less than 90 v ο 1 %. The oxygen content is preferably greater than 〇 v ο 1 % and equal to or less than 2 〇 v ο 1 %. N 2 〇 and, if present, argon to 100 vol% of the enthalpy. These mixtures are particularly suitable (as described above) for selectively etching the tantalum nitride coating on the a-turn during the initial stages of the etching process. Typical examples of such mixtures are compiled in Table 3. -18- 201123293 Table 3: Etching gas mixture with COF2 > 50 vol % (measured in vol%) Mixture N° cof2 Ar Oxygen n2o 3.0 75 10 5 10 3.1 70 10 10 10 3.3 65 10 10 15 3.3 60 10 10 20 3.4 60 10 15 15 3.5 55 10 10 25 3.6 55 10 15 20 3.7 55 15 10 20 3.8 50 10 10 30 3.9 50 10 5 35 3.10 50 10 20 20 In another embodiment of this aspect, The content of carbonium fluoride is < 5 0 v ο 1 %. Preferably, it is equal to or greater than 1 5 v ο 1 %. The oxygen content is preferably greater than 〇 v ο 1 % and equal to or less than 2 0 v ο 1 %. Ν 2 Ο, and if present, argon to 1 〇 v 〇 1 〇 % difference. These mixtures are particularly suitable (as described above) for selectively etching the tantalum nitride coating on the a- on the final stage of the etching process. Typical examples of such mixtures are compiled in Table 4. -19- 201123293 Table 4: Etching gas mixture with COF2 > 5〇vol% (measured in V ο I %)___ Mixture Ν〇cof2 Ar Oxygen n2o 4.0 49 10 6 35 4.1 45 10 10 35 4.3 45 10 15 30 4.3 40 10 10 40 4.4 40 10 10 40 4.5 35 10 10 45 4.6 35 10 15 40 4.7 30 15 10 35 4.8 30 10 10 50 4.9 25 10 5 60 4.10 25 10 10 65 4.9 20 10 10 60 4.10 20 10 20 50 4.11 15 10 10 65 According to one embodiment, the mixture of the invention comprises a liquid mixture of carbon fluorene and & 0 and optionally other gases such as nitrogen or especially argon or oxygen. In another embodiment, the mixture is gaseous. The pressure may be equal to or greater than 〇1 mbar (absolute 値) to equal to or less than 155 bar (absolute 値). The gas mixture preferably has a pressure equal to or greater than 〇 · 1 mbar (absolute 値) to equal to or less than 1 bar (absolute 値) if they are supplied or prepared in situ in the etching tool. If they are stored in a storage container, they preferably have a pressure of from 2 1 (absolute 値) to equal to or less than 15 bar (absolute 値). In a second aspect, the mixture according to the invention comprises or consists of a mixture of fluorine and hydrazine or a mixture of fluorine, ν 2 hydrazine and argon -20-201123293. The 'F2 content in these mixtures is generally equal to or greater than 50 vol%. The content of argon is preferably 0 to 2 〇 v 〇 1%. N20 and N2O and helium constitute a difference of 1 〇 〇 V 〇 1 %. In a third aspect, the mixture according to the invention comprises or consists of a mixture of fluorine and ruthenium or a mixture of fluorine, ν2ο and argon. In these mixtures, the F2 content is generally equal to or less than 50 vol%. The content of argon is preferably from 0 to 20 vol%. N20 and N20 and argon respectively constitute a difference of 1 〇〇 vol%. The fluorine content is preferably equal to or greater than 2 5 v ο 1 %. In a specific aspect, the mixture comprising fluorine according to the invention further comprises oxygen. In this case, the oxygen content of the gas mixture is generally from > 〇 to 20 vol% and N20 and, if present, argon to 100 v ο 1 %. In the following Tables 5 to 8, the f2-containing mixture of the present invention is described in detail below. In a first aspect the mixture according to the invention comprises or consists of a mixture of F 2 and A 0 or a mixture of F 2 , N 2 0 and argon. The 'F 2 content in these mixtures is generally equal to or greater than 5 〇 v 〇 1 %. The content of argon is preferably from 0 to 20 vol%. N2 〇 and n2 〇 and argon respectively constitute a difference of up to 100 vol %. These mixtures are particularly suitable (as described above) for selectively etching the tantalum nitride coating on the a-ruth during the initial stages of the etching process. Typical examples of such mixtures are compiled in Table 5. -21 - 201123293 Table 5: Etch gas mixture with F 2 > 5 0 v ο 1 % (measured in vol%) Mixture N. F2 Ar N2〇1.0 75 10 10 1.0 70 10 20 1.1 65 10 25 1.3 60 15 25 1.3 60 10 30 1.4 55 10 35 1.5 50 10 40 1.6 75 25 1.7 70 30 1.8 65 45 1.9 60 40 2.0 55 45 2.1 50 50 In a second aspect, the mixture according to the invention comprises or consists of? A mixture of 2 and N 2 或 or a mixture of F 2 , Ν 2 Ο and argon. In these mixtures, the F2 content is generally equal to or less than 50 vol%. The content of argon is preferably 〇 to 20 vol%. N20 and N20 and argon respectively constitute a difference of 1 〇〇 vol%. The content of F2 is preferably equal to or greater than 15 vol%. These mixtures are particularly suitable for selectively etching a tantalum nitride coating on a-ruth in the final stage of etching, wherein a-矽 can be in contact with the etching gas. Typical examples of such mixtures are compiled in Table 6. -22- 201123293 Table 6: Hungry gas mixture with F2 < 50 vol% v ο 1 % given) ^____ (Quantity to --- - Mixing ® F2 Ar N20 2.〇_—— 49 10 41 2 .U__ 45 10 45 2.3__ 40 10 50 2.3__ 35 10 55 2.4 a 30 10 60 2.5__ — 25 10 65 2.6__ 20 10 70 2.7__ 50 10 40 2.8__ — 49 51 2.9 45 55 2.10 40 60 2.11 _ 35 65 2.12 — 30 50 2.13 35 65 2.14 30 70 2.15 25 75 2.16 20 80 2.15 20 85 In a specific aspect, the mixture according to the invention is further. In these cases, the F2 content is as given above, and the argon gas is good. It is 〇 to 20 vol%, and the sum of the amounts of oxygen in the gas mixture is 100 vol%. Therefore, oxygen and N20 contain the difference to 100 vol%. The oxygen content is > 〇v 〇l%, and the content is greater than 0. In a preferred embodiment, 02: N is 0 · 1 ·· 1 to 1: 〇. 1. The mixture may also include nitrogen; they do not include nitrogen. The oxygen content and the N 2 0 content total are still n20 2 〇 Mo Er is better -23- 201123293 in this aspect of a specific In the embodiment, the lanthanide of Fa is equal to or greater than 50 vol%. Preferably, it is equal to or less than 90 VnlD/^^1 /q. The content of oxygen is preferably greater than 〇vol% and equal to or less than 2〇ν υ 1 %. N 2 〇, and if present, the argon gas is at a rate of 10 〇 ν 0 1 %. The Μ k temple & fc compound is particularly suitable (as described above) It is used to selectively etch a tantalum nitride coating on the a-turn during the initial stage of the etching process. Typical examples of the mixture of the temples are compiled in Table 7. Table 7: Etching gas mixture with F0 50 vol% (Quantity is given in vol %)

在這個方面的另一具體實施方式中,F2的含量係<5〇 v ο 1 %。較佳的是,它係等於或大於1 5 v 〇 1 %。氧氣含量較 佳的是大於〇 V 0 1 %並且等於或小於2 0 V ο 1 %。N 2 Ο,以及如 果存在的話氬氣係到1 〇〇 vol%的差値。該等混合物係尤其 合適的(如以上所描述的)用於在該蝕刻過程的最終階段 -24- 201123293 選擇性地蝕刻a-砂上的氮化矽塗層。該等混合物的典型例 子在表8中彙編。 表8 :具有F 2之5 0 v 01 %的蝕刻氣體混合物(量値以 =r U-f / 混合物N。 f2 At 氧氣 N2Q__ 4.0 49 10 6 35一 4.1 45 10 10 35一 4.3 45 10 15 30 一 4.3 40 10 10 40 4.4 40 10 10 40 4.5 35 10 10 45 4.6 35 10 15 40 4.7 30 15 10 35 4.8 30 10 10 50 4.9 25 10 5 60 4.10 25 10 10 65 4.9 20 10 10 60 4.10 20 10 20 50 4.11 15 10 10 65 應理解的是在以上表1至8中所指出的組合物係較佳的 組合物但是它還可以是較佳的組合物的一個範圍的上限或 下限。照這樣,在表中該等限制是可結合的以揭露根據本 發明的較佳的組合物範圍。一個空格揭露了 〇 V 01 %的對應 的氣體。 該等混合物除非它們冷卻來濃縮F2 ’否則是氣體的。 壓力可以是等於或大於0.1毫巴(絕對値)至等於或小於 1 5巴(絕對値)。如果它們係在蝕刻工具中在原位提供或 -25 - 201123293 製備的話’該氣體混合物較佳的是具有等於或大於0.1毫 巴(絕對値)至等於或小於1巴(絕對値)的壓力。如果 將其存儲在一存儲容器中’它們較佳的是具有^ i巴(絕 對値)至等於或小於1 5巴(絕對値)的壓力。 該等混合物可以藉由將對應的分離的氣體流提供至一 工具中在該工具中原位製備。作爲替代方案,它們可以在 將其加入到該工具中之前進行預混合。 根據一較佳的貫施方式’藉由提供400 seem流量的碳 醯氟、50 seem的流量的氮氧化物、以及流動的氬氣所獲 得的混合物,並且較佳的是排除藉由提供400 sccni流量的 碳醯氟、5 0 s c cm流量的氮氧化物以及流動的氬氣所獲得 之具有1毫巴壓力的混合物。 本發明還涉及根據本發明的混合物作爲蝕刻氣體或清 潔氣體的用途。該等混合物合適地適合用以蝕刻一種材料 ’該材料較佳選自:氮化矽、氧化矽或氧氮化矽、a - S i本 質非晶態矽、微晶矽和多晶矽、高摻雜的非晶態矽、高摻 雜的微晶矽以及高摻雜的多晶矽。它們特別適合在根據本 發明的方法中。 本發明還涉及根據本發明的混合物作爲S F 6替代物或 NF3替代物的用途。 碳醯氟以及共同施用的任何其他的氣體可以彼此單獨 地引入到該電漿室中。在此,有可能分佈地引入不同的氣 體。例如,一步驟可以引入氬氣並且開始藉由遠端電獎的 蝕刻。然後,一步驟可以引入碳醯氟或其與其他氣體例如 -26- 201123293 氧氣、氬氣和/或N2〇的混合物。追具有以下優點 供了當引入蝕刻氣體時仍然穩定的電漿。 較佳的是,將碳醯氟與其他氣體例如氮氣1、 氣、和/或N2O在被引入該電獎室之肖|】進丫了混口。 勻的預混合物係較佳的’因爲它確保了固定的條 電漿室內產生原位電漿。 形成層的步驟以及蝕刻步驟可以在已知的裝 ,例如在 AKT,Inc,Applied Materials, Inc 的附 一 PECVD工具中。電漿誘導的鈾刻處理經常在減 進行。壓力在以下以絕對値給出。較佳的是,該 或大於0.1毫巴。較佳的是,它等於或小於1 00毫 佳的是,它等於或小於5 0毫巴。 該蝕刻處理進行足夠的一段時間以提供所希 程度。較佳的是,該處理進行等於或大於1秒。 ,該處理進行等於或小於1 0分鐘,較佳的是等东 分鐘。 離開該電漿反應器的氣體包含未反應的蝕刻 SiF4、或金屬氟化物類以及其他反應產物。廢氣 沖洗’尤其是鹼性水’以除去任何HF、碳醯氟、 並且沉殿金屬氟化物。藉由該清洗機的任何氧、 氬可以被回收或傳送到環境中。與其他蝕刻氣體 性水中或藉由其他眾所周知的方法簡單除去HF、 及氟係另外一優點。 :氬氣提 氧氣、氬 引入一均 件來在該 置中進行 屬公司的 壓條件下 壓力等於 巴。尤其 望的蝕刻 較佳的是 ^或小於5 劑、HF、 可以用水 SiF4或氟 氮、氦或 相比在驗 碳醯氟以 -27- 201123293 【實施方式】 以下的實例將解釋本發明而不是對其進行限制。 實例1 ··包含氧氣的一蝕刻劑氣體混合物的生產 將體積比例70: 1 0:20的碳醯氟、氧氣和氬氣在壓力下 引入到一鋼氣缸中。該氣體混合物可以作爲TFT基質的蝕 刻組合物來施用。 實例2 :包含N20的一蝕刻劑氣體混合物的生產 將體積比例70:20: 1 0的碳醯氟、N20和氬氣在壓力下 引入到一鋼氣缸中。該氣體混合物可以作爲TFT基質的蝕 刻組合物來施用。 實例3 :施用含N20的預混合氣體蝕刻SiNx SiNx藉由PECVD法沉積在一玻璃板上。然後使用引入 到一電槳蝕刻工具中的光致抗蝕劑將該板形成圖案。將該 工具排空,將實例2的氣體混合物引入到該工具中;將壓 力調節到1毫巴,並且將電漿打開。1分鐘之後,將氮氣引 入到該工具中,並且將該蝕刻的樣品從該工具中去除。將 SiNx蝕刻。 實例4 :施用含氧氣的預混合氣體蝕刻SiNxIn another embodiment of this aspect, the amount of F2 is < 5 〇 v ο 1 %. Preferably, it is equal to or greater than 1 5 v 〇 1 %. Preferably, the oxygen content is greater than 〇 V 0 1 % and equal to or less than 20 V ο 1 %. N 2 Ο, and if present, argon to 1 〇〇 vol% of the difference. These mixtures are particularly suitable (as described above) for selectively etching the tantalum nitride coating on a-sand in the final stage of the etching process -24 - 201123293. Typical examples of such mixtures are compiled in Table 8. Table 8: etch gas mixture with F 0 of 5 0 v 01 % (quantity = r Uf / mixture N. f2 At oxygen N2Q__ 4.0 49 10 6 35 - 4.1 45 10 10 35 - 4.3 45 10 15 30 a 4.3 40 10 10 40 4.4 40 10 10 40 4.5 35 10 10 45 4.6 35 10 15 40 4.7 30 15 10 35 4.8 30 10 10 50 4.9 25 10 5 60 4.10 25 10 10 65 4.9 20 10 10 60 4.10 20 10 20 50 4.11 15 10 10 65 It should be understood that the compositions indicated in Tables 1 to 8 above are preferred compositions but it may also be an upper or lower limit of a range of preferred compositions. Such limitations are combinable to reveal a preferred range of compositions in accordance with the present invention. A space reveals the corresponding gas of 01V 01%. The mixtures are concentrated unless they are cooled to concentrate F2 'otherwise gas. Pressure can Is equal to or greater than 0.1 mbar (absolute 値) to equal to or less than 15 bar (absolute 値). If they are provided in situ in an etching tool or prepared in -25 - 201123293, the gas mixture preferably has Equal to or greater than 0.1 mbar (absolute 値) to etc. Pressure at or less than 1 bar (absolute 値). If stored in a storage container 'they preferably have a pressure of from 1 bar (absolute 値) to equal to or less than 15 bar (absolute 値). The equal mixture can be prepared in situ in the tool by providing the corresponding separated gas stream to a tool. Alternatively, they can be premixed prior to being added to the tool. According to a preferred embodiment By 'providing a mixture of carbon fluorination of 400 seem flow, nitrogen oxides of 50 seem, and flowing argon, and preferably excluding carbon fluorene by providing a flow rate of 400 sccni, 5 The mixture having a nitrogen gas oxide of 0 sc cm flow and flowing argon gas having a pressure of 1 mbar. The invention also relates to the use of the mixture according to the invention as an etching gas or a cleaning gas. The mixtures are suitably suitable for use. Etching a material's material is preferably selected from the group consisting of: tantalum nitride, hafnium oxide or hafnium oxynitride, a-S i-essential amorphous germanium, microcrystalline germanium and polycrystalline germanium, highly doped amorphous germanium, high Heteroaryl microcrystalline silicon and highly doped polysilicon. They are particularly suitable in the process according to the invention. The present invention further relates to the use of mixtures according to the invention as S F 6 NF3 replacement or substitute. The carbonium fluoride and any other gases co-administered may be introduced into the plasma chamber separately from each other. Here, it is possible to introduce different gases in a distributed manner. For example, argon can be introduced in one step and etching by remote telemetry is initiated. Then, a step can introduce carbonium fluoride or a mixture thereof with other gases such as -26-201123293 oxygen, argon and/or N2. Chasing has the following advantages to provide a plasma that is stable when an etching gas is introduced. Preferably, the carbonium fluoride is mixed with other gases such as nitrogen 1, gas, and/or N2O in the chamber. A uniform premix is preferred because it ensures that in-situ plasma is generated in the fixed strip plasma chamber. The step of forming the layer and the etching step can be carried out in a known apparatus, for example, in an attached PECVD tool of AKT, Inc, Applied Materials, Inc. Plasma-induced uranium engraving is often performed at a reduced rate. The pressure is given below in absolute terms. Preferably, the or greater than 0.1 mbar. Preferably, it is equal to or less than 100 00, which is equal to or less than 50 mbar. The etching process is carried out for a sufficient period of time to provide the desired degree. Preferably, the process is performed equal to or greater than 1 second. The process is performed at or below 10 minutes, preferably in the east minute. The gas leaving the plasma reactor contains unreacted etched SiF4, or metal fluorides and other reaction products. The exhaust gas is flushed 'especially alkaline water' to remove any HF, carbon fluorene, and sink metal fluoride. Any oxygen or argon that is passed through the washing machine can be recovered or transferred to the environment. Another advantage of HF and fluorine is simply removed from other etched gaseous waters or by other well known methods. : Argon gas is introduced. Oxygen and argon are introduced into a uniform to carry out the pressure under the pressure of the company under the pressure of the company. Particularly preferred etching is preferably or less than 5 doses, HF, water SiF4 or fluorine nitrogen, helium or carbon monoxide in comparison with -27-201123293. [Embodiment] The following examples will explain the present invention instead of Limit it. Example 1 • Production of an etchant gas mixture containing oxygen A volume ratio of 70:10:20 of carbonium fluoride, oxygen and argon was introduced under pressure into a steel cylinder. The gas mixture can be applied as an etching composition for a TFT substrate. Example 2: Production of an etchant gas mixture comprising N20 Carbon-14 fluorine, N20 and argon in a volume ratio of 70:20:10 were introduced under pressure into a steel cylinder. The gas mixture can be applied as an etching composition for a TFT substrate. Example 3: Application of a N20-containing premixed gas to etch SiNx SiNx was deposited on a glass plate by PECVD. The plate is then patterned using a photoresist introduced into an electric paddle etching tool. The tool was emptied and the gas mixture of Example 2 was introduced into the tool; the pressure was adjusted to 1 mbar and the plasma was turned on. After 1 minute, nitrogen was introduced into the tool and the etched sample was removed from the tool. The SiNx is etched. Example 4: Etching SiNx with an oxygen-containing premixed gas

SiNx藉由PECVD法沉積在一玻璃板上。然後使用引入 到一電漿蝕刻工具中的光致抗蝕劑將該板形成圖案。將該 工具排空,將實例1的氣體混合物引入到該工具中;將壓 -28 - 201123293 力調節到1毫巴,並且將電漿打開。1 入到該工具中,並且將該蝕刻的樣品 刻。 實例5:使用在其施用之前迅速 混合物蝕刻SiNxSiNx was deposited on a glass plate by PECVD. The panel is then patterned using a photoresist introduced into a plasma etch tool. The tool was emptied and the gas mixture of Example 1 was introduced into the tool; the pressure -28 - 201123293 was adjusted to 1 mbar and the plasma was turned on. 1 Enter the tool and engrave the etched sample. Example 5: Use of a rapid mixture etching SiNx prior to its application

SiNx藉由PECVD法沉積在一玻璃 用光致抗蝕劑形成圖案並且引入到一 該工具排空。將碳醯亭、氧氣和氮氣 中。將它們以體積比70: 1 0:2 0引入到 線連接到該電漿工具上。將產生的氣 具中;將壓力調節到1毫巴,並且將霄 ,將氮氣引入到該工具中,並且將該 中去除。將S i N x蝕刻。 實例6 :使用在其施用之前迅速產 合物蝕刻SiNxSiNx is deposited by a PECVD method to form a pattern of photoresist with a photoresist and introduced into a tool for evacuation. Place it in a carbon kiosk, oxygen and nitrogen. They are introduced to the plasma tool at a volume ratio of 70: 1 0:2 0. In the gas to be produced; the pressure is adjusted to 1 mbar, and helium is introduced into the tool and removed. S i N x is etched. Example 6: Using a rapid compound to etch SiNx prior to its application

SiNx藉由pEcvD法沉積在一玻璃 用光致抗蝕劑形成圖案並且引入到一 該工具排空。將碳醯氟、N20和氮氣: 中。將它們以體積比70:20: 1 0引入到. 線連接到該電漿工具上。將產生的氣 具中;將壓力調節到丨毫巴,並且將霄 ’將氮氣引入到該工具中,並且將該 分鐘之後,將氮氣引 從該工具中去除。將 產生的含氧氣的氣體 板上。然後將該板使 電漿蝕刻工具中。將 存儲在單獨的鋼氣缸 一公共線中,該公共 體混合物引入到該工 ΐ漿打開。1分鐘之後 蝕刻的樣品從該工具 :生的含Ν2ο的氣體混 板上。然後將該板使 電漿蝕刻工具中。將 存儲在單獨的鋼氣缸 一公共線中,該公共 體混合物引入到該工 i漿打開。1分鐘之後 倉虫刻的樣品從該工具 -29- 201123293 中去除。將SiNx蝕刻。 實例7 :施用含N20的預混合氣體鈾刻Si〇2SiNx is patterned by photoresist deposition by a pEcvD method and introduced into a tool to be evacuated. Carbon fluorene, N20 and nitrogen: medium. They are introduced in a volume ratio of 70:20:1 0. The wire is connected to the plasma tool. In the gas to be produced; the pressure is adjusted to 丨 mbar, and 氮气 ' is introduced into the tool, and after that minute, the nitrogen is removed from the tool. The oxygen-containing gas will be produced on the plate. The plate is then placed in a plasma etch tool. It will be stored in a separate line of individual steel cylinders into which the common body mixture is introduced. After 1 minute, the etched sample was taken from the tool: a raw gas mixture containing Ν2ο. The plate is then placed in a plasma etch tool. It will be stored in a separate line of individual steel cylinders that are introduced into the slurry. After 1 minute, the sample of the worm was removed from the tool -29-201123293. The SiNx is etched. Example 7: Application of N20-containing premixed gas uranium engraved Si〇2

Si〇2藉由PECVD法沉積在一玻璃板上。然後使用引 到一電漿蝕刻工具中的光致抗蝕劑將該板形成圖案。將 工具排空,將實例2的氣體混合物引入到該工具中;將 力調節到1毫巴,並且將電漿打開。1分鐘之後,將氮氣 入到該工具中,並且將該蝕刻的樣品從該工具中去除。 S i Ο 2蝕刻。 實例8:使用在其施用之前迅速產生的含氧氣的氣 混合物蝕刻Si02Si〇2 was deposited on a glass plate by PECVD. The plate is then patterned using a photoresist that is introduced into a plasma etch tool. The tool was emptied and the gas mixture of Example 2 was introduced into the tool; the force was adjusted to 1 mbar and the plasma was turned on. After 1 minute, nitrogen was introduced into the tool and the etched sample was removed from the tool. S i Ο 2 etching. Example 8: Etching SiO 2 using an oxygen-containing gas mixture that is rapidly produced prior to its application

Si 〇2藉由PEC VD法沉積在一玻璃板上。然後將該板 用光致抗蝕劑形成圖案並且引入到一電漿蝕刻工具中。 該工具排空。將碳醯氟、氧氣和氮氣存儲在單獨的鋼氣 中。將它們以體積比7 0 : 1 0 : 2 0引入到一公共線中’該公 線連接到該電漿工具上。將產生的氣體混合物引入到該 具中;將壓力調節到1毫巴,並且將電漿打開。1分鐘之 ,將氮氣引入到該工具中,並且將該蝕刻的樣品從該工 中去除。將Si02鈾刻。 實例9:使用在其施用之前迅速產生的含N2〇的氣體 合物蝕刻Si 02 s i 〇2藉由p E C V D法沉積在一玻璃板上。然後將該板 入 該 壓 引 將 體 使 將 缸 共 工 後 具 混 使 -30- 201123293 用光致抗蝕劑形成圖案並且引入到—電漿蝕刻工具中。將 該工具排空。將碳醯氟、N2o和氮氣存儲在單獨的鋼氣缸 中。將它們以體積比70:20:1 0引入到一公共線中,該公共 線連接到該電漿工具上。將產生的氣體混合物引入到該工 具中;將壓力調節到1毫巴,並且將電漿打開。1分鐘之後 ,將氮氣引入到該工具中,並且將該蝕刻的樣品從該工具 中去除。將Si〇2蝕刻。 實例1 0 :使用預混合的混合物蝕刻非晶態矽 非晶態矽藉由PECVD法沉積在一玻璃板上。然後將該 板使用光致抗蝕劑形成圖案並且引入到一電漿蝕刻工具中 。將該工具排空,將實例1的氣體混合物引入到該工具中 ;將壓力調節到1毫巴,並且將電漿打開。1分鐘之後,將 氮氣引入到該工具中,並且將該蝕刻的樣品從該工具中去 除。將矽蝕刻。 實例11:使用在其施用之前迅速產生的含氧氣的氣體 混合物蝕刻非晶態矽 非晶態矽藉由PECVD法沉積在一玻璃板上。然後將該 板使用光致抗蝕劑形成圖案並且引入到一電槳蝕刻工具中 。將該工具排空。將碳醯氟、氧氣和氮氣存儲在單獨的鋼 氣缸中。將它們以體積比70: 1 0:20引入到一公共線中,該 公共線連接到該電漿工具上。將產生的氣體混合物引入到 該工具中;將壓力調節到1毫巴,並且將電漿打開。1分鐘 -31 - 201123293 之後,將氮氣引入到該工具中’並且將該蝕刻的樣品從該 工具中去除。將矽鈾刻。 實例1 2 :使用F2/N20蝕刻在一非晶態矽層上的氮化矽 層 將一氮化矽層藉由PECVD過程沉積在一非晶態矽層上 〇 初始地,將純的F2以200 seem的流速供應到該蝕刻室 中。將600瓦13.56 MHz的高頻電源提供給該電漿工具。某 段時間之後,將N20以40至60 seem再通過該工具。最後’ 將N 2 Ο的流量增加到5 0 0 s c c m。當達到所希望的氮化砍蝕 刻之後可以停止該鈾刻過程。 實例1 3 :使用F 2 /Ν2 Ο在氬氣的存在下蝕刻在一非晶態 石夕層上的氮化砍層 將一氮化矽層藉由PECVD過程沉積在一非晶態矽層上 〇 初始時’將F2和氬氣以200 seem ( F2)和40 seem (氬 氣)的流速供應至該蝕刻室中。將600瓦13 ·56 MHz的高頻 電源提供給該電漿工具。某段時間之後’將N2〇以4〇至6〇 seem的流速再通過該工具。在最後階段,將N20的流量增 加到5 00 seem。當達到所希望的氮化矽蝕刻之後可以停止 該蝕刻過程。 -32- 201123293 實例1 4 :使用C0F2/N20鈾刻在一非晶態矽層上的氮化 矽層 將一氮化矽層藉由p ECVD過程沉積在一非晶態矽層上 〇 初始地,將純的COF2以200 seem的流速供應到該蝕刻 室中。將600瓦13.56 MHz的高頻電源提供給該電漿工具。 某段時間之後,將N20以40至60 seem的流速再通過該工具 並且增加到600 sccm。當達到所希望的氮化矽蝕刻之後可 以停止該蝕刻過程。 實例15 :使用C0F2/N20在氬氣的存在下蝕刻在一非晶 態矽層上的氮化矽層。 將一氮化矽層藉由PECVD過程沉積在一非晶態矽層上 〇 初始時,將COF2和氬氣以200 seem (F〗)和40 seem (氬氣)的流速供應至該蝕刻室中。將600瓦13·56 MHz的 高頻電源提供給該電漿工具。某段時間之後,將Ν2 Ο以4 0 至6 0 s c cm的流速再通過該工具並且將流速逐漸增加到6 0 0 seem。當達到所希望的氮化矽鈾刻之後可以停止該蝕刻過 程。使用預混合的氣體混合物的優點係確保了高均勻性, 並且應用更簡單,排除了組分的混合。使用在氣體混合物 引入到電漿工具之前迅速產生的氣體混合物的優點係更高 柔性和更高的涉及組分的量精確性。 非晶態矽上的氮化矽層的蝕刻可以藉由初始以更高的 -33- 201123293 濃度的c OF2或F2施用鈾刻氣體並且在以上描述的蝕刻過程 的隨後階段加入N2o和/或氧氣有利地進行。 -34-Si 〇 2 was deposited on a glass plate by a PEC VD method. The plate is then patterned with photoresist and introduced into a plasma etch tool. The tool is empty. Carbonium fluoride, oxygen and nitrogen are stored in separate steel gases. They are introduced into a common line at a volume ratio of 70:10:20. The bus is connected to the plasma tool. The resulting gas mixture was introduced into the tool; the pressure was adjusted to 1 mbar and the plasma was turned on. Nitrogen gas was introduced into the tool at 1 minute and the etched sample was removed from the work. The SiO 2 is engraved. Example 9: Etching of Si 02 s i 〇 2 using a gas composition containing N 2 ruthenium which was rapidly generated before its application was deposited on a glass plate by the p E C V D method. The plate is then placed into the press and the cylinder is co-processed and then mixed with -30-201123293 patterned with photoresist and introduced into a plasma etching tool. Empty the tool. Carbonium fluoride, N2o and nitrogen are stored in separate steel cylinders. They are introduced into a common line at a volume ratio of 70:20:1 0, which is connected to the plasma tool. The resulting gas mixture was introduced into the tool; the pressure was adjusted to 1 mbar and the plasma was turned on. After 1 minute, nitrogen was introduced into the tool and the etched sample was removed from the tool. The Si〇2 is etched. Example 10: Etching of amorphous 矽 using a pre-mixed mixture Amorphous 矽 was deposited on a glass plate by PECVD. The plate is then patterned using photoresist and introduced into a plasma etch tool. The tool was emptied and the gas mixture of Example 1 was introduced into the tool; the pressure was adjusted to 1 mbar and the plasma was turned on. After 1 minute, nitrogen was introduced into the tool and the etched sample was removed from the tool. The 矽 is etched. Example 11: Etching of amorphous 矽 using an oxygen-containing gas mixture which was rapidly produced prior to its application. Amorphous 矽 was deposited on a glass plate by PECVD. The plate is then patterned using photoresist and introduced into an electric paddle etch tool. Empty the tool. Carbonium fluoride, oxygen and nitrogen are stored in separate steel cylinders. They are introduced into a common line at a volume ratio of 70:1 0:20, which is connected to the plasma tool. The resulting gas mixture was introduced into the tool; the pressure was adjusted to 1 mbar and the plasma was turned on. After 1 minute -31 - 201123293, nitrogen was introduced into the tool' and the etched sample was removed from the tool. The uranium is engraved. Example 1 2: etching a tantalum nitride layer on an amorphous germanium layer using F2/N20 depositing a tantalum nitride layer on an amorphous germanium layer by a PECVD process, initially, pure F2 A flow rate of 200 seem is supplied to the etching chamber. A 600 watt 13.56 MHz high frequency power supply is supplied to the plasma tool. After a certain period of time, pass the N20 through the tool at 40 to 60 seem. Finally, the flow rate of N 2 Ο is increased to 5 0 s c c m. The uranium engraving process can be stopped after the desired nitriding etch is achieved. Example 1 3: Using a F 2 /Ν2 Ο etched a nitrided layer on an amorphous layer in the presence of argon. A layer of tantalum nitride is deposited on an amorphous layer by a PECVD process. 〇 Initially 'F2 and argon were supplied to the etch chamber at flow rates of 200 seem (F2) and 40 seem (argon). A 600 watt 13 · 56 MHz high frequency power supply is supplied to the plasma tool. After a certain period of time, 'N2〇 is passed through the tool at a flow rate of 4〇 to 6〇 seem. In the final stage, the flow of N20 is increased to 500 seem. The etching process can be stopped after the desired tantalum nitride etch is achieved. -32- 201123293 Example 1 4: A tantalum nitride layer engraved on an amorphous germanium layer using C0F2/N20 uranium. A tantalum nitride layer is deposited on an amorphous germanium layer by a p ECVD process. Pure COF2 was supplied to the etching chamber at a flow rate of 200 seem. A 600 watt 13.56 MHz high frequency power supply is supplied to the plasma tool. After a certain period of time, the N20 was passed through the tool at a flow rate of 40 to 60 seem and increased to 600 sccm. The etching process can be stopped after the desired tantalum nitride etch is achieved. Example 15: A tantalum nitride layer on an amorphous tantalum layer was etched using COF2/N20 in the presence of argon. A layer of tantalum nitride is deposited on an amorphous germanium layer by a PECVD process. Initially, COF2 and argon are supplied to the etching chamber at a flow rate of 200 seem (F) and 40 seem (argon). . A 600 watt 13·56 MHz high frequency power supply is supplied to the plasma tool. After a certain period of time, Ν2 再 re-passes the tool at a flow rate of 40 to 60 s c cm and gradually increases the flow rate to 600 seem. The etching process can be stopped after the desired tantalum nitride uranium engraving is achieved. The advantage of using a premixed gas mixture is to ensure high uniformity and to be simpler to apply, eliminating the mixing of components. The advantage of using a gas mixture that is rapidly produced prior to introduction of the gas mixture into the plasma tool is higher flexibility and higher amount accuracy with respect to the components. The etching of the tantalum nitride layer on the amorphous germanium may be performed by applying uranium engraving gas initially at a higher concentration of -33-201123293 c OF2 or F2 and adding N2o and/or oxygen at a subsequent stage of the etching process described above. It is advantageously carried out. -34-

Claims (1)

201123293 七、申請專利範圍: 1·—種用於製造TFT基質之方法’其包括至少一個步 驟’其中將包括氮化矽或a - S i的層用氣體蝕刻劑進行軸刻 ’並且其中該蝕刻劑包括碳醯氟(C OF2 )、f2或其混合物 〇 2 .如申請專利範圍第1項之方法,其中該蝕刻劑包括 或由碳醯氟構成。 3 .如申請專利範圍第1項之方法’其中該蝕刻步驟係 電漿輔助的。 4 ·如申請專利範圍第1項之方法,其中該層由氮化矽 構成。 5. 如申請專利範圍第1項之方法’其中使用碳醯氟或 其與至少一種氣體之混合物作爲蝕刻氣體,該氣體係選自 :氮氣、氬氣、n2o以及氧氣。 6. 如申請專利範圍第5項之方法,其中將包括或由碳 醯氟、氧氣和氬氣構成之混合物施用爲蝕刻氣體。 7 .如申請專利範圍第6項之方法,其中將包括或由碳 醯氟、N 2 0和氬氣構成之混合物施用爲蝕刻氣體。 8-如申請專利範圍第1項之方法,但是排除藉由提供 400 seem流量的碳醯氟、50 sccm的流量的氮氧化物、以及 流動的氬氣所獲得的混合物,並且較佳地排除藉由提供 400 seem流量的碳醯氟、50 sccm流量的氮氧化物以及流動 的氬氣所獲得之具有1毫巴壓力的混合物。 9.如申請專利範圍第1項之方法,其中使用氟或其與 -35- 201123293 至少一種氣 氣、氬氣、: ίο.如 由氟與至少 並且其中在ί 佳的是約60 1 1·如 由氟與至少 並且其中在: 佳的是約60 1 2.如 (C〇F2 )、 N F 3代替物t 1 3 _如 含量係等於 塗覆在a -矽_ ".如 程的初始階 度。 16. 一 並且其中碳 ”,如 惰性氣體, vol%,並且 體之h 口物作爲蝕刻氣體,該氣體係選自:氮 氧氣以及N 2 〇。 申請專利範圍第9項之方法,其中使用包括或 一種进自氮m和氬氣$氣體所構成之混合物, 該混合物中氟含量係從5〇¥〇1%至7〇 v〇1% ’較 vol%。 申請專利範圍第9項之方法’其中使用包括或 一種選自氧氣和N2〇之氣體所構成之混合物, 該混合物中氟含量係從50 ¥〇1%至70 vol%,較 v ο 1 %。 申請專利範圍第1項之方法,其中包括碳醯氟 F2或其混合物的該飽刻劑係作爲SF6代替物或 ) 申請專利範圍第1項之方法,其中€〇1;2或^的 或大於15 v〇l% ’且低於5〇 v〇1%,並且其中將 上的氮化矽選擇性地蝕刻。 申請專利範圍第1 4項之方法,其中在該蝕刻過 段中F2或cob的濃度係高於在最終階段中的濃 種包括或由碳醯氟或氟和N 2 〇構成的混合物, 醯氟或氟的含量較佳地等於或大於5 〇 v 〇 1 %。 申nra專利範圍第1 6項之混合物,其進一步包括 較佳地氬氣’其中惰性氣體的含量係0至20 N 2 〇係到1 〇 〇 v 〇 1 %的差値。 -36- 201123293 18.如申請專利範圍第1 6項之混合物,其進一步包括 氧氣’其中氧氣的含量係 > 0至20 vol%,並且n2〇係到 1 0 0 v ο 1 %的差値。 19. 一種包括或由碳醯氟或氟和n20構成之混合物, 並且其中碳醯氟或氟的含量較佳地等於或大於15 v〇l%並 且小於5 0 v ο 1 %。 20. 如申請專利範圍第1 9項之混合物,其進一步包括 惰性氣體,較佳地氬氣’其中惰性氣體的含量係〇至2 〇 V ο 1 % ’並且N 2 Ο係到1 ο ο V 〇 1 %的差値。 2 1 如申請專利範圍第! 9項之混合物,其進—步包括 氧氣,其中氧氣的含量係> 〇至20 vol%,並且n2〇係到 1 0 0 v ο 1 %的差値。 2 2 ·—種如申請專利範圍第1 6至1 8項中任一項之混合 物之用途’該混合物係作爲蝕刻氣體或清潔氣體以蝕刻材 料’該材料較佳地選自:氮化矽、氧化矽或氧氮化矽、本 貞非日日恶砂(intrinsic amorphous silicon)、微晶砂和多晶 砂、局摻雜的非晶態矽、高摻雜的微晶矽以及高摻雜的多 晶砂。 2 3 · 一種如申請專利範圍第1 9至2 1項中任一項之混合 物之用途’該混合物作爲蝕刻氣體或清潔氣體以選擇性地 触刻材料,該材料較佳地選自:作爲本質非晶態矽、微晶 砂和多晶砂、高摻雜的非晶態矽、高摻雜的微晶矽以及高 ί/雜的多_砂上之塗覆層的氮化砂、氧化砂或氧氮化砍。 •—種如申請專利範圍第1 6項之混合物作爲S F 6替 -37- 201123293 代物或nf3替代物之用途。 2 5. —種如申請專利範圍第19項之混合物作爲SF6替 代物或NF3替代物之用途。 -38- 201123293 四、指定代表圖: (一) 本案指定代表圖為:無 (二) 本代表圖之元件符號簡單說明:無 201123293 五 本案若有化學式時,請揭示最能顯示發明特徵的化學 式:無201123293 VII. Patent application scope: 1. A method for manufacturing a TFT substrate 'which includes at least one step' in which a layer including tantalum nitride or a-S i is axially engraved with a gas etchant and wherein the etching The agent includes carbon fluorinated fluorine (C OF2 ), f 2 or a mixture thereof. The method of claim 1, wherein the etchant comprises or consists of carbon fluorene. 3. The method of claim 1, wherein the etching step is plasma assisted. 4. The method of claim 1, wherein the layer is composed of tantalum nitride. 5. The method of claim 1, wherein carbon fluorinated or a mixture thereof with at least one gas is used as the etching gas, the gas system being selected from the group consisting of nitrogen, argon, n2O, and oxygen. 6. The method of claim 5, wherein the mixture comprising or consisting of carbon, fluorine, oxygen and argon is applied as an etching gas. 7. The method of claim 6, wherein the mixture comprising or consisting of carbonium fluoride, N20 and argon is applied as an etching gas. 8-, as in the method of claim 1, but excluding a mixture obtained by providing 400 seem flow of carbon fluorene, a flow of nitrogen oxides of 50 sccm, and flowing argon, and preferably excluding A mixture having a pressure of 1 mbar was obtained by providing 400 seem flow of carbon fluorene, 50 sccm of nitrogen oxides, and flowing argon. 9. The method of claim 1, wherein the fluorine or the same is used with -35-201123293 at least one gas, argon, or ίο. as by fluorine and at least and wherein ί is about 60 1 1· As by fluorine and at least and where: preferably: about 60 1 2. such as (C〇F2), NF 3 substitute t 1 3 _ such as the content is equal to the coating in a -矽_ ". Degree. 16. One and wherein carbon", such as an inert gas, vol%, and a substance of the gas as an etching gas, the gas system being selected from the group consisting of nitrogen and oxygen and N 2 〇. The method of claim 9 wherein the use comprises Or a mixture of nitrogen m and argon gas, the fluorine content of the mixture is from 5 〇 〇 1% to 7 〇 v 〇 1% 'relative vol%. The method of claim 9 ' Wherein a mixture comprising or a gas selected from the group consisting of oxygen and N2 hydrazine is used, the fluorine content of the mixture being from 50 〇1% to 70 vol%, more than v ο 1 %. The method comprising the carbon fluorinated fluorine F2 or a mixture thereof as a SF6 substitute or the method of claim 1 wherein: 〇1; 2 or ^ or greater than 15 v〇l% ' and lower 5〇v〇1%, and wherein the tantalum nitride is selectively etched. The method of claim 14 wherein the concentration of F2 or cob in the etched section is higher than in the final stage Concentrated species including or consisting of carbon fluorene or a mixture of fluorine and N 2 hydrazine, fluorinated or The content is preferably equal to or greater than 5 〇v 〇1%. The mixture of claim 16 of the nra patent range further comprises preferably argon gas wherein the content of the inert gas is 0 to 20 N 2 〇 to 1 〇〇v 〇1% difference. -36- 201123293 18. A mixture of claim 16 of the patent scope further comprising oxygen 'where the oxygen content is > 0 to 20 vol%, and n2 is tied to 1 0 0 v ο 1 % difference 19. A mixture comprising or consisting of carbon fluorene or fluorine and n20, and wherein the content of carbon fluorene or fluorine is preferably equal to or greater than 15 v〇l% and less than 5 0 v ο 1 %. 20. The mixture of claim 19, further comprising an inert gas, preferably argon, wherein the inert gas content is 〇 to 2 〇V ο 1 % ' and N 2 Ο 到 1 1 V % % 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 2 To the difference of 1 0 0 v ο 1 %. 2 2 ·—If you apply for any of the patent scopes 16 to 18 Use of the mixture 'The mixture is used as an etching gas or a cleaning gas to etch the material'. The material is preferably selected from the group consisting of: tantalum nitride, tantalum oxide or hafnium oxynitride, intrinsic amorphous silicon, Microcrystalline sand and polycrystalline sand, partially doped amorphous germanium, highly doped microcrystalline germanium, and highly doped polycrystalline sand. 2 3 · Use of a mixture as claimed in any one of claims 9 to 21, the mixture being used as an etching gas or a cleaning gas to selectively etch a material, preferably selected from the group consisting of: Amorphous germanium, microcrystalline sand and polycrystalline sand, highly doped amorphous germanium, highly doped microcrystalline germanium, and coated sand or oxidized sand on high/hetero-multi-sand Oxynitriding cut. • Use of a mixture as claimed in Article 16 of the patent application as an alternative to the S F 6 substitute -37-201123293 substitute or nf3. 2 5. Use of a mixture as claimed in claim 19 as an alternative to SF6 or NF3. -38- 201123293 IV. Designated representative map: (1) The representative representative of the case is: No (2) The symbol of the representative figure is simple: No 201123293 If there is a chemical formula in the case, please disclose the chemical formula that best shows the characteristics of the invention. :no
TW099136546A 2009-10-26 2010-10-26 Etching process for producing a TFT matrix TW201123293A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
EP09174034 2009-10-26

Publications (1)

Publication Number Publication Date
TW201123293A true TW201123293A (en) 2011-07-01

Family

ID=41480337

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099136546A TW201123293A (en) 2009-10-26 2010-10-26 Etching process for producing a TFT matrix

Country Status (5)

Country Link
JP (1) JP2013508990A (en)
KR (1) KR20120098751A (en)
CN (1) CN102754201A (en)
TW (1) TW201123293A (en)
WO (1) WO2011051251A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI828964B (en) * 2020-01-30 2024-01-11 日商力森諾科股份有限公司 Etching method

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2008348838A1 (en) 2008-01-23 2009-07-30 Solvay Fluor Gmbh Process for the manufacture of solar cells
EP2549526A1 (en) * 2011-07-18 2013-01-23 Solvay Sa Process for the production of etched items using fluorosubstituted compounds
EP2549525A1 (en) * 2011-07-18 2013-01-23 Solvay Sa Process for the production of etched items using CHF3
US8956980B1 (en) * 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
EP2871669A1 (en) * 2013-11-07 2015-05-13 Solvay SA Gas mixture and gas transportation vessel therefor
EP2944385A1 (en) 2014-05-12 2015-11-18 Solvay SA A process for etching and chamber cleaning and a gas therefor
US20160181116A1 (en) * 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
JPWO2022009553A1 (en) * 2020-07-09 2022-01-13

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4308990A1 (en) * 1993-03-20 1994-09-22 Bosch Gmbh Robert Etching method and device for cleaning semiconductor elements, in particular power diodes
JPH10223614A (en) * 1997-02-12 1998-08-21 Daikin Ind Ltd Etching gas and cleaning gas
KR100275887B1 (en) 1997-11-14 2001-02-01 구자홍 Method for compensating temperature of microwave oven
US6713235B1 (en) * 1999-03-30 2004-03-30 Citizen Watch Co., Ltd. Method for fabricating thin-film substrate and thin-film substrate fabricated by the method
TW517260B (en) * 1999-05-15 2003-01-11 Semiconductor Energy Lab Semiconductor device and method for its fabrication
TW437096B (en) 1999-12-20 2001-05-28 Hannstar Display Corp Manufacturing method for thin film transistor
JP4112198B2 (en) * 2000-09-11 2008-07-02 財団法人地球環境産業技術研究機構 Cleaning gas and etching gas, and chamber cleaning method and etching method
DE60237380D1 (en) * 2001-08-30 2010-09-30 Anelva Corp PLASMA CLEANING PROCESS
US6955177B1 (en) * 2001-12-07 2005-10-18 Novellus Systems, Inc. Methods for post polysilicon etch photoresist and polymer removal with minimal gate oxide loss
JP2003178986A (en) * 2001-12-13 2003-06-27 Showa Denko Kk Cleaning gas and cleaning method of semiconductor manufacturing apparatus
US20050241670A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for cleaning a reactor using electron attachment
US20060016459A1 (en) * 2004-05-12 2006-01-26 Mcfarlane Graham High rate etching using high pressure F2 plasma with argon dilution
JP2006013058A (en) * 2004-06-24 2006-01-12 Sharp Corp Dry etching device
JP2009508688A (en) * 2005-09-20 2009-03-05 エア プロダクツ アンド ケミカルズ インコーポレイテッド Apparatus and method for surface treating a substrate using an activated reactive gas
EP2007923B1 (en) * 2006-04-10 2017-07-19 Solvay Fluor GmbH Etching process
JP4153961B2 (en) * 2006-04-25 2008-09-24 積水化学工業株式会社 Etching method of silicon
JP2009094209A (en) * 2007-10-05 2009-04-30 Sekisui Chem Co Ltd Etching method of silicon
EP2235742B1 (en) * 2007-12-21 2020-02-12 Solvay Fluor GmbH Process for the production of microelectromechanical systems
AU2008348838A1 (en) * 2008-01-23 2009-07-30 Solvay Fluor Gmbh Process for the manufacture of solar cells
JP4596287B2 (en) * 2008-09-19 2010-12-08 カシオ計算機株式会社 Method for dry etching of a film containing silicon
CN102292169A (en) * 2009-01-27 2011-12-21 琳德股份公司 Molecular fluorine etching of silicon thin films for photovoltaic and other lower-temperature chemical vapor deposition processes

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI828964B (en) * 2020-01-30 2024-01-11 日商力森諾科股份有限公司 Etching method

Also Published As

Publication number Publication date
KR20120098751A (en) 2012-09-05
CN102754201A (en) 2012-10-24
JP2013508990A (en) 2013-03-07
WO2011051251A1 (en) 2011-05-05

Similar Documents

Publication Publication Date Title
TW201123293A (en) Etching process for producing a TFT matrix
TWI230434B (en) A method for making a semiconductor device having a high-k gate dielectric
CN102017081B (en) Boron nitride and boron-nitride derived materials deposition method
JP5328094B2 (en) Plasma composition for selectively etching high-k materials
US6432779B1 (en) Selective removal of a metal oxide dielectric
TWI376734B (en) A novel solution for polymer and capping layer removing with wet dipping in hk metal gate etching process
US6623653B2 (en) System and method for etching adjoining layers of silicon and indium tin oxide
JP2007311431A (en) Laminated film pattern formation method and gate electrode formation method
CN101582374B (en) Method of forming a thin film pattern for semiconductor device and apparatus for the same
US8268683B2 (en) Method for reducing interfacial layer thickness for high-K and metal gate stack
CN103189962A (en) Atomic layer deposition of photoresist materials and hard mask precursors
TW201013773A (en) Method for photoresist pattern removal
TW200426917A (en) Method for removing photoresist in semiconductor manufacturing process
KR100744005B1 (en) Method for forming of metal pattern in semiconductor device
WO2004017390A1 (en) Method and compositions for hardening photoresist in etching processes
TW202107566A (en) Etching of metal oxides using fluorine and metal halides
US9059142B2 (en) Semiconductor device having vertical gates and fabrication thereof
JPH11177104A (en) Fabrication of thin film semiconductor device
TWI307524B (en)
US20230122224A1 (en) Selective deposition of metal oxide by pulsed chemical vapor deposition
TW200303053A (en) A high selectivity and residue free process for metal on thin dielectric gate etch application
CN1846297A (en) A method of forming a teos cap layer at low temperature and reduced deposition rate
TW201246296A (en) Pattern forming method
JP2006093242A (en) Method of manufacturing semiconductor device
US7271102B2 (en) Method of etching uniform silicon layer