CN102754201A - Etching process for producing a tft matrix - Google Patents

Etching process for producing a tft matrix Download PDF

Info

Publication number
CN102754201A
CN102754201A CN2010800509172A CN201080050917A CN102754201A CN 102754201 A CN102754201 A CN 102754201A CN 2010800509172 A CN2010800509172 A CN 2010800509172A CN 201080050917 A CN201080050917 A CN 201080050917A CN 102754201 A CN102754201 A CN 102754201A
Authority
CN
China
Prior art keywords
mixture
gas
etching
volume
carbonyl fluoride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2010800509172A
Other languages
Chinese (zh)
Inventor
马尔塞洛·里瓦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Solvay Fluor GmbH
Original Assignee
Solvay Fluor und Derivate GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Solvay Fluor und Derivate GmbH filed Critical Solvay Fluor und Derivate GmbH
Publication of CN102754201A publication Critical patent/CN102754201A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs

Abstract

A thin film transistor (TFT) matrix for a liquid crystal display (LCD) can be prepared by performing several steps of forming layers, and several steps of partially etching layers. Fluorine and preferably carbonyl fluoride, preferably together with oxygen, N2O and/or argon, are used as etching gases. The invention also concerns a gas mixture consisting of F2 or carbonyl fluoride, N2O and optionally argon.

Description

Be used to produce the engraving method of TFT matrix
Please require the rights and interests of the European Patent Application No. 09174034.0 of submission on October 26th, 2009 in this patent, its full content has been combined in the present patent application by reference.
The present invention relates to be used to produce a kind of method of a kind of thin-film transistor (TFT) matrix of LCD (LCD); And relate more specifically to the masking steps that reduces form TFT matrix a kind of simplification back passage engraving method and relate to admixture of gas, particularly suitable conduct is used for the etching gas of this kind process.
The manufacturing of TFT matrix comprises the several steps of the layer that forms certain several material, for example photoresist layer, conductive layer, etch-stop agent layer, semiconductor layer and passivation layer.With these the layer use and then etching to obtain this TFT matrix.As at US 6,406, mentioned in 928, the etching of passivation layer can use fluoroform to carry out, and the etching of semiconductor layer can use carbon tetrafluoride, boron chloride, chlorine, sulphur hexafluoride or their a kind of mixture to carry out.
These etching reagent have many shortcomings.For example, to be considered to the reason based on environmental protection be imperfect for fluoroform, carbon tetrafluoride and sulphur hexafluoride.
The purpose of this invention is to provide a kind of improved method of a kind of thin-film transistor (TFT) matrix that is used for making LCD (LCD) and provide a kind of improved etching gas that is useful on the method.Of the present invention these with other purposes be to realize through method of the present invention and etchant gas mixture.
The method that is used to make TFT matrix of the present invention, comprise at least one step wherein with a layer with a kind of gaseous etchant carry out etching and wherein this etchant comprise carbonyl fluoride (COF 2), F 2Or their a kind of mixture.
Fluorine (F2) does not have GWP and can not influence ozone layer.It is non-paradoxical reaction property, but is not very optionally, and therefore should be with the administered of dilution.It can be used for for example etch tungsten (W).
Carbonyl fluoride has the following advantages: the GWP that it has be 1 and it does not influence ozone layer.It is very suitable under framework of the present invention and is preferred etching gas in the method for the invention.In a concrete embodiment, particularly when using a kind of etching gas that comprises carbonyl fluoride, this etching gas does not preferably have element fluorine.
In one embodiment, this etchant comprises or is made up of carbonyl fluoride.In another embodiment, this etchant comprises or is made up of fluorine.
This embodiment is the fast-etching that is particularly suitable for amorphous silicon or silicon nitride.
Comprise or by the mixture that fluorine or carbonyl fluoride and nitrogen or argon gas constitute be appropriate to very much etching method for amorphous silicon or silicon nitride and especially be appropriate to etching of silicon nitride.
In a concrete embodiment, carbonyl fluoride and at least a is selected from the mixture of gas of the group that nitrogen, argon gas, N2O and oxygen constitutes as according to the method for the invention etching gas.
In a first aspect of this embodiment, will comprise or use being etching gas by a kind of mixture that carbonyl fluoride, oxygen and argon gas constitute.In a second aspect of this embodiment, will comprise or use being etching gas by a kind of mixture that carbonyl fluoride, N2O and argon gas constitute.
Aspect according to the method for the invention specific, this etching step is that plasma is auxiliary.
When carrying out etching by a layer processing of a kind of material that is selected from down group, advantageously use according to the method for the invention, this group constitute silicon nitride, silica, silicon oxynitride or two kinds or more kinds of combinations in them.More advantageously, when this layer comprises or is made up of silicon nitride, use according to the method for the invention.According to the method for the invention one concrete aspect; Use and comprise carbonyl fluoride and N2O and argon gas the and randomly mixture of oxygen is with a layer on the etching a-Si layer optionally randomly, this layer comprises or constituted by carborundum, silicon oxynitride and both one.Preferably; Use the mixture that comprises or especially constitute by carbonyl fluoride, N2O and argon gas or comprise or the mixture silicon that especially is made up of carbonyl fluoride, N2O, oxygen and argon gas is a kind of coordination atom of quadruple that it is attached on four adjacent silicon atoms with tetrahedron usually.In crystalline silicon, this tetrahedral structure continues in a big scope, has therefore formed a good orderly lattice.
In amorphous silicon, be expressed as a-Si or α-Si, this long-range order does not exist.But a plurality of atoms have formed a continuous random network.In addition, be not used atom in the amorphous silicon all be the quadruple coordination.Because some atoms of unordered character of material have dangling bonds.The result is, term " a-Si " representes that silicon atom has wherein formed the silicon of a continuous random network.
The existence of a kind of mixture of N2O, oxygen or N2O and oxygen provides for etched selectivity: when the silicon nitride layer that has applied this a-Si layer is etched; And when the a-Si layer contacts with etchant gas mixture; The oxidized entering of a-Si on this laminar surface contacts with N2O and is passivation therefore; Because formed a silicon oxide layer, it has protected a-Si to avoid being etched.
Again on the other hand, use and come etching according to the method for the invention by the formed layer of material that is selected from down group, this group constitute intrinsic amorphous silicon, microcrystal silicon and polysilicon.Microcrystal silicon (also being called nanocrystalline silicon) comprises little crystal.It has absorbed the light of wideer spectrum and has been flexible.Polysilicon (or hemihedral crystal silicon, polysilicon, many-Si) be a kind of material that constitutes by a plurality of little silicon crystal.
Again on the other hand, use and come etching according to the method for the invention by the formed layer of material that is selected from down group, the amorphous silicon that constitutes high doped of this group, the microcrystal silicon of high doped and the polysilicon of high doped.
Also might be through using the etching gas that constitutes by carbonyl fluoride, fluorine or preferably coming the amorphous silicon of etching intrinsic amorphous state microcrystal silicon, microcrystal silicon and polysilicon, high doped, the microcrystal silicon of high doped and the polysilicon of high doped through using the mixture that constitutes by carbonyl fluoride and argon gas and optional nitrogen to carry out a fast-etching.
In another embodiment; Optionally etching be might carry out and etching of silicon nitride, silicon oxynitride or their mixture come; They exist as a coat on the polysilicon of the microcrystal silicon of the amorphous silicon of intrinsic amorphous silicon, microcrystal silicon and polysilicon, high doped, high doped and high doped, and following material is used in this etching:
Comprise carbonyl fluoride and N 2The mixture of O randomly in the presence of argon gas, when contacting with these admixture of gas, provides the passivation of said Si;
Comprise carbonyl fluoride and N 2The mixture of O and argon gas when contacting with these admixture of gas, provides the passivation of said Si;
Comprise carbonyl fluoride, N 2The mixture of O and oxygen randomly in the presence of argon gas, when contacting with these admixture of gas, provides the passivation of said Si;
Comprise carbonyl fluoride and N 2The mixture of O, oxygen and argon gas when contacting with these admixture of gas, provides the passivation of said Si.
The present invention is elaborated with regard to an embodiment preferred now.In the manufacture process of a kind of TFTLCD, cambium layer and the step that partly etches away the several successive of these layers are essential.United States Patent (USP) 6,406,928 have described the method that is used to make TFT.Therefore, it has been mentioned in the method for routine, forming TFT matrix needs six to nine masking steps.A 6-masking procedure for example can may further comprise the steps:
One first conducting shell is coated on the glass substrate, and an active region of using one first optical masking and lithographic procedures to make this first conducting shell form pattern and its etching is constituted with the gate electrode that forms by a scan line and a TFT unit;
On the structure that produces, form an insulating barrier, an amorphous silicon (a-Si) layer, a n+ amorphous silicon layer and a photoresist layer subsequently; And the structure that will produce exposes from the back side of substrate, wherein protects to show a kind of from alignment effect through this part being avoided exposure the part of the photoresist on the zone;
Etch away the photoresist of exposure, the part below it and remaining photoresist and make each remaining layer have identical with part mentioned above basically shape like this, and use one second optical masking and lithographic procedures to make said layer form pattern once more and with its etching to separate a TFT unit; Use one the 3rd optical masking and photoetching process to make said layer formation pattern and etching to form a belt from being dynamically connected (TAB) contact window or scan line;
An indium tin oxide (ITO) is administered on the structure that is produced, and uses one four optical masking and photoetch program to make this ITO layer formation pattern and etching form a pixel electrode with an independent side through this TFT unit;
Use one the 5th optical masking and lithographic procedures on the structure that produces, to use one second conducting shell and this second conducting shell of etching intactly to form a datum line; At one first line between this TFT unit and this datum line and one second line between this TFT unit and this pixel electrode, and use a-Si layer that remaining second conducting shell mixes with a part that etches away between this line as a protection to separate the source/drain electrode of this TFT unit; And
A passivation layer is applied on the structure of generation; And use one the 6th optical masking and lithographic procedures to make this passivation layer form pattern and its etching is used for this TAB contact window of scan line with exposure; Produce the TAB contact window of data scanning line, and produce of being used for pixel electrode and open window.This method describe and explanation at United States Patent (USP) 6,406, in 928, its content is combined in this through application.
Said US Patent publish a kind of improvement on the rapid method of their multistep.In the improved method of the TFT matrix that is used to form LCD, a substrate of being processed by insulating material is provided; One first side at this substrate forms one first conducting shell, and a part of removing this first conducting shell with one first program of sheltering and form pattern is to define a gate electrode of a scan line and TFT unit; Order forms an insulating barrier, a semiconductor layer, a doping semiconductor layer and a photoresist layer on the substrate with this scan line and gate electrode then; Provide a source of exposure to obtain an exposed region and a unexposed zone as protection at this substrate with respect to one second side of this first side through using this scan line and this gate electrode; Photoresist layer and the semiconductor layer of removing this exposed region then has the similar given shape of shape with this scan line and this gate electrode at the semi-conductive remainder of exposed region not like this; On this substrate, sequentially form a transparent conducting shell and one second conducting shell then; And use a part and the part of this second conducting shell that one second program of sheltering and form pattern removes this transparent conductive layer then to limit pixel electrode area data and line respectively; Remove this doping semiconductor layer another part wherein the remainder of this second conducting shell come qualification source/drain region as protection; On this substrate, form a passivation layer, and a part of using one the 3rd program of sheltering and form pattern to remove this passivation layer; And another part of removing this second conducting shell wherein forms the part of pattern as protecting to expose this pixel electrode area.
When this source of exposure was a kind of light radiation, this insulating material was a kind of material of printing opacity, for example glass.
Preferably, this first and second conducting shell each be combined to form by chromium, molybdenum, tantalum, tantalum molybdenum, tungsten, aluminium, aluminum silicide, copper or they one naturally.Etchant for these metals is known.Chromium and molybdenum can be by the CCl4/O2 plasma etchings, and copper handles and use subsequently the H2 Cement Composite Treated by Plasma with the Cl2 plasma, and aluminium uses the BCl3 Cement Composite Treated by Plasma, and tungsten uses the F2 Cement Composite Treated by Plasma to carry out etching.
Preferably, this insulating barrier is that by silicon nitride, silica, silicon oxynitride or they one is combined to form.
Preferably, this etch-stop agent layer is formed by silicon nitride, silica or silicon oxynitride.
Preferably, this semiconductor layer is by intrinsic amorphous phase silicon, microcrystal silicon or polysilicon is that form and semiconductor layer this doping is to be formed by the amorphous silicon of high doped, the microcrystal silicon of high doped or the polysilicon of high doped
Preferably, this transparent conductive layer is formed by indium tin oxide, indium-zinc oxide or indium lead oxides.If necessary, then indium tin oxide (" ITO ") layer can use HBr, randomly carries out etching with BCl3.Indium-zinc oxide (" IZO ") can use a kind of Ar/Cl2 plasma to carry out etching.
Preferably, this passivation layer is formed by silicon nitride or silicon oxynitride.
Preferably, the 3rd shelter and form the pattern program and define a plurality of TAB pad areas in addition along this TFT matrix.
After the 3rd shelters and forms the pattern program, preferably around the part of second conducting shell of this pixel electrode still as a kind of black matix (black matrix).
The etching gas that contains carbonyl fluoride is the etching that is suitable for carrying out the step of a plurality of layers of above-mentioned etching (passivation layer, insulating barrier and semiconductor layers).Be used for etching gas and comprise carbonyl fluoride, might produce an insulated window, as at US 6,406, among Fig. 2 I of 928 with the drawn profile of reference number 28.
Etching is carried out under plasma easily; This plasma can be direct-current plasma (in-situ plasma) or a kind of remote plasma or both combinations.
Carbonyl fluoride can be used as that pure material is used or with other activity or inert gas, for example use with nitrogen or helium mix.It is preferably used with argon.If silicon nitride layer must optionally etching on a-silicon or other forms of silicon layer, then this etchant gas mixture comprises oxygen and/or N2O in addition; Nitrogen is optional.As above-mentioned, in case the coat of silicon nitride is etched, oxygen and oxidation of nitrogen thing just provide a silica passivation layer on an a-silicon layer.
If desired, comprise that the admixture of gas of carbonyl fluoride can be used with other etchant gasses, for example with the gas of other carbon containings, hydrogen, fluorine and optional chlorine.If it is used with the gas of carbon containing, hydrogen, fluorine, then this gas preferably be selected from down the group its constitute: fluomethane, difluoromethane, fluoroform and CF2=CH2.Yet should it should be noted that these gases have certain GWP and passivation can realize through adding oxygen and/or N2O to etching gas.
Especially in device, might use pure carbonyl fluoride to be used for fast-etching usually with high power plasma.In the plasma device that has than low plasma power, the mixture of using carbonyl fluoride and argon (can randomly with nitrogen) also is desirable, because argon has a kind of positive impact, for example in making plasma stability.
If it is used with other gases argon gas, oxygen and/or the N2O of above description (especially as), carbonyl fluoride preferably can be to be equal to or greater than by volume 50%, preferably to be equal to or less than by volume that 79% amount is comprised.Preferably form to 100% remainder by volume by oxygen, argon and/or N2O.The mixture that comprises or be made up of carbonyl fluoride and argon gas is preferably used for fast-etching; The mixture that comprises or constitute by carbonyl fluoride and N2O; The mixture that comprises or constitute by carbonyl fluoride and oxygen; The mixture that comprises or constitute by carbonyl fluoride, oxygen and argon gas; The mixture that comprises or be made up of carbonyl fluoride, N2O and argon gas, and the mixture that comprises carbonyl fluoride, oxygen, nitrogen oxide and argon gas is most preferably as the etching gas of the layer of optionally etching silicon-coated especially applies the silicon nitride layer of a-silicon as etching optionally.In these mixtures, the content of carbonyl fluoride can preferably be equal to or greater than by volume 50%, especially when not having a-silicon with risk that etching gas contacts during at the beginning silicon-nitride selective etching.Even can use pure carbonyl fluoride or carbonyl fluoride and argon gas and do not have the mixture of passivation oxygen or passivation N2O.In the later phases of etching process, after oxynitride layer partly etched away, carbonyl fluoride preferably can be to be equal to or less than by volume 50% amount and preferred 15% amount is comprised to be equal to or greater than by volume.N 2O is and if to have oxygen and argon gas be respectively to 100% difference by volume.What protected thus, is that silicon nitride is selectively etched on a-silicon.
Therefore, in a preferred embodiment of engraving method of the present invention, be greater than value in terminal stage at the F2 of starting stage of etching process or the concentration of COF2.
The invention still further relates to and comprise or by carbonyl fluoride or fluorine and N 2Some mixture that O and optional argon gas constitute, wherein the content of carbonyl fluoride or fluorine preferably is equal to or greater than by volume 50%; And relate to and comprising or by carbonyl fluoride or fluorine, oxygen and N 2The mixture that O and optional argon gas constitute the wherein content of carbonyl fluoride or fluorine preferably is equal to or greater than by volume 50%.Preferably they are produced by original position in the instrument of using these mixtures therein.With an amount of fluorine gas or carbonyl fluoride and N 2O and optional argon gas join in this instrument, and this instrument can for example be a TFT or a photronic etching chamber.As replacement scheme, these mixtures can be with the mode of routine through being provided to it in container, preferably at the pressure that is equal to or greater than 1.5 crust (absolute value) down and preferably be equal to or less than under the pressure of 15 crust (absolute value) and prepare.
These mixtures preferably have 0.1 millibar (absolute value) pressure to 15 crust (absolute value).
In these mixtures, carbonyl fluoride is preferred etchant.
These mixtures are very suitable at the commitment of the method that is used for etches both silicon nitride layer (the for example silicon layer on the a-silicon).
The invention still further relates to and comprise or by carbonyl fluoride or fluorine and N 2Some mixture that O and optional argon gas constitute, wherein the content of carbonyl fluoride or fluorine preferably is equal to or less than by volume 50%; And relate to and comprising or by carbonyl fluoride or fluorine, oxygen and N 2Some mixture that O and optional argon gas constitute the wherein content of carbonyl fluoride or fluorine preferably is equal to or less than by volume 50%.Preferably they are produced by original position in the instrument of using these mixtures therein.With an amount of fluorine gas or carbonyl fluoride and N 2O joins in this instrument, and this instrument can for example be a TFT or a photronic etching chamber.The content of F2 or COF2 preferably is equal to or greater than by volume 15% in this embodiment.
These mixtures preferably have 0.1 millibar (absolute value) pressure to 15 crust (absolute value).
These mixtures are highly suitable for and are used for the optionally final stage of a kind of method of etches both silicon nitride layer, especially the silicon layer on the a-silicon when a-silicon approaches with the contacting of etching gas.
A first aspect, mixture according to the present invention is to comprise or by carbonyl fluoride and N 2A kind of mixture that O constitutes or by carbonyl fluoride N 2A kind of mixture that O and argon gas constitute.In these mixtures, COF 2Content is to be equal to or greater than by volume 50% generally.The content of argon gas preferably by volume 0 to 20%.N 2O and N 2O and argon gas have constituted respectively to 100% difference by volume.These mixtures are to be used for especially suitable (as described above) at starting stage of this etching process silicon nitride coating on the etching a-silicon optionally.The exemplary of these mixtures collects in table 1.
Table 1: have by volume the etchant gas mixture of COF2 >=50% (value with % provide) by volume
Mixture N ° COF 2 Ar N 2O
1.0 75 10 10
1.0 70 10 20
1.1 65 10 25
1.3 60 15 25
1.3 60 10 30
1.4 55 10 35
1.5 50 10 40
1.6 75 25
1.7 70 30
1.8 65 45
1.9 60 40
2.0 55 45
2.1 50 50
A second aspect, mixture according to the present invention is to comprise or by carbonyl fluoride and N 2A kind of mixture that O constitutes or by carbonyl fluoride, N 2A kind of mixture that O and argon gas constitute.In these mixtures, COF 2Content is to be equal to or less than by volume 50% generally.The content of argon gas preferably by volume 0 to 20%.N 2O and N 2O and argon gas have constituted respectively to 100% difference by volume.The content of carbonyl fluoride preferably is equal to or greater than 15% by volume.These mixtures are particularly suitable at the etched terminal stage silicon nitride coating on the etching a-silicon optionally, and wherein a-silicon can contact with this etching gas.The exemplary of these mixtures collects in table 2.
Table 2: have by volume the etchant gas mixture of COF2<50% (value with % provide) by volume
Mixture N ° COF 2 Ar N 2O
?2.0 49 10 41
?2.1 45 10 45
?2.3 40 10 50
?2.3 35 10 55
?2.4 30 10 60
?2.5 25 10 65
?2.6 20 10 70
?2.7 50 10 40
?2.8 49 51
?2.9 45 55
?2.10 40 60
?2.11 35 65
?2.12 30 50
?2.13 35 65
?2.14 30 70
2.15 25 75
2.16 20 80
2.15 20 85
One concrete aspect, further comprise oxygen according to mixture of the present invention.In these cases, carbonyl fluoride content is as above given, the content of argon gas preferably by volume 0 to 20%, and in this admixture of gas oxygen and N 2O content sum is to 100% difference by volume.So oxygen and N 2O content adds up to 100% difference by volume.The content of oxygen is by volume>0%, and also has N 2The content of O is greater than 0.In a preferred embodiment, O 2: N 2The O mol ratio is 0.1: 1 to 1: 0.1.This mixture can also comprise nitrogen; Preferably they do not comprise nitrogen.
In a specific embodiments aspect this, the content of carbonyl fluoride is to be equal to or greater than by volume 50%.Preferably, it is to be equal to or less than 90% by volume.Oxygen content is preferably greater than by volume 0% and be equal to or less than by volume 20%.N 2O is and if to have argon gas be to 100% difference by volume.These mixtures are to be used for especially suitable (as described above) at starting stage of this etching process silicon nitride coating on the etching a-silicon optionally.The exemplary of these mixtures collects in table 3.
Table 3: have by volume the etchant gas mixture of COF2 >=50% (value with % provide) by volume
Mixture N ° COF 2 Ar Oxygen N 2O
?3.0 75 10 5 10
?3.1 70 10 10 10
?3.3 65 10 10 15
?3.3 60 10 10 20
?3.4 60 10 15 15
?3.5 55 10 10 25
?3.6 55 10 15 20
?3.7 55 15 10 20
?3.8 50 10 10 30
?3.9 50 10 5 35
?3.10 50 10 20 20
In another specific embodiments aspect this, the content of carbonyl fluoride is by volume<50%.Preferably, it is to be equal to or greater than by volume 15%.Oxygen content is preferably greater than by volume 0% and be equal to or less than by volume 20%.N 2O is and if to have argon gas be to 100% difference by volume.These mixtures are to be used for especially suitable (as described above) at the terminal stage of this etching process silicon nitride coating on the etching a-silicon optionally.The exemplary of these mixtures collects in table 4.
Table 4: have by volume the etchant gas mixture of COF2 >=50% (value with % provide) by volume
Mixture N ° COF 2 Ar Oxygen ?N 2O
?4.0 49 10 6 ?35
?4.1 45 10 10 ?35
?4.3 45 10 15 ?30
?4.3 40 10 10 ?40
?4.4 40 10 10 ?40
?4.5 35 10 10 ?45
?4.6 35 10 15 ?40
?4.7 30 15 10 ?35
?4.8 30 10 10 ?50
?4.9 25 10 5 ?60
?4.10 25 10 10 ?65
?4.9 20 10 10 ?60
?4.10 20 10 20 ?50
?4.11 15 10 10 ?65
According to an embodiment, mixture of the present invention is to comprise carbonyl fluoride and N 2The liquid mixture of O and optional other gases (for example nitrogen or especially argon gas or oxygen).In another embodiment, this mixture is a gas.Pressure can be to be equal to or greater than 0.1 millibar (absolute value) to being equal to or less than 15 crust (absolute value).If they are in etch tool, to provide in position or prepare, this admixture of gas preferably has and is equal to or greater than 0.1 millibar (absolute value) to the pressure that is equal to or less than 1 crust (absolute value).If it is stored in the storage container, they preferably have >=and 1 (absolute value) be to the pressure that is equal to or less than 15 crust (absolute value).
A second aspect, mixture according to the present invention is to comprise or by fluorine and N 2A kind of mixture that O constitutes or by fluorine, N 2A kind of mixture that O and argon gas constitute.In these mixtures, F 2Content is to be equal to or greater than by volume 50% generally.The content of argon gas preferably by volume 0 to 20%.N 2O and N 2O and argon gas have constituted respectively to 100% difference by volume.
A third aspect, mixture according to the present invention is to comprise or by fluorine and N 2A kind of mixture that O constitutes or by fluorine, N 2A kind of mixture that O and argon gas constitute.In these mixtures, F 2Content is to be equal to or less than by volume 50% generally.The content of argon gas preferably by volume 0 to 20%.N 2O and N 2O and argon gas have constituted respectively to 100% difference by volume.The content of fluorine preferably is equal to or greater than by volume 25%.
One concrete aspect, further comprise oxygen according to the mixture that the present invention includes fluorine.In this case, the content of oxygen is by volume from>0 to 20 and N generally in the admixture of gas 2If O and to have argon gas be to 100% difference by volume.
Following table 5 to 8 in, the F that contains of the present invention 2Mixture is described in detail following.
A first aspect, mixture according to the present invention is to comprise or by F 2And N 2A kind of mixture that O constitutes or by F 2, N 2A kind of mixture that O and argon gas constitute.In these mixtures, F 2Content is to be equal to or greater than by volume 50% generally.The content of argon gas preferably by volume 0 to 20%.N 2O and N 2O and argon gas have constituted respectively to 100% difference by volume.These mixtures are to be used for especially suitable (as described above) at starting stage of this etching process silicon nitride coating on the etching a-silicon optionally.The exemplary of these mixtures collects in table 5.
Table 5: have by volume the etchant gas mixture of F2 >=50% (value with % provide) by volume
Mixture N ° F 2 Ar N 2O
?1.0 75 10 10
?1.0 70 10 20
?1.1 65 10 25
?1.3 60 15 25
?1.3 60 10 30
?1.4 55 10 35
?1.5 50 10 40
?1.6 75 25
?1.7 70 30
?1.8 65 45
1.9 60 40
2.0 55 45
2.1 50 50
A second aspect, mixture according to the present invention is to comprise or by F 2And N 2A kind of mixture that O constitutes or by F 2, N 2A kind of mixture that O and argon gas constitute.In these mixtures, F 2Content is to be equal to or less than by volume 50% generally.The content of argon gas preferably by volume 0 to 20%.N 2O and N 2O and argon gas have constituted respectively to 100% difference by volume.F 2Content preferably be equal to or greater than by volume 15%.These mixtures are particularly suitable at the etched terminal stage silicon nitride coating on the etching a-silicon optionally, and wherein a-silicon can contact with this etching gas.The exemplary of these mixtures collects in table 6.
Table 6: have by volume the etchant gas mixture of F2<50% (value with % provide) by volume
Mixture N ° F 2 Ar N 2O
?2.0 49 10 41
?2.1 45 10 45
?2.3 40 10 50
?2.3 35 10 55
?2.4 30 10 60
?2.5 25 10 65
?2.6 20 10 70
2.7 50 10 40
2.8 49 51
2.9 45 55
2.10 40 60
2.11 35 65
2.12 30 50
2.13 35 65
2.14 30 70
2.15 25 75
2.16 20 80
2.15 20 85
One concrete aspect, further comprise oxygen according to mixture of the present invention.In these cases, F 2Content is as above given, the content of argon gas preferably by volume 0 to 20%, and in this admixture of gas oxygen and N 2O content sum is to 100% difference by volume.So oxygen and N 2O content adds up to 100% difference by volume.The content of oxygen is by volume>0%, and also has N 2The content of O is greater than 0.In a preferred embodiment, O 2: N 2The O mol ratio is 0.1: 1 to 1: 0.1.This mixture can also comprise nitrogen; Preferably they do not comprise nitrogen.
In a specific embodiments aspect this, F 2Content be to be equal to or greater than by volume 50%.Preferably, it is to be equal to or less than 90% by volume.The content of oxygen is preferably greater than by volume 0% and be equal to or less than by volume 20%.N 2O is and if to have argon gas be to 100% difference by volume.These mixtures are to be used for especially suitable (as described above) at starting stage of this etching process silicon nitride coating on the etching a-silicon optionally.The exemplary of these mixtures collects in table 7.
Table 7: have by volume the etchant gas mixture of F2 >=50% (value with % provide) by volume
Mixture N ° F 2 Ar Oxygen ?N 2O
?3.0 75 10 5 ?10
?3.1 70 10 10 ?10
?3.3 65 10 10 ?15
?3.3 60 10 10 ?20
?3.4 60 10 15 ?15
?3.5 55 10 10 ?25
?3.6 55 10 15 ?20
?3.7 55 15 10 ?20
?3.8 50 10 10 ?30
?3.9 50 10 5 ?35
?3.10 50 10 20 ?20
In another specific embodiments aspect this, F 2Content be by volume<50%.Preferably, it is to be equal to or greater than by volume 15%.Oxygen content is preferably by volume greater than 0% and be equal to or less than by volume 20%.N 2O is and if to have argon gas be to 100% difference by volume.These mixtures are to be used for especially suitable (as described above) at the terminal stage of this etching process silicon nitride coating on the etching a-silicon optionally.The exemplary of these mixtures collects in table 8.
Table 8: have by volume the etchant gas mixture of F2 >=50% (value with % provide) by volume
Mixture N ° F 2 Ar Oxygen ?N 2O
?4.0 49 10 6 ?35
?4.1 45 10 10 ?35
?4.3 45 10 15 ?30
?4.3 40 10 10 ?40
?4.4 40 10 10 ?40
?4.5 35 10 10 ?45
?4.6 35 10 15 ?40
?4.7 30 15 10 ?35
?4.8 30 10 10 ?50
?4.9 25 10 5 ?60
?4.10 25 10 10 ?65
4.9 20 10 10 60
4.10 20 10 20 50
4.11 15 10 10 65
Be understood that above table 1 to 8 in pointed composition be but that preferred compositions it can also be the upper limit or the lower limit of a scope of preferred compositions.After this manner, these restrictions are combinative to disclose according to preferred compositions scope of the present invention in table.A space has disclosed the gas of the correspondence of 0vol%.
Only if they cool off to concentrate F these mixtures 2, otherwise be gas.Pressure can be to be equal to or greater than 0.1 millibar (absolute value) to being equal to or less than 15 crust (absolute value).If they are in etch tool, to provide in position or prepare, this admixture of gas preferably has and is equal to or greater than 0.1 millibar (absolute value) to the pressure that is equal to or less than 1 crust (absolute value).If it is stored in the storage container, they preferably have >=and 1 crust (absolute value) is to the pressure that is equal to or less than 15 crust (absolute value).
These mixtures can be through providing the gas separated of correspondence stream to the instrument in this instrument made acid-stable in situ.Scheme as an alternative, they can carry out premixed before it being joined in this instrument.
According to an embodiment preferred; The nitrogen oxide of the carbonyl fluoride through the 400sccm flow is provided, the flow of 50sccm and get rid of the mixture that argon gas obtained of a flow, and preferably get rid of nitrogen oxide with the carbonyl fluoride of 1 millibar of pressure through the 400sccm flow is provided, 50sccm flow and the mixture that argon gas obtained of getting rid of a flow.
The invention still further relates to the purposes of mixture according to the present invention as etching gas or clean air.These mixtures are fit to be used for a kind of material of etching suitably; This material preferably is selected from down group, and it constitutes: the amorphous silicon of silicon nitride, silica or silicon oxynitride, a-Si intrinsic amorphous silicon, microcrystal silicon and polysilicon, high doped, the microcrystal silicon of high doped and the polysilicon of high doped.They are particularly suitable in the method according to the invention.
The invention still further relates to mixture according to the present invention as SF 6Substitute or NF 3The purposes of substitute.
Carbonyl fluoride and any other the gas of using jointly can be incorporated in this plasma chamber independent of one another.At this, might introduce different gas with distributing.For example, a step can be introduced argon gas and begin the etching through remote plasma.Then, step can be introduced carbonyl fluoride or itself and other gas for example oxygen, argon gas and/or N 2The mixture of O.This has the following advantages: argon gas provides plasma still stable when introducing etching gas.
Preferably, with carbonyl fluoride and other gas for example nitrogen, oxygen, argon gas and/or N 2O mixed before being introduced into this plasma chamber.It is preferred introducing a uniform premix, because it has guaranteed that fixing condition is next in the indoor generation in-situ plasma of this plasma.
Cambial step and etching step can carry out in known devices, and for example (AKT, Inc), (Applied Materials is in the PECVD instrument of subsidiary Inc) in Applied Materials in AKT company.Plasma-induced etch processes is carried out under the reduced pressure of being everlasting.Pressure provides with absolute value following.Preferably, this pressure is equal to or greater than 0.1 millibar.Preferably, it is equal to or less than 100 millibars.Especially preferably, it is equal to or less than 50 millibars.
This etch processes is carried out enough a period of times so that desirable etching degree to be provided.Preferably, this processing is equal to or greater than 1 second.Preferably, this processing is equal to or less than 10 minutes, preferably is equal to or less than 5 minutes.
The gas that leaves this plasma reactor comprises unreacted etchant, HF, SiF4 or metal fluoride class and other product.Waste gas can water flushing, especially alkaline water, to remove any HF, carbonyl fluoride, SiF4 or fluorine and deposition metal fluoride.Any oxygen, nitrogen, helium or argon through this cleaning machine can be recovered or be sent in the environment.Comparing in alkaline water or simply remove HF, carbonyl fluoride and fluorine through other well-known methods with other etching gass is the another one advantage.
Following instance will be explained the present invention rather than limit it.
Instance 1: comprise the production of a kind of etchant gas mixture of oxygen
70: 10: 20 carbonyl fluoride of volume ratio, oxygen and argon gas are incorporated under pressure in the steel cylinder.This admixture of gas can be used as the etch combination of TFT matrix and uses.
Instance 2: comprise N 2The production of a kind of etchant gas mixture of O
With 70: 20: 10 carbonyl fluoride of volume ratio, N 2O and argon gas are incorporated under pressure in the steel cylinder.This admixture of gas can be used as the etch combination of TFT matrix and uses.
Instance 3: use and contain N 2The pre-mixed gas etching SiN of O x
SiN xBe deposited on the glass plate through the PECVD method.Use then and be incorporated into a photoresist in the plasma etching instrument this plate formation pattern.With this instrument emptying, the admixture of gas of instance 2 is incorporated in this instrument; Pressure is adjusted to 1 millibar, and plasma is opened.After 1 minute, nitrogen is incorporated in this instrument, and should from this instrument, removes by etched sample.With SiN xEtching.
Instance 4: use oxygenous pre-mixed gas etching SiN x
SiN xBe deposited on the glass plate through the PECVD method.Use then and be incorporated into a photoresist in the plasma etching instrument this plate formation pattern.With this instrument emptying, the admixture of gas of instance 1 is incorporated in this instrument; Pressure is adjusted to 1 millibar, and plasma is opened.After 1 minute, nitrogen is incorporated in this instrument, and should from this instrument, removes by etched sample.With SiN xEtching.
Instance 5: use the oxygenous admixture of gas etching SiN that before it is used, produces rapidly x
SiN xBe deposited on the glass plate through the PECVD method.Use photoresist to form pattern this plate then and be incorporated in the plasma etching instrument.With this instrument emptying.Carbonyl fluoride, oxygen and nitrogen are stored in the independent steel cylinder.They are incorporated in the common wire with volume ratio at 70: 10: 20, and this common wire is connected on this plasma instrument.The admixture of gas that produces is incorporated in this instrument; Pressure is adjusted to 1 millibar, and plasma is opened.After 1 minute, nitrogen is incorporated in this instrument, and should from this instrument, removes by etched sample.With SiN xEtching.
Instance 6: use the N that contains that before it is used, produces rapidly 2The admixture of gas etching SiN of O x
SiN xBe deposited on the glass plate through the PECVD method.Use photoresist to form pattern this plate then and be incorporated in the plasma etching instrument.With this instrument emptying.With carbonyl fluoride, N 2O and nitrogen are stored in the independent steel cylinder.They are incorporated in the common wire with volume ratio at 70: 20: 10, and this common wire is connected on this plasma instrument.The admixture of gas that produces is incorporated in this instrument; Pressure is adjusted to 1 millibar, and plasma is opened.After 1 minute, nitrogen is incorporated in this instrument, and should from this instrument, removes by etched sample.With SiN xEtching.
Instance 7: use and contain N 2The pre-mixed gas etching SiO of O 2
SiO 2Be deposited on the glass plate through the PECVD method.Use then and be incorporated into a photoresist in the plasma etching instrument this plate formation pattern.With this instrument emptying, the admixture of gas of instance 2 is incorporated in this instrument; Pressure is adjusted to 1 millibar, and plasma is opened.After 1 minute, nitrogen is incorporated in this instrument, and should from this instrument, removes by etched sample.With SiO 2Etching.
Instance 8: use the oxygenous admixture of gas etching SiO that before it is used, produces rapidly 2
SiO 2Be deposited on the glass plate through the PECVD method.Use photoresist to form pattern this plate then and be incorporated in the plasma etching instrument.With this instrument emptying.Carbonyl fluoride, oxygen and nitrogen are stored in the independent steel cylinder.They are incorporated in the common wire with volume ratio at 70: 10: 20, and this common wire is connected on this plasma instrument.The admixture of gas that produces is incorporated in this instrument; Pressure is adjusted to 1 millibar, and plasma is opened.After 1 minute, nitrogen is incorporated in this instrument, and should from this instrument, removes by etched sample.With SiO 2Etching.
Instance 9: use the N that contains that before it is used, produces rapidly 2The admixture of gas etching SiO of O 2
SiO 2Be deposited on the glass plate through the PECVD method.Use photoresist to form pattern this plate then and be incorporated in the plasma etching instrument.With this instrument emptying.With carbonyl fluoride, N 2O and nitrogen are stored in the independent steel cylinder.They are incorporated in the common wire with volume ratio at 70: 20: 10, and this common wire is connected on this plasma instrument.The admixture of gas that produces is incorporated in this instrument; Pressure is adjusted to 1 millibar, and plasma is opened.After 1 minute, nitrogen is incorporated in this instrument, and should from this instrument, removes by etched sample.With SiO 2Etching.
Instance 10: use premixed mixture etching method for amorphous silicon
Amorphous silicon is deposited on the glass plate through the PECVD method.Use photoresist to form pattern this plate then and be incorporated in the plasma etching instrument.With this instrument emptying, the admixture of gas of instance 1 is incorporated in this instrument; Pressure is adjusted to 1 millibar, and plasma is opened.After 1 minute, nitrogen is incorporated in this instrument, and should from this instrument, removes by etched sample.With the silicon etching.
Instance 11: use the oxygenous admixture of gas etching method for amorphous silicon that before it is used, produces rapidly
Amorphous silicon is deposited on the glass plate through the PECVD method.Use photoresist to form pattern this plate then and be incorporated in the plasma etching instrument.With this instrument emptying.Carbonyl fluoride, oxygen and nitrogen are stored in the independent steel cylinder.They are incorporated in the common wire with volume ratio at 70: 10: 20, and this common wire is connected on this plasma instrument.The admixture of gas that produces is incorporated in this instrument; Pressure is adjusted to 1 millibar, and plasma is opened.After 1 minute, nitrogen is incorporated in this instrument, and should from this instrument, removes by etched sample.With the silicon etching.
Instance 12: use F 2/ N 2O is etched in a silicon nitride layer on the amorphous silicon layer
A silicon nitride layer is deposited on the amorphous silicon layer through the PECVD process.
Initially, with pure F 2Flow velocity with 200sccm is fed in this etching chamber.The high frequency electric source of 600 watts of 13.56MHz is offered this plasma instrument.After certain period, with N 2O passes through this instrument again with 40 to 60sccm.At last, with N 2The flow of O is increased to 500sccm.After reaching desirable silicon nitride etch, can stop this etching process.
Instance 13: use F 2/ N 2O is etched in a silicon nitride layer on the amorphous silicon layer in the presence of argon gas
A silicon nitride layer is deposited on the amorphous silicon layer through the PECVD process.
When initial, with F 2Be supplied in this etching chamber with the flow velocity of argon gas with 200sccm (F2) and 40sccm (argon gas).The high frequency electric source of 600 watts of 13.56MHz is offered this plasma instrument.After certain period, with N 2O passes through this instrument again with 40 to 60sccm flow velocity.Stage in the end is with N 2The flow of O is increased to 500sccm.After reaching desirable silicon nitride etch, can stop this etching process.
Instance 14: use COF 2/ N 2O is etched in a silicon nitride layer on the amorphous silicon layer
A silicon nitride layer is deposited on the amorphous silicon layer through the PECVD process.
Initially, with pure COF 2Flow velocity with 200sccm is fed in this etching chamber.The high frequency electric source of 600 watts of 13.56MHz is offered this plasma instrument.After certain period, with N 2O with 40 to 60sccm flow velocity again through this instrument and be increased to 600sccm.After reaching desirable silicon nitride etch, can stop this etching process.
Instance 15: use COF 2/ N 2O is etched in a silicon nitride layer on the amorphous silicon layer in the presence of argon gas.
A silicon nitride layer is deposited on the amorphous silicon layer through the PECVD process.
When initial, with COF 2Be supplied in this etching chamber with the flow velocity of argon gas with 200sccm (F2) and 40sccm (argon gas).The high frequency electric source of 600 watts of 13.56MHz is offered this plasma instrument.After certain period, with N 2O is increased to 600sccm through this instrument and with flow velocity more gradually with 40 to 60sccm flow velocity.After reaching desirable silicon nitride etch, can stop this etching process.The advantage of using premixed admixture of gas is to have guaranteed high uniformity, and application is simpler, has got rid of the mixing of component.The advantage that use is incorporated into the admixture of gas that produces rapidly before the plasma tool at admixture of gas is the amount accuracy that relates to component of high flexibility and Geng Gao more.
The etching of the silicon nitride layer on the amorphous silicon can be through initial COF with higher concentration 2Or F 2Use etching gas and add N at the subsequent stage of the etching process of above description 2O and/or oxygen advantageously carry out.

Claims (23)

1. a method that is used to make TFT matrix comprises at least one step that wherein comprises the layer of silicon nitride or a-Si with the gaseous etchant etching, and wherein this etchant comprises carbonyl fluoride (COF 2), F 2Or their mixture.
2. the method for claim 1, wherein this etchant comprises carbonyl fluoride or is made up of carbonyl fluoride.
3. according to claim 1 or claim 2 method, wherein this etching step is that plasma is auxiliary.
4. like each described method in the claim 1 to 3, wherein this layer is made up of silicon nitride.
5. like each described method in the claim 1 to 4, wherein use carbonyl fluoride or it and be selected from nitrogen, argon gas, N 2The mixture of at least a gas in O and the oxygen is as etching gas.
6. method as claimed in claim 5 is wherein used the mixture comprise carbonyl fluoride, oxygen and argon gas or to be made up of carbonyl fluoride, oxygen and argon gas as etching gas.
7. method as claimed in claim 6, wherein application comprises carbonyl fluoride, N 2O and argon gas or by carbonyl fluoride, N 2The mixture that O and argon gas are formed is as etching gas.
8. like each described method in the claim 5 to 7; But get rid of through the carbonyl fluoride stream that 400sccm is provided, the nitrogen oxide stream of 50sccm and the mixture that argon gas stream obtains, and preferably get rid of have 1 millibar of pressure pass through to provide 400sccm carbonyl fluoride stream, the nitrogen oxide stream of 50sccm and the mixture that argon gas stream obtains.
9. like each described method in the claim 1 to 4, wherein use fluorine or it and be selected from nitrogen, argon gas, oxygen and N 2The mixture of at least a gas among the O is as etching gas.
10. method as claimed in claim 9; Wherein use comprise fluorine be selected from nitrogen and the argon gas at least a gas or by fluorine and be selected from the mixture of at least a gas composition in nitrogen and the argon gas; And wherein the fluorine content in this mixture is from 50vol% to 70vol%, preferably about 60vol%.
11. method as claimed in claim 9 is wherein used to comprise fluorine and be selected from oxygen and N 2At least a gas among the O or by fluorine be selected from oxygen and N 2The mixture of at least a gas composition among the O, and wherein the fluorine content in this mixture is from 50vol% to 70vol%, preferably about 60vol%.
12. like each described method in the claim 1 to 11, comprising carbonyl fluoride (COF 2), F 2Or the etchant of their mixture is as SF 6Substitute or NF 3Substitute.
13. like each described method, wherein COF in the claim 1 to 9 2Or F 2Content be equal to or greater than by volume 15% and be lower than by volume 50%, and wherein optionally etching be coated in the silicon nitride on the a-silicon.
15. method as claimed in claim 14, wherein F in the starting stage of this etching process 2Or COF 2Concentration be higher than the concentration in terminal stage.
16. one kind comprises carbonyl fluoride or fluorine and N 2O or by carbonyl fluoride or fluorine and N 2The mixture that O forms, wherein the content of carbonyl fluoride or fluorine preferably is equal to or greater than by volume 50%.
17. mixture as claimed in claim 16 further comprises inert gas, preferred argon gas, and wherein the content of inert gas is by volume 0 to 20%, and N 2O complements to by volume 100%.
18. like claim 16 or 17 described mixtures, further comprise oxygen, wherein the content of oxygen for by volume>0 to 20%, and N 2O complements to by volume 100%.
19. one kind comprises carbonyl fluoride or fluorine and N 2O or by carbonyl fluoride or fluorine and N 2The mixture that O forms, wherein the content of carbonyl fluoride or fluorine preferably is equal to or greater than by volume 15% and less than by volume 50%.
20. mixture as claimed in claim 19 also comprises inert gas, preferred argon gas, and wherein the content of inert gas is by volume 0 to 20%, and N 2O complements to by volume 100%.
21. like claim 19 or 20 described mixtures, also comprise oxygen, wherein the content of oxygen for by volume>0 to 20%, and N 2O complements to by volume 100%.
22. as etching gas or the clean air purposes with etching material, this material is preferably selected from the amorphous silicon of silicon nitride, silica or silicon oxynitride, intrinsic amorphous silicon, microcrystal silicon and polysilicon, high doped, the microcrystal silicon of high doped and the polysilicon of high doped like each described mixture in the claim 16 to 18.
23. be preferably selected from the purposes of the material of silicon nitride, silica or silicon oxynitride as etching gas or clean air with selective etch like each described mixture in the claim 19 to 21, this material is as the coat on the polysilicon of the microcrystal silicon of the amorphous silicon of intrinsic amorphous silicon, microcrystal silicon and polysilicon, high doped, high doped and high doped.
24. like each described mixture in the claim 16 to 21 as SF 6Substitute or NF 3The purposes of substitute.
CN2010800509172A 2009-10-26 2010-10-26 Etching process for producing a tft matrix Pending CN102754201A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP09174034.0 2009-10-26
EP09174034 2009-10-26
PCT/EP2010/066109 WO2011051251A1 (en) 2009-10-26 2010-10-26 Etching process for producing a tft matrix

Publications (1)

Publication Number Publication Date
CN102754201A true CN102754201A (en) 2012-10-24

Family

ID=41480337

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010800509172A Pending CN102754201A (en) 2009-10-26 2010-10-26 Etching process for producing a tft matrix

Country Status (5)

Country Link
JP (1) JP2013508990A (en)
KR (1) KR20120098751A (en)
CN (1) CN102754201A (en)
TW (1) TW201123293A (en)
WO (1) WO2011051251A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113811985A (en) * 2020-01-30 2021-12-17 昭和电工株式会社 Etching method

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2008348838A1 (en) 2008-01-23 2009-07-30 Solvay Fluor Gmbh Process for the manufacture of solar cells
EP2549526A1 (en) * 2011-07-18 2013-01-23 Solvay Sa Process for the production of etched items using fluorosubstituted compounds
EP2549525A1 (en) * 2011-07-18 2013-01-23 Solvay Sa Process for the production of etched items using CHF3
US8956980B1 (en) * 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
EP2871669A1 (en) * 2013-11-07 2015-05-13 Solvay SA Gas mixture and gas transportation vessel therefor
EP2944385A1 (en) 2014-05-12 2015-11-18 Solvay SA A process for etching and chamber cleaning and a gas therefor
US20160181116A1 (en) * 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
JPWO2022009553A1 (en) * 2020-07-09 2022-01-13

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1592798A (en) * 2001-12-13 2005-03-09 昭和电工株式会社 Cleaning gas for semiconductor production equipment and cleaning method using the gas
US20050241670A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for cleaning a reactor using electron attachment
CN101427353A (en) * 2006-04-25 2009-05-06 积水化学工业株式会社 Silicon etching method
WO2009092453A2 (en) * 2008-01-23 2009-07-30 Solvay Fluor Gmbh Process for the manufacture of solar cells

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4308990A1 (en) * 1993-03-20 1994-09-22 Bosch Gmbh Robert Etching method and device for cleaning semiconductor elements, in particular power diodes
JPH10223614A (en) * 1997-02-12 1998-08-21 Daikin Ind Ltd Etching gas and cleaning gas
KR100275887B1 (en) 1997-11-14 2001-02-01 구자홍 Method for compensating temperature of microwave oven
JP4787412B2 (en) * 1999-03-30 2011-10-05 シチズンホールディングス株式会社 Method for forming thin film substrate and thin film substrate formed by the method
TW517260B (en) * 1999-05-15 2003-01-11 Semiconductor Energy Lab Semiconductor device and method for its fabrication
TW437096B (en) 1999-12-20 2001-05-28 Hannstar Display Corp Manufacturing method for thin film transistor
JP4112198B2 (en) * 2000-09-11 2008-07-02 財団法人地球環境産業技術研究機構 Cleaning gas and etching gas, and chamber cleaning method and etching method
KR100682042B1 (en) * 2001-08-30 2007-02-15 가부시키가이샤 히다치 고쿠사이 덴키 Plasma cleaning gas and plasma cleaning method
US6955177B1 (en) * 2001-12-07 2005-10-18 Novellus Systems, Inc. Methods for post polysilicon etch photoresist and polymer removal with minimal gate oxide loss
US20060016459A1 (en) * 2004-05-12 2006-01-26 Mcfarlane Graham High rate etching using high pressure F2 plasma with argon dilution
JP2006013058A (en) * 2004-06-24 2006-01-12 Sharp Corp Dry etching device
EP1926840A1 (en) * 2005-09-20 2008-06-04 Air Products and Chemicals, Inc. Apparatus and process for surface treatment of substrate using an activated reactive gas
EP3269843A1 (en) * 2006-04-10 2018-01-17 Solvay Fluor GmbH Etching process
JP2009094209A (en) * 2007-10-05 2009-04-30 Sekisui Chem Co Ltd Etching method of silicon
TWI558655B (en) * 2007-12-21 2016-11-21 首威氟化物有限公司 Process for the production of microelectromechanical systems
JP4596287B2 (en) * 2008-09-19 2010-12-08 カシオ計算機株式会社 Method for dry etching of a film containing silicon
WO2010087930A1 (en) * 2009-01-27 2010-08-05 Linde Aktiengesellschaft Molecular fluorine etching of silicon thin films for photovoltaic and other lower-temperature chemical vapor deposition processes

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1592798A (en) * 2001-12-13 2005-03-09 昭和电工株式会社 Cleaning gas for semiconductor production equipment and cleaning method using the gas
US20050241670A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for cleaning a reactor using electron attachment
CN101427353A (en) * 2006-04-25 2009-05-06 积水化学工业株式会社 Silicon etching method
WO2009092453A2 (en) * 2008-01-23 2009-07-30 Solvay Fluor Gmbh Process for the manufacture of solar cells

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113811985A (en) * 2020-01-30 2021-12-17 昭和电工株式会社 Etching method

Also Published As

Publication number Publication date
KR20120098751A (en) 2012-09-05
WO2011051251A1 (en) 2011-05-05
TW201123293A (en) 2011-07-01
JP2013508990A (en) 2013-03-07

Similar Documents

Publication Publication Date Title
CN102754201A (en) Etching process for producing a tft matrix
US6623653B2 (en) System and method for etching adjoining layers of silicon and indium tin oxide
JP5578389B2 (en) Laminated film pattern forming method and gate electrode forming method
KR101316634B1 (en) Method of forming metal line and method of manufacturing a display substrate by using the same
TW200839847A (en) Method for fabricating semiconductor device
CN101553916A (en) Methods of etching into silicon oxide-containing material, methods of forming container capacitors, and methods of forming DRAM arrays
KR101391074B1 (en) Manufacturing method of array substrate for liquid crystal display
JPH0464177B2 (en)
CN101211865A (en) Method for fabricating semiconductor device
US6461969B1 (en) Multiple-step plasma etching process for silicon nitride
CN109830461A (en) Display panel and preparation method thereof, etch system
KR20080033589A (en) Method of forming metal line and method of manufacturing a display substrate by using the same
CN104701255A (en) Preparation method for lower substrate of liquid crystal display
KR19990063182A (en) Etching method
US20060046494A1 (en) Method for fabricating semiconductor device
US6734119B2 (en) Electro-optical apparatus and method for fabricating a film, semiconductor device and memory device at near atmospheric pressure
CN107731929B (en) Method for manufacturing thin film transistor
US10796923B2 (en) Polysilicon etching method
WO2002099857A1 (en) Anti-reflective coating and methods of making the same
JP4248987B2 (en) Method for manufacturing array substrate
KR20190109210A (en) Method for operating the hybrid scrubber while deposition process and cleaning process are interlocked
CN113488390B (en) Preparation method of thin film transistor and thin film transistor
KR970077369A (en) Manufacturing method of thin film transistor
CN106910712B (en) The production method of array substrate
JP3222156B2 (en) Method for etching tungsten polycide in semiconductor device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20121024