JP2002110570A - 半導体製造装置用ガスラインシステム - Google Patents

半導体製造装置用ガスラインシステム

Info

Publication number
JP2002110570A
JP2002110570A JP2000304840A JP2000304840A JP2002110570A JP 2002110570 A JP2002110570 A JP 2002110570A JP 2000304840 A JP2000304840 A JP 2000304840A JP 2000304840 A JP2000304840 A JP 2000304840A JP 2002110570 A JP2002110570 A JP 2002110570A
Authority
JP
Japan
Prior art keywords
gas
mass flow
reactor
source
line system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000304840A
Other languages
English (en)
Inventor
Takayuki Yamagishi
孝幸 山岸
Masae Suwada
雅栄 諏訪田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Priority to JP2000304840A priority Critical patent/JP2002110570A/ja
Priority to US09/967,727 priority patent/US6662817B2/en
Publication of JP2002110570A publication Critical patent/JP2002110570A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D11/00Control of flow ratio
    • G05D11/02Controlling ratio of two or more flows of fluid or fluent material
    • G05D11/13Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means
    • G05D11/131Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means by measuring the values related to the quantity of the individual components
    • G05D11/132Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means by measuring the values related to the quantity of the individual components by controlling the flow of the individual components
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid
    • Y10T137/0363For producing proportionate flow
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/2496Self-proportioning or correlating systems
    • Y10T137/2514Self-proportioning flow systems
    • Y10T137/2521Flow comparison or differential response
    • Y10T137/2524Flow dividers [e.g., reversely acting controls]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/2496Self-proportioning or correlating systems
    • Y10T137/2514Self-proportioning flow systems
    • Y10T137/2521Flow comparison or differential response
    • Y10T137/2529With electrical controller
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/2496Self-proportioning or correlating systems
    • Y10T137/2559Self-controlled branched flow systems
    • Y10T137/265Plural outflows
    • Y10T137/2657Flow rate responsive
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87249Multiple inlet with multiple outlet

Abstract

(57)【要約】 【課題】低コスト及び小フットプリントを実現するガス
ラインシステムを与える。 【解決手段】少なくとも2台のリアクタを有する半導体
製造装置に使用するガスラインシステムは、少なくとも
一つのガスソースと、ガスソースからのソースガスを受
け取る一次側の入力ポートと、入力されたソースガスを
等分配して出力する二次側の出力ポートとから成る分流
器手段であって、一次側の入力ポートはガスソースに接
続され、二次側の出力ポートはリアクタに接続される分
流器手段と、リアクタに接続されリアクタ内のガスを排
気するための一つの排気ポンプと、から成る。好適に
は、当該システムはさらにリアクタと排気ポンプとの間
にあって、リアクタ毎に圧力制御を行うためのAPCを含
むことが望ましい。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本願は半導体製造装置用のガ
スラインシステムに関し、特に、枚葉式の半導体製造装
置のガスラインシステムに使用されるガス分流器及びそ
の方法に関する。
【0002】
【従来技術】従来、半導体基板を処理する装置には、枚
葉式基板処理型とバッチ式基板処理型がある。枚葉式基
板処理型とは、リアクタ毎に一枚の基板を処理するタイ
プを言い、一方バッチ式基板処理型とは、単一のリアク
タで複数枚の基板を処理するタイプを言う。
【0003】バッチ式基板処理型は単一のリアクタで複
数枚の基板を処理するため生産性が高い。しかしバッチ
処理では、基板上に成膜される薄膜の膜厚及び膜質にバ
ラツキが生じることがしばしば問題になる。膜厚及び膜
質の均一性を改善するために枚葉式基板処理装置の使用
が有効である。共通の搬送室に複数のリアクタを取付け
た従来の枚葉式基板処理装置の場合、各リアクタは独立
したガス系及び真空系を有し、各々のリアクタで単独に
成膜処理を行っていた。
【0004】
【発明が解決しようとする課題】しかし、従来の枚様式
処理装置において生産性を高めようとすると、リアクタ
の個数が増え、その個数分ガスライン及び真空ポンプを
含む真空エレメントが必要になり、その結果リアクタ1
台当たりのコストが高くなると同時にフットプリント
(装置占有床面積)も増大していた。
【0005】また、従来、ひとつのガスラインから2つ
のリアクタにガスを分流する方法としてT型継手を使用
するものがあるが、この場合圧力が低い方のリアクタに
余分にガスが流入し分配バランスが崩れ2つのリアクタ
のプロセスバランスが悪くなるという欠点があった。
【0006】したがって、本発明の目的は、低コスト及
び小フットプリントを実現するガスラインシステムを与
えることである。
【0007】本発明の他の目的は、ガス系及び排気系の
省力化を実現するガスラインシステムを与えることであ
る。
【0008】本発明の他の目的は、プロセス的に安定で
高スループットを実現するガスラインシステム及び方法
を与えることである。
【0009】
【課題を解決するための手段】上記目的を達成するため
に、本発明に係る半導体製造装置用ガスラインシステム
は以下の手段から成る。
【0010】少なくとも2台のリアクタを有する半導体
製造装置に使用するガスラインシステムは、少なくとも
一つのガスソースと、ガスソースからのソースガスを受
け取る一次側の入力ポートと、入力されたソースガスを
等分配して出力する二次側の出力ポートとから成る分流
器手段であって、一次側の入力ポートはガスソースに接
続され、二次側の出力ポートはリアクタのそれぞれに接
続される分流器手段と、リアクタに接続されリアクタ内
のガスを排気するための一つの排気ポンプと、から成
る。
【0011】具体的には、分流器手段は、一次側の入力
ポートに接続された一つのマスフローメーターと、マス
フローメーターから分岐したライン上にそれぞれ与えら
れる二つのマスフローコントローラーと、マスフローメ
ーターとマスフローコントローラーとの間に電気的に接
続されたアナログ信号変換装置とから成る。
【0012】また、分流器手段は、一次側の入力ポート
に接続された一つのデジタル式マスフローメーターと、
デジタル式マスフローメーターから分岐したライン上に
それぞれ与えられる二つのデジタル式マスフローコント
ローラーと、デジタル式マスフローメーターとデジタル
式マスフローコントローラーとの間に電気的に接続され
たデジタル信号処理装置とから成ることもできる。
【0013】好適には、当該システムはリアクタと排気
ポンプとの間にあって、リアクタ毎に圧力制御を行うた
めのAPCを含む。
【0014】一方、本発明に係るガスラインシステムを
使用する方法は、分流器手段の一次側のマスフローコン
トローラーによって各ソースガスの流量を制御する工程
と、分流器手段によって一次側で混合されたソースガス
を二次側の2つの出力ポートに等分配する工程と、ソー
スガスを各リアクタに導入する工程と、APCによって各
リアクタ内の圧力を所望の圧力に制御する工程と、から
成る。
【0015】また具体的には、分流器手段によって2つ
の出力ポートに等分配する工程は、マスフローメーター
で流量を計測する工程と、計測した値をアナログ信号に
変換する工程と、信号を各マスフローコントローラーに
送信する工程と、各マスフローコントローラーが信号を
受信し流量を一次側流量の50%に制御する工程と、か
ら成る。
【0016】さらに具体的には、分流器手段によって2
つの出力ポートに等分配する工程は、デジタル式マスフ
ローメーターで流量を計測する工程と、計測した値をデ
ジタル信号処理する工程と、デジタル処理された信号を
各デジタル式マスフローコントローラーに送信する工程
と、各デジタル式マスフローコントローラーがデジタル
処理された信号を受信し流量を一次側流量の50%に制
御する工程と、から成る。
【0017】
【発明の実施の態様】以下、図面を参照しながら本発明
を詳細に説明する。図1は、2台のリアクタを有する枚
葉式半導体製造装置に使用されるガスラインシステムの
好適実施例を示したものである。当該装置において2枚
の半導体基板は同時に処理されることから、好適には、
2台のリアクタで1ガス系及び1排気系とする。
【0018】図1に示すリアクタのガスラインシステム
は、少なくとも1つのガスソース1を含む。具体的に
は、ガスソース1は内部にマスフローコントローラーを
有するガスボックスから成る。
【0019】分流器手段2はガスソース1からのソースガ
スを受け取る一次側の入力ポートと、入力されたソース
ガスを等分配して出力する二次側の出力ポートとから成
り、一次側の入力ポートはガスソース1に接続され、二
次側の出力ポートはリアクタ(3、4)にそれぞれ接続さ
れる。
【0020】本発明に係るガスラインシステムの1つの
ガス系は、ガスソース1と、分流器手段2と、該分流器手
段2と各リアクタ(3、4)との間に接続されリアクタ毎
に設けられるガスストップバルブ(8、9)とを含む。
【0021】また、本発明に係るガスラインシステムの
1つの排気系は、各リアクタ(3、4)に接続される一つ
の排気ポンプ5と、リアクタ(3、4)及び排気ポンプ5の
間に接続されリアクタ(3、4)毎に設けられるAPC(自
動的に圧力制御が可能な可変式バタフライバルブ)
(6、7)と、APCと各リアクタ(3、4)との間に接続さ
れリアクタ毎に設けられる真空排気バルブ(10、11)を
含む。
【0022】分流器手段2は一次側に入力した0〜10S
LMのガス流量を二次側に同量(0〜5SLM)ずつ出力す
ることができるものである。
【0023】図2は分流器手段の第1の実施例を示した
ものである。分流器手段20は一次側の入力ポート23及び
二次側の出力ポート(28、29)を有する。分流器手段20
は、一次側の入力ポート23に接続された一つのマスフロ
ーメーター(以下、MFMという)24と、MFM24から分岐し
たライン上にそれぞれ与えられる2つのマスフローコン
トローラー(以下、MFCという)(26、27)と、MFM24と
MFC(26、27)との間に電気的に接続されたアナログ信
号変換装置25とから成る。2つのMFC(26、27)はそれ
ぞれ二次側の出力ポート(28、29)に接続されている。
好適にはMFC(26、27)は常時開である。アナログ信号
変換装置25に対して外部補正入力(±1V)を行うこと
によりMFC(26、27)の分流バランスを20%変更する
ことが可能である。なお、MFM、MFC及び信号変換装置
は、3装置とも同じ方式であれば、アナログ方式でもデ
ジタル方式でもよい。
【0024】図3は図2の分流器手段で使用しているMF
M及びMFCをデジタル化した第2の実施例である。分流器
手段30は一次側の入力ポート33及び二次側の出力ポート
(38、39)を有する。分流器手段30は、一次側の入力ポ
ート33に接続された一つのデジタル式マスフローメータ
ー(以下、D-MFMという)34と、D-MFM34から分岐したラ
イン上にそれぞれ与えられる2つのデジタル式マスフロ
ーコントローラー(以下、D-MFCという)(36、37)
と、D-MFM34とD-MFC(36、37)との間に電気的に接続さ
れたデジタル信号処理装置35とから成る。
【0025】次に、図1に示す実施例の作用について説
明する。真空排気バルブ(10、11)が開かれ真空ポンプ
5によってリアクタ(3、4)が真空引きされたところへ
2枚の半導体基板が同時にロードされる。次に、ガスス
トップバルブ(8、9)が開いてリアクタ内にソースガス
が導入される。この際、分流器手段2及びAPC(6、7)の
組み合わせにより、各リアクタ(3、4)内には均等にソ
ースガスが導入される。次に、RFジェネレータ(12、1
3)によりリアクタ内の半導体基板に高周波電圧が印加
されて成膜反応が両リアクタ内で同時に生じる。
【0026】図1の実施例では、リアクタ毎にAPCによ
り圧力制御を行いかつ単一のポンプを用いて各リアクタ
のガスを排出している。この独立した圧力制御と上記分
流器手段を用いることにより、リアクタ毎にガスライン
を用いたのと同様に単独で成膜の制御を行うことがで
き、処理室の個体差に対応できる。また、リアクタ毎に
ガスストップバルブ及び真空排気バルブを有しているた
め、1リアクタのみでも処理を行うことができる。さら
に、ガスラインシステムがリアクタ2台に対し1ガス系
及び1排気系から成ることにより、省力化、低コスト化
及び小フットプリント化を図ることができる。
【0027】次に、本発明に係るガスラインシステムを
使用する方法について説明する。少なくとも2台のリア
クタを有する半導体製造装置用のガスラインシステムを
使用するために、まず、分流器手段の一次側のMFC(1
4、15)(好適にはガスソース1内に含まれる)によって
各ソースガスの流量を制御する。次に、分流器手段2に
よって一次側で混合されたソースガスを二次側の2つの
出力ポートに等分配する。そうしてソースガスを各リア
クタに導入したら、APCによって各リアクタ内の圧力を
所望の圧力に制御する。
【0028】分流器手段によって分流するには、図2に
記載の分流器手段20を使用した場合には、まず、MFC(1
4、15)によって流量制御され混合されたソースガスが
一次側の入力ポート23へ流入する流量をMFM24によって
計測する。次に、その値をアナログ信号変換装置25を用
いてアナログ信号に変換する。さらにその信号を2台の
MFC(26、27)にそれぞれ送信する。最後に、MFC(26、
27)が信号を受信し一次側入力ポート23に流入される流
量の50%ずつを二次側出力ポート(28、29)にそれぞ
れ流す。
【0029】一方、分流器手段として図3に記載の分流
器手段30を使用した場合には、まず、MFC(14、15)に
よって流量制御され混合されたソースガスが一次側の入
力ポート33へ流入する流量をD-MFM34によって計測す
る。次に、その値をデジタル信号処理装置35を用いてデ
ジタル処理する。さらにそのデジタル処理された信号を
2台のD-MFC(36、37)に送信する。最後に、D-MFC(3
6、37)が信号を受信し一次側入力ポート33に流入され
る流量の50%ずつを二次側出力ポート(38、39)にそ
れぞれ流す。
【0030】本発明に係る方法によれば、本発明に従う
分流器手段及びAPCの組み合わせにより、常に一次側の
流量を二次側に正確に等分配することができ、優れた分
流バランスを達成することができる。その結果、2台の
リアクタ内部はプロセス的に非常に安定であり、スルー
プットが向上する。
【図面の簡単な説明】
【図1】図1は、本願発明に従うガスラインシステムの
実施例を示したものである。
【図2】図2は、本発明に従う分流器手段の第1の実施
例を示したものである。
【図3】図3は、本発明に従う分流器手段の第2の実施
例を示したものである。
【符号の説明】
1 ガスソース 2 分流器手段 3、4 リアクタ 5 排気ポンプ 6、7 APC 8、9 ガスストップバルブ 10、11 真空排気バルブ 12、13 RFジェネレータ
───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 4K030 CA04 CA12 EA03 EA11 HA01 HA15 JA05 JA09 KA41 5F045 AA08 BB08 BB10 DQ14 EC07 EE04 EE12 EG01 EN04 GB15

Claims (10)

    【特許請求の範囲】
  1. 【請求項1】少なくとも2台のリアクタを有する半導体
    製造装置に使用するガスラインシステムであって、 少なくとも一つのガスソースと、 前記ガスソースからのソースガスを受け取る一次側の入
    力ポートと、入力されたソースガスを等分配して出力す
    る二次側の出力ポートとから成る分流器手段であって、
    前記一次側の入力ポートは前記ガスソースに接続され、
    前記二次側の出力ポートは前記リアクタのそれぞれに接
    続される分流器手段と、 前記リアクタに接続されリアクタ内のガスを排気するた
    めの一つの排気ポンプと、から成るシステム。
  2. 【請求項2】請求項1に記載のガスラインシステムであ
    って、前記分流器手段は、前記一次側の入力ポートに接
    続された一つのマスフローメーターと、前記マスフロー
    メーターから分岐したライン上にそれぞれ与えられる二
    つのマスフローコントローラーと、前記マスフローメー
    ターと前記マスフローコントローラーとの間に電気的に
    接続されたアナログ信号変換装置とから成る、システ
    ム。
  3. 【請求項3】請求項1に記載のガスラインシステムであ
    って、前記分流器手段は、前記一次側の入力ポートに接
    続された一つのデジタル式マスフローメーターと、前記
    デジタル式マスフローメーターから分岐したライン上に
    それぞれ与えられる二つのデジタル式マスフローコント
    ローラーと、前記デジタル式マスフローメーターと前記
    デジタル式マスフローコントローラーとの間に電気的に
    接続されたデジタル信号処理装置とから成る、システ
    ム。
  4. 【請求項4】請求項2または3に記載のガスラインシス
    テムであって、さらに前記ガスソースと前記分流器手段
    の一次側入力ポートとの間にあって、ソースガスの流量
    を制御するためのマスフローコントローラーを含む、と
    ころのシステム。
  5. 【請求項5】請求項4に記載のガスラインシステムであ
    って、さらに前記リアクタと前記排気ポンプとの間にあ
    って、リアクタ毎に圧力制御を行うためのAPCを含む、
    ところのシステム。
  6. 【請求項6】請求項5に記載のガスラインシステムであ
    って、さらに前記分流器手段の出力ポートと各前記リア
    クタとの間にあってソースガスを制御するためのガスス
    トップバルブと、各前記リアクタと各前記APCとの間に
    あって排気ガスを制御するための真空排気バルブとを含
    むところのシステム。
  7. 【請求項7】請求項5に記載のガスラインシステムを使
    用する方法であって、 前記分流器手段の一次側のマスフローコントローラーに
    よって各ソースガスの流量を制御する工程と、 請求項2に記載の分流器手段によって一次側で混合され
    たソースガスを二次側の2つの出力ポートに等分配する
    工程と、 前記ソースガスを各リアクタに導入する工程と、 前記APCによって各リアクタ内の圧力を所望の圧力に制
    御する工程と、から成る方法。
  8. 【請求項8】請求項5に記載のガスラインシステムを使
    用する方法であって、 前記分流器手段の一次側のマスフローコントローラーに
    よって各ソースガスの流量を制御する工程と、 請求項3に記載の分流器手段によって一次側で混合され
    たソースガスを二次側の2つの出力ポートに等分配する
    工程と、 前記ソースガスを各リアクタに導入する工程と、 前記APCによって各リアクタ内の圧力を所望の圧力に制
    御する工程と、から成る方法。
  9. 【請求項9】請求項7に記載の方法であって、請求項2
    に記載の分流器手段によって2つの出力ポートに等分配
    する工程が、 マスフローメーターで流量を計測する工程と、 計測した値をアナログ信号に変換する工程と、 前記信号を各マスフローコントローラーに送信する工程
    と、 各マスフローコントローラーが前記信号を受信し流量を
    一次側流量の50%に制御する工程と、から成る方法。
  10. 【請求項10】請求項8に記載の方法であって、請求項
    3に記載の分流器手段によって2つの出力ポートに等分
    配する工程が、 デジタル式マスフローメーターで流量を計測する工程
    と、 計測した値をデジタル信号処理する工程と、 デジタル処理された信号を各デジタル式マスフローコン
    トローラーに送信する工程と、 前記各デジタル式マスフローコントローラーが前記デジ
    タル処理された信号を受信し流量を一次側流量の50%
    に制御する工程と、から成る方法。
JP2000304840A 2000-10-04 2000-10-04 半導体製造装置用ガスラインシステム Pending JP2002110570A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2000304840A JP2002110570A (ja) 2000-10-04 2000-10-04 半導体製造装置用ガスラインシステム
US09/967,727 US6662817B2 (en) 2000-10-04 2001-09-28 Gas-line system for semiconductor-manufacturing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000304840A JP2002110570A (ja) 2000-10-04 2000-10-04 半導体製造装置用ガスラインシステム

Publications (1)

Publication Number Publication Date
JP2002110570A true JP2002110570A (ja) 2002-04-12

Family

ID=18785813

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000304840A Pending JP2002110570A (ja) 2000-10-04 2000-10-04 半導体製造装置用ガスラインシステム

Country Status (2)

Country Link
US (1) US6662817B2 (ja)
JP (1) JP2002110570A (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010087231A (ja) * 2008-09-30 2010-04-15 Tokyo Electron Ltd 真空処理装置
JP2015179843A (ja) * 2014-03-18 2015-10-08 エーエスエム アイピー ホールディング ビー.ブイ. 複数の反応チャンバを共有するガスシステムで均一な処理を行う方法
JP2016033997A (ja) * 2014-07-31 2016-03-10 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
JP2016164964A (ja) * 2014-10-20 2016-09-08 東京エレクトロン株式会社 基板処理装置および基板処理方法
US10391529B2 (en) 2016-09-28 2019-08-27 Toshiba Memory Corporation Exhaust system, semiconductor manufacturing equipment, and method for operating the exhaust system
JP2020077806A (ja) * 2018-11-09 2020-05-21 株式会社ニューフレアテクノロジー 気相成長装置

Families Citing this family (314)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040019293A (ko) 2001-05-24 2004-03-05 셀레리티 그룹 아이엔씨 소정 비율의 프로세스 유체를 제공하는 방법 및 장치
US6766260B2 (en) * 2002-01-04 2004-07-20 Mks Instruments, Inc. Mass flow ratio system and method
JP4454964B2 (ja) * 2003-06-09 2010-04-21 東京エレクトロン株式会社 分圧制御システム及び流量制御システム
WO2004109420A1 (ja) * 2003-06-09 2004-12-16 Ckd Corporation 相対的圧力制御システム及び相対的流量制御システム
JP4195837B2 (ja) 2003-06-20 2008-12-17 東京エレクトロン株式会社 ガス分流供給装置及びガス分流供給方法
US7673645B2 (en) * 2005-04-21 2010-03-09 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using a multiple antisymmetric optimal control arrangement
US7621290B2 (en) 2005-04-21 2009-11-24 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using antisymmetric optimal control
US9405298B2 (en) * 2006-11-20 2016-08-02 Applied Materials, Inc. System and method to divide fluid flow in a predetermined ratio
JP4585035B2 (ja) * 2007-12-27 2010-11-24 株式会社堀場エステック 流量比率制御装置
JP5564043B2 (ja) * 2008-08-13 2014-07-30 シエル・インターナシヨネイル・リサーチ・マーチヤツピイ・ベー・ウイ 複数のガス流間のガス流量を制御する方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
DE102010031478A1 (de) * 2010-07-16 2012-01-19 Krones Aktiengesellschaft Vorrichtung und Verfahren zum Bereitstellen eines wiederzuverwendenden zu behandelnden flüssigen Produkts
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) * 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5739261B2 (ja) * 2011-07-28 2015-06-24 株式会社堀場エステック ガス供給システム
US8849466B2 (en) 2011-10-04 2014-09-30 Mks Instruments, Inc. Method of and apparatus for multiple channel flow ratio controller system
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62105997A (ja) * 1985-11-01 1987-05-16 Sumitomo Electric Ind Ltd 気相成長装置
JPH01184818A (ja) * 1988-01-13 1989-07-24 Fujitsu Ltd Mocvd装置
JPH0345957U (ja) * 1989-09-08 1991-04-26
JPH04100222A (ja) * 1990-08-20 1992-04-02 Anelva Corp 真空処理方法
JPH10158843A (ja) * 1996-12-06 1998-06-16 Furukawa Electric Co Ltd:The 気相成長装置

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5339636A (en) * 1992-12-04 1994-08-23 United Technologies Corporation Fuel splitter valve assembly for gas turbine
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
JP3549674B2 (ja) 1996-07-19 2004-08-04 東京応化工業株式会社 ロードロック室を備えた基板の処理装置
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5826607A (en) * 1996-11-25 1998-10-27 Sony Corporation Dual exhaust controller

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62105997A (ja) * 1985-11-01 1987-05-16 Sumitomo Electric Ind Ltd 気相成長装置
JPH01184818A (ja) * 1988-01-13 1989-07-24 Fujitsu Ltd Mocvd装置
JPH0345957U (ja) * 1989-09-08 1991-04-26
JPH04100222A (ja) * 1990-08-20 1992-04-02 Anelva Corp 真空処理方法
JPH10158843A (ja) * 1996-12-06 1998-06-16 Furukawa Electric Co Ltd:The 気相成長装置

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010087231A (ja) * 2008-09-30 2010-04-15 Tokyo Electron Ltd 真空処理装置
JP2015179843A (ja) * 2014-03-18 2015-10-08 エーエスエム アイピー ホールディング ビー.ブイ. 複数の反応チャンバを共有するガスシステムで均一な処理を行う方法
JP2016033997A (ja) * 2014-07-31 2016-03-10 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US10132001B2 (en) 2014-07-31 2018-11-20 Nuflare Technology, Inc. Vapor phase growth apparatus and vapor phase growth method
JP2016164964A (ja) * 2014-10-20 2016-09-08 東京エレクトロン株式会社 基板処理装置および基板処理方法
US10391529B2 (en) 2016-09-28 2019-08-27 Toshiba Memory Corporation Exhaust system, semiconductor manufacturing equipment, and method for operating the exhaust system
JP2020077806A (ja) * 2018-11-09 2020-05-21 株式会社ニューフレアテクノロジー 気相成長装置
JP7144283B2 (ja) 2018-11-09 2022-09-29 株式会社ニューフレアテクノロジー 気相成長装置

Also Published As

Publication number Publication date
US6662817B2 (en) 2003-12-16
US20020038669A1 (en) 2002-04-04

Similar Documents

Publication Publication Date Title
JP2002110570A (ja) 半導体製造装置用ガスラインシステム
JP7440217B2 (ja) ガス分配システムおよびそれを備える反応器システム
US9721763B2 (en) Systems and methods for providing gases to a process chamber
US5853484A (en) Gas distribution system and method for chemical vapor deposition apparatus
KR102531896B1 (ko) 가스 전달 시스템
CN105122424B (zh) 用于半导体处理应用的压力控制器配置
US8707754B2 (en) Methods and apparatus for calibrating flow controllers in substrate processing systems
US8202393B2 (en) Alternate gas delivery and evacuation system for plasma processing apparatuses
US10472717B2 (en) Gas supply system, plasma processing apparatus, and operation method for plasma processing apparatus
US20130104996A1 (en) Method for balancing gas flow supplying multiple cvd reactors
TW202139299A (zh) 用於氣體流量比控制的方法與組件
KR20190002714A (ko) 고속 가스 교환, 고속 가스 전환 및 프로그램 가능한 가스 전달을 위한 방법 및 장치
WO2020008854A1 (ja) ガス供給システム、プラズマ処理装置およびガス供給システムの制御方法
JPH05343392A (ja) 半導体製造装置
JPH10158843A (ja) 気相成長装置
TWI619166B (zh) Vacuum device and valve control method
JP2004280788A (ja) ガス分流システム
CN110016657B (zh) 流量控制方法及装置、反应腔室
TW464916B (en) Wafer processing reactor system with programmable processing parameters and method
US20230197413A1 (en) Semiconductor process method and multi-chamber apparatus therewith
JPH10172955A (ja) 真空処理装置
WO2022235544A1 (en) Rf impedance matching networks for substrate processing platform
JPS6362325A (ja) ドライエツチング装置
JPH05306468A (ja) プラズマ気相成長装置
JPH0463424A (ja) 反応性イオンエッチング装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070905

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20080331

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091112

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100113

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100901

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110629