TW201729244A - 用以控制電漿不穩定性之射頻電源的調頻用系統及方法 - Google Patents

用以控制電漿不穩定性之射頻電源的調頻用系統及方法 Download PDF

Info

Publication number
TW201729244A
TW201729244A TW105137173A TW105137173A TW201729244A TW 201729244 A TW201729244 A TW 201729244A TW 105137173 A TW105137173 A TW 105137173A TW 105137173 A TW105137173 A TW 105137173A TW 201729244 A TW201729244 A TW 201729244A
Authority
TW
Taiwan
Prior art keywords
plasma
wafer
signal
signal frequency
instability
Prior art date
Application number
TW105137173A
Other languages
English (en)
Other versions
TWI720060B (zh
Inventor
可里伊許特克
崎山幸紀
亞什萬特 瑞基內尼
愛德華 奧古斯丁尼亞克
道格拉斯 凱爾
拉密許 謙德拉瑟哈蘭
艾里恩 拉芙依
卡爾 里瑟
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201729244A publication Critical patent/TW201729244A/zh
Application granted granted Critical
Publication of TWI720060B publication Critical patent/TWI720060B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Optics & Photonics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

將一晶圓置於一晶圓支撐設備上,該晶圓支撐設備係設置於一電極下方,使得該晶圓與該電極之間存在一電漿產生區域。供應一第一信號頻率之射頻信號至該電漿產生區域以於該電漿產生區域中產生一電漿。基於該第一信號頻率之射頻信號的供應而偵測該電漿中的一電漿不穩定性之形成。於偵測到該電漿不穩定性之形成之後,替代該第一信號頻率之射頻信號而供應一第二信號頻率之射頻信號至該電漿產生區域以產生該電漿。該第二信號頻率高於該第一信號頻率,且係設置以導致該電漿內的離子能量之降低、及離子與該晶圓相互作用所導致的來自該晶圓之次級電子放射之對應減少。

Description

用以控制電漿不穩定性之射頻電源的調頻用系統及方法
本發明係關於半導體元件的製造。
許多現代的半導體晶片製造處理包含了電漿的產生,離子及/或自由基成分係從電漿導出以直接或間接地影響在暴露於電漿之晶圓表面上的變化。例如,可使用各種基於電漿之處理以從晶圓表面蝕刻材料、沉積材料至晶圓表面上、或對已經存在於晶圓表面上的材料進行修改。電漿通常是藉由在受控環境中對處理氣體施加射頻(RF)功率,使得處理氣體激發並轉變成想要的電漿而產生。電漿的特性受許多處理參數影響,其中包含(但不限於)處理氣體的材料組成、處理氣體的流率、周圍的結構與電漿產生區域的幾何特徵、周圍材料與處理氣體的溫度、所施加的RF功率之頻率及強度、及施加以將電漿的帶電成分吸引向晶圓的偏壓電壓等參數。
然而,在一些電漿處理中,上述處理參數可能無法提供對所有電漿特徵及行為的適當控制。具體而言,在一些電漿處理中,稱為「電漿團」的不穩定性可發生於電漿中,其中電漿團之特徵係被較大體積的正常密度電漿所包圍的小區域之較高密度電漿。電漿團的形成可導致在晶圓之處理結果上的非均勻性。因此,減輕及/或控制電漿團形成係有利的。在此背景下本發明產生。
在一範例性實施例中,揭露了晶圓的電漿處理用之方法。該方法包含將一晶圓置於一晶圓支撐設備上。該晶圓支撐設備係設置於一電極下方,使得該晶圓與該電極之間存在一電漿產生區域。該方法包含於一電漿處理操作的多個連續電漿處理循環期間供應一第一信號頻率之射頻信號至該電漿產生區域以於該電漿產生區域中產生一電漿。該方法包含基於該供應該第一信號頻率之射頻信號至該電漿產生區域之步驟而偵測該電漿中的一電漿不穩定性之形成。該方法包含於偵測到該電漿不穩定性之形成之後,供應一第二信號頻率之射頻信號至該電漿產生區域以於該電漿產生區域中產生該電漿。該第二信號頻率之射頻信號係替代該第一信號頻率之射頻信號而供應。該第二信號頻率係高於該第一信號頻率。該第二信號頻率導致該電漿內的離子能量之降低、及離子與該晶圓相互作用所導致的來自該晶圓之次級電子放射之對應減少。
在一範例性實施例中,揭露了在晶圓上執行電漿處理的方法。該系統包含將一晶圓置於一晶圓支撐設備上,該晶圓支撐設備係設置於一電極下方,使得該晶圓與該電極之間存在一電漿產生區域。該方法包含供應具有高於13.56百萬赫茲的一信號頻率之射頻信號至該電極以於該電漿產生區域內產生一電漿。該信號頻率使該電漿中的離子能量維持地足夠低以將離子與該晶圓相互作用所導致的來自該晶圓之次級電子放射維持在一可接受量,其中來自該晶圓之次級電子放射的該可接受量係足夠低以防止該電漿中的一次級電子誘發不穩定性之形成
以例示本發明為目的,從以下配合隨附圖式所做出之詳細描述,將更清楚本發明的其他態樣及優點。
接下來的敘述中將提出許多特定的細節以提供對本發明的完整理解。然而,顯而易見的,對於熟悉本技藝者而言,本發明可被實行而無須其內部分或全部特定細節。在其他情況下,為了不對本發明造成不必要地混淆,眾所周知的程序步驟則沒有被詳述。
膜的沉積可在電漿增強化學氣相沉積(PECVD)系統中實行。PECVD系統可採取許多不同的形式。PECVD系統包含一或更多腔室或「反應器」(有時包含多個站),該一或更多腔室或反應器可容納一或更多晶圓且適合用於進行晶圓處理。每一腔室可容納用於處理的一或更多晶圓。該一或更多腔室將晶圓保持在界定的一位置或複數位置(在有或沒有在該位置內之運動的情況下,諸如旋轉、振動、或其他攪動)。經歷沉積的晶圓可在處理期間於反應腔室內自一站傳遞至另一站。當然,膜沉積可完全在單一站發生,或膜的任何部分可在任何數量的站中加以沉積。在處理期間,每一晶圓係由基座、晶圓卡盤、及/或其他晶圓固定設備固定在適當位置。對於某些操作,該設備可包含加熱器(例如加熱板)以加熱晶圓。
在一實施例中,本文中所使用之術語「晶圓」係指半導體晶圓。此外,在各種實施例中,本文所指稱之「晶圓」可在形式、形狀、及/或尺寸上有所不同。例如,在一些實施例中,本文所指稱的「晶圓」可對應於200 公厘(mm)的半導體晶圓、300 mm的半導體晶圓、或450 mm的半導體晶圓。此外,在一些實施例中,本文中所指稱的「晶圓」可對應於非圓形之基板,例如用於平板顯示器或類似裝置的長方形等形狀之基板。
根據本發明的一些實施例,圖1A繪示了用以處理晶圓101的晶圓處理系統100。該系統包含一腔室102,該腔室102具有一下腔室部分102b及一上腔室部分102a。一中央柱141係用以支撐由導電材料形成的基座140。該導電基座140係連接以根據一RF方向控制模組250之設定而經由匹配網路106從RF電源供應器104接收RF信號。另外,在圖1A的晶圓處理系統100中,噴淋頭電極150係配置且連接用以根據RF方向控制模組250之設定而經由匹配網路106從RF電源供應器104接收RF信號。在一些實施例中,RF方向控制模組250係用以經由匹配網路106而將傳輸自RF電源供應器104的RF信號引導至噴淋頭電極150或基座140。此外,RF方向控制模組250係用以將噴淋頭電極150與基座140其中當下未接收RF信號的一者電連接至參考接地電位。以此方式,在一給定時間,RF方向控制模組250操作以確保在基座140電連接至參考接地電位時噴淋頭電極150會接收到來自RF電源供應器104的RF信號,或者在噴淋頭電極150電連接至參考接地電位時基座140會接收到來自RF電源供應器104的RF信號。
RF電源供應器104係由控制模組110(例如控制器)所控制。控制模組110係用以藉由執行製程輸入及控制指令/程式108而操作晶圓處理系統100。製程輸入及控制指令/程式108可包含複數處理配方,該等處理配方具有用於諸如功率位準、時序參數、處理氣體、晶圓101的機械移動等參數的指示以例如在晶圓101上沉積或形成膜。
在一些實施例中,中央柱141可包含升降銷,升降銷係由升降銷控制部122所控制。升降銷係用以從基座140抬升晶圓101以容許末端執行器拾取晶圓101,及在末端執行器放置晶圓之後降低晶圓101。晶圓處理系統100更包含氣體供應系統112,該氣體供應系統係連接至處理氣體供應114(例如來自設施的氣體化學品供應)。根據正執行的處理,控制模組110控制經由氣體供應系統112之處理氣體114的輸送。所選擇的處理氣體接著流進噴淋頭電極150並分佈於一處理容積中,該處理容積係界定於噴淋頭電極150與配置在基座140上的晶圓101之間。
另外,處理氣體可預混合或不預混合。可在氣體供應系統112中利用適當的閥調節及質量流量控制機構,以確保在製程的沉積及電漿處理階段期間輸送正確的氣體。處理氣體離開處理容積並流動通過排氣出口143。一真空泵浦(例如一或二階段的機械乾式泵浦等)將處理氣體抽出處理容積,並藉由閉路反饋控制的流量限制裝置(例如節流閥或鐘擺閥)保持處理容積內的適當低壓。
該圖亦顯示一載送環200,其圍繞基座140的靠外區域。載送環200係用以於晶圓101傳遞至基座140或傳遞離開基座140期間支撐晶圓101。載送環200係配置成坐落於一載送環支撐區域上方,該載送環支撐區域係從基座140中央的晶圓支撐區域往下一個台階。載送環200具有一環狀圓盤結構,且包含其圓盤結構的一外邊緣側(例如外半徑)、及其圓盤結構的一晶圓邊緣側(例如內半徑,其係最接近晶圓101坐落之處)。該載送環200的晶圓邊緣側包含複數接觸支撐結構,該接觸支撐結構係用以於載送環200被蜘蛛叉180抬升時舉起晶圓101。載送環200因而與晶圓101一起升起,且可旋轉至例如在多站式系統中的另一站。載送環升降及/或旋轉控制信號124係由控制模組110產生來控制蜘蛛叉180之操作以抬升及/或旋轉載送環200。
在一些實施例中,電絕緣層507係設置在基座140的頂部表面上,且導電層509係設置在電絕緣層507上。導電層509係用以支撐晶圓101。 另外,在這些實施例中,導電層可經由低通濾波器525而電連接至直流(DC)電源供應器521的正極端子。DC電源供應器521亦係連接以由控制模組110加以控制。因此,在一些實施例中,根據製程輸入及控制指令/程式108所提供並由控制模組110執行的預定配方,電流可從DC電源供應器521傳輸通過低通濾波器525而至導電層509。
根據本發明的一些實施例,圖1B繪示了一晶圓處理系統100A,該晶圓處理系統係用以在晶圓101上執行一原子層沉積(ALD)處理(例如,ALD氧化處理)。圖1B中顯示了與參照圖1A所述類似的元件。具體而言,晶圓處理系統100A亦包含上腔室部分102a、下腔室部分102b、控制模組110、RF電源供應器104、匹配網路106、導電層509、DC電源供應器521、低通濾波器525、載送環200、及蜘蛛叉180。在晶圓處理系統100A中,基座140A係配置成包含一介電體251。在一些實施例中,介電體251係直接固定至柱141。此外,在一些實施例中,介電體251係由固定至柱141的導電結構252所支撐。導電層509係直接設置在基座140A的介電體251的頂部表面上。
在一些實施例中,基座140A的介電體251設置有一加熱元件253(例如,電阻加熱元件)。加熱元件253係連接至一加熱器電源供應器255,該加熱器電源供應器又連接至控制模組110。在一些實施例中,在具有加熱元件253的情況下,加熱器電源供應器255可根據製程輸入及控制指令/程式108所提供並由控制模組110執行的預定配方進行操作。吾人亦應理解,可在基座140A上/內、及/或基座140A周圍的其他位置安裝溫度測量裝置以提供溫度測量數據至控制模組110,從而使得控制模組110與加熱器電源供應器255之間的閉路溫度反饋控制電路得以進行操作。
基座140A的介電體251包含一RF電極254,該RF電極係配置且連接成根據RF方向控制模組250的設定而經由匹配網路106從RF電源供應器104接收RF信號。另外,在圖1B的晶圓處理系統100A中,一噴淋頭電極150A係配置且連接成根據RF方向控制模組250的設定而經由匹配網路106從RF電源供應器104接收RF信號。 在一些實施例中,RF方向控制模組250係用以將自RF電源供應器104經由匹配網路106傳輸的RF信號引導至噴淋頭電極150A或RF電極254其中任一者。此外,RF方向控制模組250係用以將噴淋頭電極150A與RF電極254其中當下未接收RF信號的一者電連接至參考接地電位。以此方式,在一給定時間,RF方向控制模組250操作以確保在RF電極254電連接至參考接地電位時噴淋頭電極150A會接收到來自RF電源供應器104的RF信號,或者在噴淋頭電極150A電連接至參考接地電位時RF電極254會接收到來自RF電源供應器104的RF信號。
根據本發明的一些實施例,圖2顯示了包含四個處理站的多站式處理工具300之俯視圖 。此俯視圖係下腔室部分102b的俯視圖(移除了上腔室部分102a以便於繪示)。四個處理站係由蜘蛛叉180加以接取。每一蜘蛛叉180或叉狀物包含第一及第二臂,該第一及第二臂其中每一者係環繞基座140/140A之各側的一部分而設置。使用接合及旋轉機構220的該等蜘蛛叉180係用以從該等處理站同時升起並抬升載送環200(換言之,從載送環200的下表面抬升),並接著在將該等載送環200降下之前(其中該等載送環其中至少一者支撐一晶圓101)旋轉至少一或更多站的距離,使得進一步的電漿處理、處理、及/或膜沉積可在各別的晶圓101上發生。
根據本發明的一些實施例,圖3顯示了與一入站裝載鎖定部302及一出站裝載鎖定部304介接的多站式處理工具300之實施例的示意圖。在大氣壓力下的機械臂306係用以將晶圓101從卡匣(透過晶圓傳遞盒(pod)308裝載)經由大氣埠310而移動至入站裝載鎖定部302中。入站裝載鎖定部302係連接至一真空來源/泵浦,使得當大氣埠310關閉時,入站裝載鎖定部302可加以抽氣。入站裝載鎖定部302亦包含與處理腔室102介接的腔室運輸埠316。因此,當腔室運輸埠316開啟時,另一機械臂312可從入站裝載鎖定部302將晶圓移動至第一處理站的基座140/140A以用於處理。
所描繪的處理腔室102包含四個處理站,在圖3中顯示之實施例中係編號為1至4。在一些實施例中,處理腔室102可建構成維持低壓環境,使得吾人可藉由使用載送環200在處理站1-4之間轉移晶圓而無需經歷破壞真空及/或空氣曝露。在圖3中所描繪的每一處理站1-4包含基座140/140A、噴淋頭電極150/150A、及相關的處理氣體供應連接。 此外,吾人應理解,在其他實施例中處理腔室102可包含少於四個的處理站或多於四個的處理站。
圖3亦顯示了用以在處理腔室102中傳遞晶圓的蜘蛛叉180。如上面所述,該等蜘蛛叉180進行旋轉並使得晶圓得以從一處理站傳遞至另一處理站。該傳遞係藉由使蜘蛛叉180從載送環200之外側底面抬起載送環(載送環抬升晶圓101),並將晶圓101及載送環200一同旋轉至下一處理站而發生。在一配置中,蜘蛛叉180係由陶瓷材料製成以承受處理期間內的高熱。
根據本發明的一些實施例,圖4顯示了基座140/140A之範例,該基座係用以接收晶圓101以用於沉積處理(例如原子層沉積(ALD)處理)。基座 140/140A包含設置於基座140/140A的中央頂部表面上的導電層509,其中該中央頂部表面係由一圓形區域所界定,該圓形區域從基座140/140A的中央軸線420延伸至一頂部表面直徑422,該頂部表面直徑422界定了該中央頂部表面的邊緣。導電層509包含複數晶圓支撐件404a、404b、404c、404d、404e、及404f,該等晶圓支撐件係分佈在導電層509上並用以支撐晶圓101。當晶圓101位於晶圓支撐件404a、404b、404c、404d、404e、及404f上時,晶圓101底部表面的垂直位置界定了一晶圓支撐位準。在圖4之範例中,存在六個晶圓支撐件404a、404b、404c、404d、404e、及404f,其環繞導電層509之周邊而對稱地分佈。然而,在其他實施例中,在導電層509上可存在任何數目的晶圓支撐件,且晶圓支撐件可以任何於沉積處理操作期間適於支撐晶圓101的配置而分佈在導電層509上。圖4亦顯示用以容納升降銷的凹口406a、406b、及406c。可利用升降銷使晶圓101自晶圓支撐件404a、404b、404c、404d、404e、及404f升起,以容許末端執行器與晶圓101接合。
在一些實施例中,每一晶圓支撐件404a、404b、404c、404d、404e、及404f界定了一最小接觸區域結構(MCA,minimum contact area structure)。MCA係在需要高精確度或公差時、及/或在期望最小實體接觸來降低缺陷風險時用以改善表面之間的精確配合。系統中的其他表面亦可包含MCA,例如在載送環200之支撐件上,及載送環200之靠內的晶圓支撐區域上。
基座140/140A更包含環狀表面410,其自基座140/140A的頂部表面直徑422延伸至該環狀表面410的外直徑424。環狀表面410界定了圍繞導電層509但從導電層509往下一台階的環狀區域。亦即,環狀表面410的垂直位置係低於導電層509的垂直位置。複數的載送環支撐件412a、412b、及412c係實質上設置於環狀表面410的邊緣(外直徑)/或實質上沿著環狀表面410的邊緣(外直徑)設置,且環繞著環狀表面410而對稱地分佈。在一些實施例中,載送環支撐件可界定用以支撐載送環200的MCA。在一些實行例中,載送環支撐件412a、412b、及412c延伸越過環狀表面410的外直徑424,而在其他實行例中則沒有。在一些實行例中,載送環支撐件412a、412b、及412c的頂部表面具有稍微高於環狀表面410之高度,使得當載送環置於載送環支撐件412a、412b、及412c上時,載送環200係支撐於環狀表面410上方的一預定距離。每一載送環支撐件412a、412b、及412c可包含一凹口(例如載送環支撐件412a的凹口413),當載送環200受載送環支撐件412a、412b、及412c支撐時,自載送環200下側突出的一延伸部係坐落於該凹口中。當載送環200坐落於載送環支撐件412a、412b、及412c上時,載送環延伸部與載送環支撐件412a、412b、及412c中凹口之配合為載送環200提供了穩固的定位,並防止載送環200移動。
在一些實行例中,載送環支撐件412a、412b、及412c的頂部表面係與環狀表面410齊平。在其他實行例中,不存在從環狀表面410分開界定的載送環支撐件,所以載送環200可直接地置於環狀表面410上,因此載送環200與環狀表面410之間不存在間隙。在這樣的實行例中,載送環200與環狀表面410之間的路徑係閉合的,從而防止前驅物材料經由此路徑而到達晶圓101的背側/下側。
在圖4之範例性實施例中,存在沿環狀表面410之外邊緣區域而對稱地設置的三個載送環支撐件412a、412b、及412c。然而,在其他實行例中,可存在多於三個的載送環支撐件,其沿著基座140/140A之環狀表面410而分佈在任何位置,從而以穩定靜止之配置支撐載送環。
當晶圓101係由晶圓支撐件404a、404b、404c、404d、404e、及404f所支撐,且載送環200係由載送環支撐件412a、412b、及412c所支撐時,晶圓101的邊緣區域係設置於載送環200之靠內部分的上方。一般而言,晶圓101的邊緣區域自晶圓101的外邊緣向內延伸約2公厘(mm)至約5 mm。從而在晶圓101的邊緣區域與載送環200的靠內部分之間界定了一垂直間隔。在一些實施例中,此垂直間隔係約0.001英寸至約0.010英寸。可控制在環形表面410上方該預定距離的載送環200之支撐、及在晶圓101的邊緣區域與載送環200的靠內部分之間的垂直間隔,以限制在晶圓101的邊緣區域中之晶圓101的背側/下側上的沉積。
用以沉積薄膜或處理晶圓表面的一些電漿在以處理觀點看來較偏好的條件下係不穩定的。如一範例,在1至3托的壓力範圍內以高RF功率 (>每 300 mm 直徑晶圓處理站200 W)運作的Ar/O2 電容耦合電漿(CCP)放電係顯示了電漿中的不穩定性。像這樣的電漿不穩定(在本文中稱為「電漿團」)之特徵為被較大體積的正常密度電漿所包圍的小區域之較高密度(較亮)電漿。當電漿團形成時,由於膜與對應於電漿團的局部高密度電漿相互作用,所以沉積的膜在電漿團附近局部地緻密化,而這導致了劣化的膜均勻性。在晶圓101上方之電漿團的空間分佈可在一給定處理中變化、及根據不同處理而變化。此外,電漿團可在一給定處理期間移動跨越晶圓101。吾人應理解,電漿團導致了在整個晶圓101上之處理均勻性的劣化(例如,藉由改變整個晶圓101上不同位置之沉積的膜之厚度)。電漿團所導致的膜厚度非均勻性可為總膜厚度的約1%至2%,而這在需要極平坦之膜輪廓的一些應用方式中可為意義重大的。
在一範例性膜沉積處理中,執行一操作以在不施加任何RF功率的情況下塗佈一前驅物氣體的單層(monolayer)。該前驅氣體粘附至晶圓101。在一些實施例中,該前驅物氣體包含矽以使矽氧化物得以在晶圓上形成。接著執行一操作以將前驅體氣體從晶圓101上方的處理容積沖走,從而留下前驅氣體的單層在晶圓101上。接著在晶圓101上執行一氧化處理。在氧化處理中,將一處理氣體流入晶圓101上方的處理容積中,並施加RF功率至處理氣體以於處理容積中產生電漿。電漿驅動了晶圓101上的氧化反應。在一些實施例中,處理氣體會含有氧氣加一或更多其它轟擊氣體(例如,氬氣等其他氣體),其中轟擊氣體提供了足夠的電漿緻密化。 轟擊氣體為能有效地使沉積的膜緻密化之氣體。可使沉積膜緻密化之轟擊氣體為能夠有效地傳遞能量至沉積膜的那些氣體。在一些實施例中,轟擊氣體為單原子的貴重氣體,例如氬氣等不與沉積膜發生化學反應且缺乏振動或旋轉分子模式的氣體。例如,在一範例性處理中,在處理氣體混合物的其餘者為氬氣的情況下,處理氣體混合物可包含約5%至約20%的氧氣。此外,在其他範例性處理中,處理氣體混合物中的氧氣對轟擊氣體之百分比可小於5%或大於20%。
在氧化處理中,當一特定厚度的膜形成於晶圓101上時,電漿團 可能開始在整個晶圓101上出現。電漿團的數目及大小與處理氣體混合物中的轟擊處理氣體(例如,氬氣)的量有直接相關性。所以,減少處理氣體混合物中的轟擊處理氣體的量可用以降低電漿團的強度。然而,吾人一般亦需要較高百分比的轟擊處理氣體來提供足夠的電漿密度以確保適當的膜形成。另外,需要大量的RF功率來產生電漿(由於若沒有施加足夠的RF功率,電漿密度將會不夠)。然而,提高施加的RF功率會導致更多電漿團的形成。一些處理應用方式使用每300 mm直徑晶圓處理站約300 W的施加RF功率。然而,其他的處理應用方式可能需要更高的RF功率,例如每300 mm直徑晶圓處理站400 W或甚至更高。
鑑於前述內容,用以抑制電漿團形成的一手段為降低所施加的 RF功率及/或提高氣體混合物中的氧氣濃度。更具體而言,較低的處理功率(換言之,較低的施加RF功率)、或處理氣體中較低的轟擊氣體(一般為氬氣)濃度(相對於氧氣)導致了較低的電漿密度,從而抑制了電漿團的形成。不幸地,這些條件從沉積膜品質的角度來看是較不佳的。例如,當來自電漿的離子轟擊在較低的處理功率下或在處理氣體中的較低轟擊氣體濃度下不足夠時,膜的品質劣化。因此,可能無法總是在維持沉積膜品質的同時,藉由降低處理功率及/或降低處理氣體中的轟擊氣體濃度(例如氬氣濃度)而抑制電漿團的形成。
本文中揭露系統及方法以藉由調製晶圓101的電位而防止/抑制電漿不穩定性。在一些實施例中,將低的正DC偏壓施加至晶圓101的背側/下側。此低的正DC偏壓能夠有效地抑制電漿團的形成。本文中揭露用以抑制及/或防止電漿不穩定性的系統及方法無須改變其它處理條件(例如,處理氣體流率、壓力、及/或施加的RF功率)。
根據本發明的一些實施例,圖5A顯示圖1A之基座140的垂直剖面圖。在一些實施例中,基座140係由導電材料(例如鋁等材料)所形成。在一些實施例中,基座140包含加熱裝置505,例如電阻加熱器。基座140包含頂部表面502。電絕緣層507係設置在基座140的頂部表面502上。電絕緣層507係由介電材料所形成,該介電材料與晶圓101之處理中所使用的材料係相容的,且於晶圓101的處理期間在熱膨脹上為穩定的。在各種實施例中,於晶圓101的處理期間,基座140可暴露於在延伸最高至約攝氏100度(℃)之範圍內的溫度,或暴露於在從約20℃延伸至約100℃之範圍內的溫度,或暴露於在延伸最高至約50℃之範圍內的溫度,或暴露於在延伸最高至約250°C之範圍內的溫度
在一些實施例中,電絕緣層507係由陶瓷材料形成,例如陶瓷板或陶瓷塗層。在一些實施例中,電絕緣層507係藉由將基座140的頂部表面502陽極化而形成。在一些實施例中,電絕緣層507具有在垂直於基座140的頂部表面502之方向上測量的一垂直厚度,該垂直厚度係在延伸最高至約1公厘(mm)之範圍內,或在延伸最高至約100微米之範圍內,或在從約10微米延伸至約50微米之範圍內,或為約30微米。然而,吾人應理解,在其他實施例中,在垂直於基座140的頂部表面502之方向上測量的電絕緣層507之垂直厚度可與上述的範圍及值不同。電絕緣層507的垂直厚度係加以定義以確保電流不會透過電絕緣層507流動至基座140。
導電層509係設置在電絕緣層507上。導電層509係用以支撐晶圓101。在一些實施例中,導電層509係形成為具有在垂直於基座140的頂部表面502之方向上測量的一垂直厚度,該垂直厚度係在延伸最高至1 mm之範圍內,或在延伸最高至約0.25英寸之範圍內,或在延伸最高至約0.5英寸之範圍內。然而,吾人應理解,在其他實施例中,在垂直於基座140的頂部表面502之方向上測量的導電層509之垂直厚度可與上述的範圍及值不同。在一些實施例中,導電層509係形成為實心板。在一些實施例中,導電層509係形成為疊層膜。在一些實施例中,導電層509係形成為噴塗金屬塗層。在一些實施例中,導電層509係由鋁形成。然而,吾人應理解,在其他實施例中,導電層509可實質上由任何類型之與晶圓101的處理中使用的材料相容且於晶圓101的處理期間在熱膨脹上為穩定的導電材料所形成。
在一些實施例中,導電層509包含複數MCA 511之分布,其用以接觸並支撐晶圓101。在這些實施例中,MCA 511係由導電材料形成以容許電流從導電層509傳輸至晶圓101。在一些實施例中,MCA 511係以與導電層509相同的材料形成。在一些實施例中,MCA 511係以與導電層509不同的材料形成(只要MCA 511係由導電材料形成即可)。在一些實施例中,MCA 511係與導電層509一體成形。在一些實施例中,MCA 511係物理連接至導電層509。在一些實施例中,MCA 511係配置成具有與晶圓101的背側/下側接觸的圓頭頂部表面。在一些實施例中,MCA 511係配置成具有與晶圓101的背側/下側接觸的實質上平坦之頂部表面。
導電層509係透過延伸通過低通濾波器525的電連接523而電連接至DC電源供應器521。低通濾波器525防止RF信號進入並損壞DC電源供應器521。DC電源供應器521的正極端子係連接至電連接523,使得直流電流流動通過電連接523(包含通過低通濾波器525)而至導電層509,並通過晶圓101至在晶圓101上方的處理容積內的電漿中。 DC電源供應器521的負極端子係連接至腔室中的電流返回結構以使電路得以完整。在各種實施例中,電連接523可以不同方式連接至導電層509,諸如透過焊接連接、銅焊連接、壓縮連接、螺紋連接等連接方式。形成電連接523的電導體、及/或與導電層509接觸之接觸部係藉由一或更多電絕緣結構527而與基座140電絕緣。此外,若基座140包含加熱裝置505(例如電阻加熱器),則電絕緣結構527係形成以使加熱裝置505與電連接523電絕緣。
另外,在一些實施例中,基座140中之該等升降銷其中至少一者係由導電材料形成,且用以於縮回至其在基座140中之降下位置時與導電層509電接觸,並透過電連接523及低通濾波器525而電連接至DC電源供應器521。在這些實施例中,取代在導電層509與電連接523之間形成永久的接觸部、或者除了在導電層509與電連接523之間形成永久的接觸部之外,該至少一DC供電的升降銷可用以提供與導電層509的電連接。
圖5A亦顯示了載送環200,該載送環坐落在基座140的靠外區域  且位於載送環支撐表面513上方。載送環200可包含複數延伸件515,其固定載送環200以防止載送環200於晶圓101之處理期間移位。延伸件515係配置成坐落在載送環坐支撐件412a、412b、及412C中(如圖4A中所示)。根據本發明的一些實施例,圖5B顯示了圖5A中所標注之區域501的近視圖。在根據本發明的一些實施例,圖5C亦顯示了圖5A中所標注之區域501的近視圖。在圖5C的範例性實施例中,基座140包含一保持器結構142,該保持器結構係配置成從基座140的頂部表面502往上延伸,並配置成環繞電絕緣層507及導電層509形成於其內之區域。另外,在圖5C的範例性實施例中,電絕緣層507係形成為沿著保持器結構142的內表面向上延伸,以使得保持器結構142與導電層509之間電絕緣。
根據本發明的一些實施例,圖5D亦顯示了圖5A中所標注之區域503的近視圖。在圖5D的範例性實施例中,一電連接512係顯示為形成於導電層509與電連接523之間。在各種實施例中,電連接523可為焊接連接、銅焊連接、壓縮連接、螺紋連接等連接方式。在根據本發明的一些實施例,圖5E亦顯示了圖5A中所標注之區域503的近視圖。在圖5E的範例性實施例中,電連接512係形成為在導電層509與電連接523之間的較寬墊型結構。在圖5E的範例性實施例中,電絕緣層507係形成為包圍著電連接523。
在操作期間,DC電源供應器521可操作以使DC電流從DC電源供應器521流動通過電連接523(包含通過低通濾波器525)至導電層509,通過支撐晶圓101的MCA 511,通過晶圓101至在晶圓101上方的電漿,並通過電漿至與電漿接觸的導電返回結構。在晶圓101附近由DC電流所導致的正電荷可用以排斥在晶圓101上方之電漿中的帶正電離子,而這可用以抑制在晶圓101表面的電漿團之形成。在一些實施例中,傳輸自DC電源供應器521的DC電流係在延伸最高至100毫安培(mA)之範圍內,或在從約30 mA延伸至約70 mA之範圍內。然而,吾人應理解,在一些實施例中,傳輸自DC電源供應器521的DC電流可與上述的範圍及值不同。 在一些實施例中,DC電源供應器521施加至電連接523的電壓係在延伸最高至+30伏(V)之範圍內,或在從約-10 V延伸至約+50V之範圍內,或在從約+20V延伸至約+40V之範圍內,或在從約+10V延伸至約+30 V之範圍內。然而,吾人應理解,在一些實施例中,DC電源供應器521所施加的電壓可與上述的範圍及值不同。
由於供應自DC電源供應器521的DC電流透過MCA 511而流動至晶圓101,MCA 511的空間配置可對從晶圓101至電漿之DC電流的空間分佈造成影響,並因此對在整個晶圓101上的電漿團形成之抑制造成空間效果。根據本發明的一些實施例,圖5F顯示了導電層509之俯視圖,該俯視圖係與圖5A中所標識之參考視角A-A相對應。在圖5F的範例性實施例中,該等MCA 511(對應於由511(代表性地)表示的小圓圈))係以實質上均勻的方式分佈在整個導電層509上,以用實質上均勻的空間配置接觸晶圓101的背側/下側。可利用MCA 511的空間配置來提高/降低不同的空間區域中至晶圓101之電導,並從而提供對電漿團抑制的空間控制。例如,在一些實施例中,可在吾人預期有較高之電漿團形成的位置設置更多的MCA 511,以使在這些位置通過晶圓101的DC電流增加。
根據本發明的一些實施例,圖5G顯示了導電層509之俯視圖,該俯視圖係與圖5A中所標識之參考視角A-A相對應。在圖5G的範例性實施例中,MCA 511的空間密度係朝向導電層509的外徑向周邊增加。因此,圖5G的範例性實施例中之MCA 511的空間配置可使用於預期晶圓101的靠外徑向區域附近有增加的電漿團形成之處理應用方式中。吾人應理解,圖5F及圖5G之範例性實施例中所描繪的MCA 511之空間配置係為了說明而提供,且不代表在整個導電層509上之MCA 511的所有可能空間配置。在其他實施例中,MCA 511可具有實質上任何可提供晶圓101的適當結構支撐及從導電層509流動至晶圓101之DC電流的適當分佈的空間配置。
根據本發明的一些實施例,圖6顯示了從DC電源供應器521通過腔室102之DC電流流動的示意圖。圖6顯示噴淋頭150/150A,該噴淋頭係加以連接以經由匹配網路106從RF電源供應器104接收RF信號而在晶圓101上方的區域內產生電漿601。在圖6的範例性實施例中,如電連接605所指示,噴淋頭電極150/150A係連接至DC電源供應器521的返回端(負極端子),其中DC電源供應器521的返回端(負極端子)電連接至參考接地電位。以此方式,DC電流(i)自DC電源供應器521流動通過低通濾波器525至導電層509(如電連接523所指示),並通過MCA 511至晶圓101,並通過晶圓101至電漿601,並通過電漿601至噴淋頭電極150/150A,並從噴淋頭電極150/150A通過電連接605而至DC電源供應器521的返回端(負極端子)。
根據本發明的一些實施例,圖7顯示了從DC電源供應器521通過腔室102之DC電流流動的替代性示意圖。圖7之範例性實施例係對應於低壓處理應用方式,其中電漿601接觸腔室102的壁。在圖7的範例性實施例中,腔室102的壁係做為流自DC電源供應器521的DC電流(i)之返回電極。更具體而言,腔室102的壁透過電連接701而電連接至DC電源供應器521的返回端(負極端子)。在操作期間,DC電流(i)自DC電源供應器521流動通過低通濾波器525至導電層509(如電連接523所指示), 並通過MCA 511至晶圓101,並通過晶圓101至電漿601,並通過電漿601至腔室102的壁,並從腔室102的壁通過電連接701而至DC電源供應器521的返回端(負極端子)。
如上面所述,在各種晶圓101處理應用方式中,將晶圓101裝載至一處理站(例如,沉積站)上,並置於基座140/140A的導電層509上。晶圓101係由電連接至導電層509的一組導電接腳/結構(例如,MCA 511)所支撐。接著,自外部DC電源供應器521施加DC電壓通過導電層509及通過導電接腳/結構(例如通過MCA 511)而至晶圓101。所施加的DC電壓係用以降低電漿中(正)離子入射至晶圓101上的能量通量。來自電漿的高能離子可從沉積在晶圓101上的膜材料放射次級電子。這些次級電子可於通過電漿鞘而被拉入主電漿(bulk plasma)中時加速至高能量。這些加速的電子可形成高密度、不穩定電漿之區域,例如電漿團。可在富含氬氣的氣體混合物中於放電與特定表面(例如,特定組成及厚度之膜)互相作用時觀察到這樣的行為。為了使晶圓電位偏移,所施加的DC電壓產生DC電流之非零流量。在沒有DC電流的非零流量的情況下,由於電漿以符號相反的電荷遮蔽晶圓101之表面電荷從而恢復晶圓101的浮動電位的能力,所以外部DC電壓的施加可為無效的。
吾人應理解,本文中揭露用以抑制電漿不穩定性(例如電漿團)之系統及方法對處理系統增加最小的擾動。施加至晶圓101的背側/下側的DC偏壓係做為處理調諧參數,可對其進行調整以在對放電及處理造成最小影響的同時消除電漿團。在施加DC偏壓至晶圓101的背側/下側中的情況下,流率、壓力、RF功率、及其它參數可保持不變。
施加DC偏壓至晶圓101的晶圓背側/下側為不常見的。在某些情況下,可施加DC偏壓至RF供電的電極(例如,至噴淋頭電極150/150A)以調整整體電漿結構。然而,由於DC電流主要在噴淋頭電極150/150A與腔室102的壁之間流動,在電漿與晶圓之界面上僅造成最小影響,所以施加DC偏壓至噴淋頭電極150/150A不會抑制電漿團。相較於施加DC偏壓至噴淋頭電極150/150A,本文中所揭露的系統及方法建立了與晶圓101的背側/下側的DC電連接。此外,在一些實施例中,至晶圓101的背側/下側的此DC電連接係藉由在空間上橫跨晶圓101的背側/下側而分佈的多個導電晶圓支撐結構(例如,MCA 511)而建立。這些多個導電晶圓支撐結構產生與晶圓101的低電阻接觸,並將DC電流引導至晶圓101以修改晶圓101的電位。一般而言,可使用各種提高晶圓101之電位的方法來降低電漿團形成的可能性。在一替代性實施例中,甚至可用晶圓101的DC接地來降低一些電漿不穩定,而不是讓晶圓101處於浮動電位。可將這樣的替代性實施例視為以零電壓進行DC偏壓的特例。
綜上所述,吾人應理解,本文中描述了用以於電漿處理操作期間支撐晶圓的設備。該設備包含了配置成具有底部表面及頂部表面的基座140/140A。該設備亦包含柱141,其用以在基座140/140A之底部表面的中央區域支撐基座140/140A。在一些實施例中,柱141係用以旋轉。此外,在這些實施例中,柱141係固定至基座140/140A,使得柱141之旋轉導致基座140/140A的對應旋轉。該設備包含了設置在基座140/140A的頂部表面上的電絕緣層507。在一些實施例中,電絕緣層507係與基座一體成形(如於圖1B中所顯示)。該設備亦包含設置在電絕緣層507之頂部表面上的導電層509。該設備亦包含分佈在導電層509上的至少三個支撐結構511。該至少三支撐結構511其中每一者係由導電材料形成,且固定成與導電層509電接觸。該至少三支撐結構511係配置成與晶圓101的底部表面介接以在物理上支撐晶圓101並電連接至晶圓101。該設備亦包含電連接523,該電連接從導電層509延伸至基座140/140A外的一位置。電連接523係電連接至直流電源供應器521的正極端子。
在一些實施例中,電絕緣層507具有在垂直於基座140/140A之頂部表面之方向上測量的一垂直厚度,該垂直厚度至少足夠大以防止電流從導電層509流動至位於基座140/140A中在電絕緣層507下方的導電材料。在一些實施例中,電絕緣層507具有在垂直於基座140/140A之頂部表面之方向上測量的一垂直厚度,該垂直厚度係在延伸最高至約1000微米之範圍內,或在延伸最高至約100微米之範圍內,或在從約10微米延伸至約50微米之範圍內,或在為約30微米之範圍內。然而,吾人應理解,在其他實施例中,在垂直於基座140/140A之頂部表面的方向上測量的電絕緣層507之垂直厚度可與上述的範圍及值不同。 在一些實施例中,導電層509具有在垂直於基座140/140A之頂部表面的方向上測量的一垂直厚度,該垂直厚度係在延伸最高至約1 公厘之範圍內,或在延伸最高至約7公厘之範圍內,或在延伸最高至約13公厘之範圍內。然而,吾人應理解,在垂直於基座140/140A之頂部表面的方向上測量的導電層509之垂直厚度可與上述的範圍及值不同。 在各種實施例中,導電層509係形成為板、疊層膜、或噴塗塗層其中一任者。
在一些實施例中,該至少三支撐結構511係以實質上均勻的方式分佈在整個導電層 509上(例如圖5F中以範例方式顯示)。在一些實施例中,該至少三支撐結構511係以非均勻方式分佈在整個導電層509上(例如圖5G中以範例方式顯示)。在一些實施例中,該至少三支撐結構511其中的較多數係設置地靠近導電層509的周邊區域(相較於靠近導電層509的中央區域)。
在一些實施例中,該至少三支撐結構511及導電層509二者係由相同的材料形成。此外,在一些實施例中,導電層509係由與形成該至少三支撐結構511之材料不同的材料所形成,其中該至少三支撐結構511係由導電材料所形成。在一些實施例中,該至少三支撐結構511及導電層509二者係形成為單一整體結構。在一些實施例中,該至少三支撐結構511係附接至導電層509。此外,在一些實施例中,該至少三支撐結構511其中每一者係配置成具有用以與晶圓101之底部表面介接的圓頭頂部表面。
此外,綜上所述,吾人應理解本文中揭露了晶圓的電漿處理用之系統。該系統包含具有正極端子及負極端子的直流電源供應器521。該系統亦包含具有輸入連接端及輸出連接端的一低通濾波器電路(例如,低通濾波器525),其中低通濾波電路525的輸入連接端電連接至直流電源供應器521的正極端子。該系統亦包含一晶圓支撐設備,該晶圓支撐設備包含至少三支撐結構511,該至少三支撐結構係加以分佈以物理接觸並支撐晶圓101之底部表面的。該至少三支撐結構511其中每一者係導電材料所製成。此外,該至少三支撐結構511其中每一者電連接至低通濾波器電路525的輸出連接端。
在一些實施例中,晶圓支撐設備包含導電層509,其中該至少三支撐結構511係物理連接且電連接至導電層509,且其中導電層509係電連接至低通濾波器電路525的輸出連接端。此外,在一些實施例中,晶圓支撐設備包含配置成具有底部表面及頂部表面的基座140/140A。此外,晶圓支撐設備包含設置在導電層509下方的電絕緣層507。此外,在一些實施例中,晶圓支撐設備包含用以在基座140/140A之底部表面的中央區域支撐基座140/140A的柱141。在一些實施例中,柱141係用以在柱141固定至基座140/140A的情況下旋轉,使得柱141之旋轉導致基座140/140A的對應旋轉。
該系統亦包含設置在晶圓支撐設備上方的一電極(舉例而言,例如噴淋頭電極150/150A) 。電漿產生區域係位於電極150/150A與晶圓支撐設備之間。該系統更包含射頻電源供應器104,該射頻電源供應器係連接以傳輸射頻功率至電極150/150A。該系統亦具有DC電源供應器521的負極端子,其電連接至暴露於電漿產生區域的至少一導電結構。在一些實施例中(例如圖6中所示),暴露於電漿產生區域的該至少一導電結構為電極150/150A。在一些實施例中(例如圖7中所示),暴露於電漿產生區域的該至少一導電結構為電極150/150A及晶圓支撐設備設置於其內的腔室102之壁。
根據本發明的一些實施例,圖8顯示了晶圓之電漿處理用的一方法之流程圖。該方法包含操作801,該操作係用以將晶圓(101)置於至少三支撐結構(511)上,該至少三支撐結構係加以分佈以物理接觸並支撐晶圓 (101)的底部表面。該至少三支撐結構(511)其中每一者係由導電材料所形成。此外,該至少三支撐結構(511)其中每一者電連接至直流電源供應器(521)的正極端子。
該方法亦包含操作803,該操作係用以提供從晶圓(101)上方的電漿產生區域至直流電源供應器(521)之負極端子的電流返回路徑。在一些實施例中(舉例而言,例如圖6中所示),該電流返回路徑係設置成從電漿產生區域通過電極(例如噴淋頭電極150/150A)並從電極(150/150A)至直流電源供應器(521)之負極端子。在一些實施例中(舉例而言,例如圖7中所示),該電流返回路徑係設置成從電漿產生區域通過電漿產生區域係形成於其內之腔室(102)的壁並從腔室(102)的壁至直流電源供應器(521)之負極端子的。
該方法亦包含操作805,該操作係用以在晶圓(101)上方之電漿產生區域中產生電漿(601)。在一些實施例中,在操作805中於電漿產生區域內產生電漿(601)包含供應射頻功率至在電漿產生區域上方的電極(例如,噴淋頭電極150/150A)。該方法亦包含操作807,該操作係用以操作直流電源供應器(521)以驅動電流通過該至少三支撐結構(511),並從該至少三支撐結構(511)通過晶圓(101),並從晶圓(101)通過電漿(601),並從電漿(601)通過電流返回路徑至直流電源供應器(521)之負極端子。在操作807中之驅動電流步驟係與操作805中之產生電漿(601)步驟協同執行。
在一些實施例中,操作807包含操作DC電源供應器(521)以產生一電流,該電流係在延伸最高至約100毫安培之範圍內,或在從約30毫安培延伸至約70毫安培之範圍內。然而,吾人應理解,在一些實施例中,傳輸自DC電源供應器521的DC電流可與上述的範圍及值不同。在一些實施例中,操作807包含操作DC電源供應器(521)以產生一電壓,該電壓係在延伸最高至約+30伏特之範圍內,或在從約-10伏特延伸至約+50伏特之範圍內,或在從約+20伏特延伸至約+40伏特之範圍內,或在從約+10伏特延伸至約+30伏特之範圍內。然而,吾人應理解,在一些實施例中,DC電源供應器521所施加的電壓可與上述的範圍及值不同。
許多PECVD/ALD電漿處理使用以13.56 MHz的頻率供應之RF功率。來自電漿並用以13.56 MHz的頻率施加之RF功率衝擊晶圓的離子之能量分佈可為很寬的。來自電漿並轟擊晶圓的高能離子可導致較高之來自晶圓表面的次級電子放射率。在富含氬氣的處理中,來自晶圓表面的次級電子放射可起始並維持電漿團形成。另外,在氬氣之外,電漿團可在利用相對較重之處理氣體的其它類型電漿處理操作中形成。為了抑制電漿團形成,可降低RF功率及/或處理氣體混合物中較重處理氣體之濃度。然而,當處理氣體離子的能量通量降低時,沉積膜品質(例如,濕/乾蝕刻率)劣化。因此,使用具有13.56 MHz之頻率的RF功率之電漿增強沉積處理可能無法提供足夠寬的製程窗口來同時達成無電漿團處理(無電漿不穩定)及所需的膜品質(換言之,低的濕/乾蝕刻率)。
由於轟擊晶圓的高能離子可以驅動電漿不穩定性,所以本文中揭露一方法用以降低離子能量及隨後來自晶圓表面的次級電子之產生。該方法包含使用較高的RF頻率(例如,27.12 MHz)以達成在離子能量上的降低,並同時維持足夠高的離子通量入射至晶圓表面上。根據本發明的一些實施例,圖9顯示了比較一範例電漿處理中使用較低RF頻率(例如,13.56 MHz)及使用較高RF頻率(例如,27.12 MHz)的各種態樣之圖表。
圖9之比較係基於為每一RF頻率維持輸送固定量之RF功率至電漿。如圖9中所示,較高RF頻率的電漿活化導致較高的電漿密度及較低的鞘層電位。由於衝擊晶圓的離子能量約等於鞘層電位與離子電荷的乘積,鞘層電位的降低導致了較低的離子能量。在沒有藉由高能離子與晶圓表面之碰撞而從晶圓表面放射的次級電子之足夠通量的情況下,電漿不穩定性(例如,電漿團)迅速淬滅及/或者不會形成。
本文中揭露了使用高於13.56 MHz的RF頻率以降低入射至晶圓上的離子能量通量並從而抑制電漿不穩定性(例如,電漿團)之形成的方法。在一些實施例中,使用27.12 MHz之RF 頻率以產生電漿。然而, 在一些實施例中,可使用27.12 MHz之外且大於13.56 MHz的RF頻率以產生電漿。 在一些實施例中,所施加的RF頻率可高得多,例如60 MHz或甚至更高。
根據本發明一些實施例,圖10顯示了範例電漿處理中的預測歸一化離子能量通量之曲線圖,該歸一化離子能量為13.56 MHz及27.12 MHz之施加RF頻率的RF功率之函數。如圖9及10中所示,當RF頻率從13.56 MHz提高至27.12 MHz時,離子的能量降低而離子的通量提高。此效果係歸因於電子加熱效率提高與電漿電位下降(其對應於在施加的RF電壓上的降低)。較低的離子能量減少了來自晶圓表面的次級電子之放射,從而抑制了電漿不穩定性(例如,電漿團)的形成。
根據本發明一些實施例,圖11顯示了範例電漿處理中13.56 MHz及27.12 MHz之RF頻率的無電漿團製程窗口之圖表。與圖11之圖表對應的電漿處理係在從約1托延伸至約3托之範圍內的壓力下執行。另外,與圖11之圖表對應的電漿處理係於在氬氣流動中混合約10%-40% O2 的情況下執行。針對每一頻率,該圖表將電漿團是否隨O2 流率(以每分鐘標準立方公分每分鐘(sccm)計)與RF功率(以瓦特(W)計)之變化發生。在圖11中,針對一給定O2 流量及RF功率的用字「否」意味著電漿團形成沒有發生。另外,在圖11中,針對一給定O2 流量及RF功率的用字「是」意味著電漿團形成發生。如圖11中所示,在RF頻率從13.56 MHz提高至27.12 MHz的情況下,無電漿團的製程窗口顯著擴大。例如,在1500 sccm的O2 流率及13.56 MHz的RF頻率下,沒有提供無電漿團製程窗口的RF功率。然而,在1500 sccm的O2 流率及27.12 MHz的RF頻率下,無電漿團製程窗口擴大為包含從約250 W延伸至約600 W之RF功率範圍。此外,在從約3000 sccm延伸至約8000 sccm的O2 流率範圍內,從13.56 MHz的RF頻率改變為27.12 MHz的RF頻率使得無電漿團製程窗口擴大為包含從約250 W延伸至約500的RF功率範圍。因此,圖11指示了無電漿團製程窗口可藉由提高RF頻率而在處理氣體流率及RF功率兩者上擴大。
根據本發明的一些實施例,圖12顯示了範例電漿處理中的歸一化濕蝕刻率之曲線圖,該歸一化濕蝕刻率為13.56 MHz及27.12 MHz之RF信號頻率下之不同處理氣體流率的RF功率(瓦)之函數。圖12亦針對27.12 MHz的較高RF信號頻率顯示了在濕蝕刻率、RF功率、及處理氣體流率上的無電漿團製程窗口。如圖12中所示,相較於13.56 MHz的較低RF信號頻率,在濕蝕刻率、RF功率、及處理氣體流量上的無電漿團製程窗口於使用27.12 MHz的較高RF信號頻率的情況下顯著變寬。
根據本發明的一些實施例,圖13顯示了在晶圓上執行電漿處理的一方法之流程圖。吾人應理解,圖 13 的方法中可使用圖1A/1B的晶圓處理系統100/100A來實行。在一些實施例中,該電漿處理為原子層沉積處理。在一些實施例中,該電漿處理為電漿增強化學氣相沉積處理。該方法包含操作1501,該操作係用以將晶圓(101)置於晶圓支撐設備(140/140A)上。該晶圓支撐設備(140/140A)係設置在電極(150/150A)下方,使得晶圓(101)與電極(150/150A)之間存在一電漿產生區域。該方法亦包操作1503,該操作係用以供應具有高於13.56百萬赫茲的信號頻率之射頻信號至電極(150/150A)以於電漿產生區域內產生電漿。高於13.56百萬赫茲的信號頻率使電漿中的離子能量維持地足夠低以將由離子與該晶圓相互作用所導致之來自晶圓的次級電子放射維持在一可接受量。來自晶圓的次級電子放射的該可接受量係足夠低以防止電漿中的次級電子誘發不穩定性之形成。在一些實施例中,電漿不穩定性為電漿團,其特徵為被較大體積的正常密度電漿所包圍的一區域之較高密度電漿。在一些實施例中,信號頻率係在從13.56百萬赫茲延伸最高至約60百萬赫茲的範圍內。在一些實施例中,信號頻率為27.12百萬赫茲。
按照本發明的一些實施例,圖14顯示了晶圓的電漿處理用的一方法之流程圖。吾人應理解,圖14之方法可藉由使用圖1A/1B的晶圓處理系統100/100A而實行。在一些實施例中,該電漿處理為原子層沉積處理。一些實施例中,該電漿處理為電漿增強化學氣相沉積處理。該方法包含操作1601,該操作係用以將晶圓(101)置於晶圓支撐設備(140/140A)上。晶圓支撐設備(140/140A)係設置於電極(150/150A)下方,使得晶圓(101)與電極(150/150A)之間存在一電漿產生區域。該方法亦包含操作1603,該操作係用以於一電漿處理操作的多個連續電漿處理循環期間供應第一信號頻率之射頻信號至電漿產生區域以於電漿產生區域中產生電漿。
該方法更包含操作1605,該操作係用以基於該供應第一信號頻率之射頻信號至該電漿產生區域之步驟而偵測電漿中的一電漿不穩定性之形成。在一些實施例中,該電漿不穩定性為電漿團,其特徵為被較大體積的正常密度電漿所包圍的一區域之較高密度電漿。在一些實施例中,該電漿不穩定導致在晶圓上之沉積膜厚度的非均勻性。
該方法亦包含操作1607,該操作係用以於操作1605中偵測到該電漿不穩定性之形成之後,供應第二信號頻率之射頻信號至電漿產生區域以於電漿產生區域中產生電漿。在操作1607中,第二信號頻率之射頻信號係替代第一信號頻率之射頻信號而供應。第二信號頻率係高於第一信號頻率。第二信號頻率導致電漿內的離子能量之降低、及由離子與晶圓相互作用所導致之來自晶圓的次級電子放射之對應減少。在一些實施例中,第一信號頻率為13.56百萬赫茲。在一些實施例中,第二信號頻率係在從第一信號頻率延伸最高至約60百萬赫茲之範圍內。在一些實施例中,第一信號頻率為13.56百萬赫茲且第二信號頻率為27.12百萬赫茲。然而,吾人應理解,在其他實施例中第一信號頻率可低於13.56百萬赫茲或高於13.56百萬赫茲,第二信號的頻率可低於27.12百萬赫茲或高於27.12百萬赫茲。
在一些實施例中,在操作1605中該偵測電漿中的電漿不穩定之形成的步驟係發生於該電漿處理操作期間。在這些實施例其中一些者中,操作1603包含供應第一信號頻率之射頻信號至電極,其中該電漿不穩定性係於操作1605中透過測量電極上的一射頻參數而偵測。在一些實施例中,該射頻參數為射頻電壓、射頻電流、射頻阻抗、射頻信號相位角、及射頻功率其中一或更多者。另外,在一些操作1605中的該偵測電漿中的電漿不穩定性之步驟係發生於該電漿處理操作期間的實施例中,該電漿不穩定之形成係透過測量來自該電漿的光學放射而偵測。此外,在一些操作1605中的該偵測電漿中的電漿不穩定性之步驟係發生於該電漿處理操作期間的實施例中,操作1607中的該供應第二信號頻率之射頻信號至電漿產生區域之步驟發生於與偵測到該電漿不穩定性之形成相同的電漿處理操作期間。
在一些實施例中,操作1605中的該偵測電漿中的電漿不穩定性之步驟係發生於該電漿處理操作之後。 在這些實施例其中一些者中,操作1605中的該偵測電漿中的電漿不穩定性之步驟係藉由測量該晶圓上之沉積膜厚度的非均勻性而完成。此外,在一些操作1605中的該偵測電漿中的電漿不穩定性之步驟係發生於該電漿處理操作之後的實施例中,操作1607中的該供應第二信號頻率之射頻信號至電漿產生區域之步驟發生於與偵測到該電漿不穩定性之形成的操作不同的電漿處理操作期間。
本文中所揭露之使用較高頻率的射頻信號來產生電漿的方法使得吾人得以抑制電漿不穩定性(例如,電漿團形成)、並維持膜的品質(例如,用以維持足夠低的濕/乾蝕刻率)。同時抑制電漿團形成並維持膜品質的能力係本文中所揭露之方法將較高頻率的射頻信號用於電漿產生的獨特結果。因此,吾人應理解,將較高頻率的射頻信號用於電漿產生開啟了基於電漿之沉積處理期間內在電漿團抑制上的新製程窗口。
雖然已對前述的發明進行詳細地描述以利於清楚理解的目的,顯而易見的,仍可在隨附申請專利範圍之範圍內實行某些改變及修改。因此,本實施例應被認為是說明性的而非限制性的,且本發明不受限於本文中所提供的細節,而係可在隨附申請專利範圍的範圍及同等物內修改。
100‧‧‧晶圓處理系統
100A‧‧‧晶圓處理系統
101‧‧‧晶圓
102‧‧‧腔室
102a‧‧‧上腔室部分
102b‧‧‧下腔室部分
104‧‧‧RF電源供應器
106‧‧‧匹配網路
108‧‧‧製程輸入及控制指令/程式
110‧‧‧控制模組
112‧‧‧氣體供應系統
114‧‧‧處理氣體
122‧‧‧升降銷控制部
124‧‧‧載送環升降及/或旋轉控制信號
140‧‧‧基座
140A‧‧‧基座
141‧‧‧中央柱
142‧‧‧保持器結構
143‧‧‧排氣出口
150‧‧‧噴淋頭電極
150A‧‧‧噴淋頭電極
180‧‧‧蜘蛛叉
200‧‧‧載送環
220‧‧‧接合及旋轉機構
226‧‧‧蜘蛛叉
250‧‧‧RF方向控制模組
251‧‧‧介電體
252‧‧‧導電結構
253‧‧‧加熱元件
254‧‧‧RF電極
255‧‧‧加熱器電源供應器
300‧‧‧多站式處理工具
302‧‧‧入站裝載鎖定部
304‧‧‧出站裝載鎖定部
306‧‧‧機械臂
308‧‧‧晶圓傳遞盒
310‧‧‧大氣埠
312‧‧‧機械臂
316‧‧‧腔室運輸埠
404a‧‧‧晶圓支撐件
404b‧‧‧晶圓支撐件
404c‧‧‧晶圓支撐件
404d‧‧‧晶圓支撐件
404e‧‧‧晶圓支撐件
404f‧‧‧晶圓支撐件
406a‧‧‧凹口
406b‧‧‧凹口
406c‧‧‧凹口
410‧‧‧環狀表面
412a‧‧‧載送環支撐件
412b‧‧‧載送環支撐件
412c‧‧‧載送環支撐件
413‧‧‧凹口
420‧‧‧中央軸線
422‧‧‧頂部表面直徑
424‧‧‧外直徑
501‧‧‧區域
502‧‧‧頂部表面
503‧‧‧區域
505‧‧‧加熱裝置
507‧‧‧電絕緣層
509‧‧‧導電層
511‧‧‧最小接觸區域結構(MCA)
512‧‧‧電連接
513‧‧‧載送環支撐表面
515‧‧‧延伸件
521‧‧‧直流電源供應器
523‧‧‧電連接
525‧‧‧低通濾波器
527‧‧‧電絕緣結構
601‧‧‧電漿
605‧‧‧電連接
701‧‧‧電連接
801‧‧‧操作
803‧‧‧操作
805‧‧‧操作
807‧‧‧操作
1501‧‧‧操作
1503‧‧‧操作
1601‧‧‧操作
1603‧‧‧操作
1605‧‧‧操作
1607‧‧‧操作
根據本發明的一些實施例,圖1A顯示了一晶圓處理系統。
根據本發明的一些實施例,圖1B顯示了一晶圓處理系統。
根據本發明的一些實施例,圖2顯示了包含四個處理站的多站式處理工具之俯視圖
根據本發明的一些實施例,圖3顯示了與一入站裝載鎖定部及一出站裝載鎖定部介接的多站式處理工具之實施例的示意圖。
根據本發明的一些實施例,圖4顯示了用以接收晶圓以用於沉積處理的基座之範例。
根據本發明的一些實施例,圖5A顯示了基座之垂直剖面圖。
根據本發明的一些實施例,圖5B顯示了圖5A中所標注之區域501的近視圖。
在根據本發明的一些實施例,圖5C亦顯示了圖5A中所標注之區域501的近視圖。
根據本發明的一些實施例,圖5D亦顯示了圖5A中所標注之區域503的近視圖。
在根據本發明的一些實施例,圖5E亦顯示了圖5A中所標注之區域503的近視圖。
根據本發明的一些實施例,圖5F顯示了導電層之俯視圖,該俯視圖係與圖5A中所標識之參考視角A-A相對應。
根據本發明的一些實施例,圖5G顯示了導電層之俯視圖,該俯視圖係與圖5A中所標識之參考視角A-A相對應。
根據本發明的一些實施例,圖6顯示了自DC電源供應器通過腔室之DC電流流動的示意圖。
根據本發明的一些實施例,圖7顯示了從DC電源供應器通過腔室之DC電流流動的替代性示意圖。
根據本發明的一些實施例,圖8顯示了晶圓之電漿處理用的一方法之流程圖。
根據本發明一些實施例,圖9顯示了比較一範例電漿處理中使用較低RF頻率(例如,13.56 MHz)及使用較高RF頻率(例如,27.12 MHz)的各種態樣之圖表。
根據本發明一些實施例,圖10顯示了範例電漿處理中的預測歸一化離子能量通量之曲線圖,該歸一化離子能量為13.56 MHz及27.12 MHz之施加RF頻率的RF功率之函數。
根據本發明一些實施例,圖11顯示了範例電漿處理中13.56 MHz及27.12 MHz之RF頻率的無電漿團製程窗口之圖表。
根據本發明的一些實施例,圖12顯示了範例電漿處理中的歸一化濕蝕刻率之曲線圖,該歸一化濕蝕刻率為13.56 MHz及27.12 MHz之RF信號頻率下之不同處理氣體流率的RF功率(瓦)之函數。
根據本發明的一些實施例,圖13顯示了在晶圓上執行電漿處理的一方法之流程圖。
按照本發明的一些實施例,圖14顯示了晶圓的電漿處理用的一方法之流程圖。
1601‧‧‧操作
1603‧‧‧操作
1605‧‧‧操作
1607‧‧‧操作

Claims (20)

  1. 一種晶圓的電漿處理用之方法,包含: 將一晶圓置於一晶圓支撐設備上,該晶圓支撐設備係設置於一電極下方,使得該晶圓與該電極之間存在一電漿產生區域; 於一電漿處理操作的多個連續電漿處理循環期間,供應一第一信號頻率之射頻信號至該電漿產生區域以於該電漿產生區域中產生一電漿; 基於該供應該第一信號頻率之射頻信號至該電漿產生區域之步驟而偵測該電漿中的一電漿不穩定性之形成;及 於偵測到該電漿不穩定性之形成之後,供應一第二信號頻率之射頻信號至該電漿產生區域以於該電漿產生區域中產生該電漿,該第二信號頻率之射頻信號係替代該第一信號頻率之射頻信號而供應,該第二信號頻率係高於該第一信號頻率,該第二信號頻率導致該電漿內的離子能量之降低、及與該晶圓之離子相互作用所導致的來自該晶圓之次級電子放射之對應減少。
  2. 如申請專利範圍第1項之晶圓的電漿處理用之方法,其中該電漿不穩定性為一電漿團,該電漿團之特徵為被較大體積的正常密度電漿所包圍的一區域之較高密度電漿。
  3. 如申請專利範圍第1項之晶圓的電漿處理用之方法,其中該電漿不穩定性導致該晶圓上的一沉積膜厚度之非均勻性。
  4. 如申請專利範圍第1項之晶圓的電漿處理用之方法,其中該電漿處理操作為原子層沉積處理。
  5. 如申請專利範圍第1項之晶圓的電漿處理用之方法,其中該電漿處理操作為電漿增強化學氣相沉積處理。
  6. 如申請專利範圍第1項之晶圓的電漿處理用之方法,其中該第一信號頻率為13.56百萬赫茲。
  7. 如申請專利範圍第6項之晶圓的電漿處理用之方法,其中該第二信號頻率係在從該第一信號頻率延伸最高至約60百萬赫茲之範圍內。
  8. 如申請專利範圍第6項之晶圓的電漿處理用之方法,其中該第二信號頻率為27.12百萬赫茲。
  9. 如申請專利範圍第1項之晶圓的電漿處理用之方法,其中該偵測該電漿中的該電漿不穩定之形成的步驟係發生於該電漿處理操作期間。
  10. 如申請專利範圍第9項之晶圓的電漿處理用之方法,其中該供應該第一信號頻率之射頻信號至該電漿產生區域之步驟包含供應該第一信號頻率之射頻信號至該電極,且其中該電漿不穩定性之形成係透過測量該電極上的一射頻參數而偵測。
  11. 如申請專利範圍第10項之晶圓的電漿處理用之方法,其中該射頻參數為射頻電壓、射頻電流、射頻阻抗、射頻信號相位角、及射頻功率其中一或更多者。
  12. 如申請專利範圍第9項之晶圓的電漿處理用之方法,其中該電漿不穩定之形成係透過測量來自該電漿的光學放射而偵測。
  13. 如申請專利範圍第9項之晶圓的電漿處理用之方法,其中該供應該第二信號頻率之射頻信號至該電漿產生區域之步驟發生於與偵測到該電漿不穩定性之形成相同的電漿處理操作期間。
  14. 如申請專利範圍第1項之晶圓的電漿處理用之方法,其中該偵測該電漿中的該電漿不穩定性之步驟發生於該電漿處理操作之後。
  15. 如申請專利範圍第14項之晶圓的電漿處理用之方法,其中該偵測該電漿中的該電漿不穩定性之形成的步驟係藉由測量該晶圓上之沉積膜厚度的非均勻性而完成。
  16. 如申請專利範圍第14項之晶圓的電漿處理用之方法,其中該供應該第二信號頻率之射頻信號至該電漿產生區域之步驟發生於與偵測到該電漿不穩定性之形成的電漿處理操作不同的電漿處理操作期間。
  17. 一種在晶圓上執行電漿處理的方法,包含: 將一晶圓置於一晶圓支撐設備上,該晶圓支撐設備係設置於一電極下方,使得該晶圓與該電極之間存在一電漿產生區域;及 供應具有高於13.56百萬赫茲的一信號頻率之射頻信號至該電極以於該電漿產生區域內產生一電漿,該信號頻率使該電漿中的離子能量維持地足夠低以將與該晶圓之離子相互作用所導致的來自該晶圓之次級電子放射維持在一可接受量,其中來自該晶圓之次級電子放射的該可接受量係足夠低以防止該電漿中的一次級電子誘發不穩定性之形成。;
  18. 如申請專利範圍第17項之在晶圓上執行電漿處理的方法,其中該電漿處理為原子層沉積處理或電漿增強化學氣相沉積處理。
  19. 如申請專利範圍第17項之在晶圓上執行電漿處理的方法,其中該次級電子誘發不穩定性為一電漿團,該電漿團之特徵為被較大體積的正常密度電漿所包圍的一區域之較高密度電漿。
  20. 如申請專利範圍第17項之在晶圓上執行電漿處理的方法,其中該信號頻率係在從13.56百萬赫茲延伸最高至約60百萬赫茲之範圍內。
TW105137173A 2015-11-17 2016-11-15 用以控制電漿不穩定性之射頻電源的調頻用系統及方法 TWI720060B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562256682P 2015-11-17 2015-11-17
US62/256,682 2015-11-17
US15/089,960 US9997422B2 (en) 2015-11-17 2016-04-04 Systems and methods for frequency modulation of radiofrequency power supply for controlling plasma instability
US15/089,960 2016-04-04

Publications (2)

Publication Number Publication Date
TW201729244A true TW201729244A (zh) 2017-08-16
TWI720060B TWI720060B (zh) 2021-03-01

Family

ID=58690357

Family Applications (4)

Application Number Title Priority Date Filing Date
TW105137154A TWI727995B (zh) 2015-11-17 2016-11-15 半導體製造中用以控制電漿不安定性之系統及方法
TW105137169A TWI720059B (zh) 2015-11-17 2016-11-15 藉由電測量以偵測電漿不穩定性之系統及方法
TW105137173A TWI720060B (zh) 2015-11-17 2016-11-15 用以控制電漿不穩定性之射頻電源的調頻用系統及方法
TW105137161A TWI724057B (zh) 2015-11-17 2016-11-15 利用藉由光學診斷之電漿不穩定性偵測的電漿處理系統及方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW105137154A TWI727995B (zh) 2015-11-17 2016-11-15 半導體製造中用以控制電漿不安定性之系統及方法
TW105137169A TWI720059B (zh) 2015-11-17 2016-11-15 藉由電測量以偵測電漿不穩定性之系統及方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW105137161A TWI724057B (zh) 2015-11-17 2016-11-15 利用藉由光學診斷之電漿不穩定性偵測的電漿處理系統及方法

Country Status (5)

Country Link
US (6) US10121708B2 (zh)
KR (4) KR20170066215A (zh)
CN (3) CN109994363B (zh)
SG (1) SG10201609439YA (zh)
TW (4) TWI727995B (zh)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10121708B2 (en) * 2015-11-17 2018-11-06 Lam Research Corporation Systems and methods for detection of plasma instability by optical diagnosis
US9824884B1 (en) * 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
TWI620228B (zh) * 2016-12-29 2018-04-01 財團法人工業技術研究院 電漿處理裝置與電漿處理方法
US20180334746A1 (en) * 2017-05-22 2018-11-22 Lam Research Corporation Wafer Edge Contact Hardware and Methods to Eliminate Deposition at Wafer Backside Edge and Notch
US10147610B1 (en) 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
US10763143B2 (en) 2017-08-18 2020-09-01 Applied Materials, Inc. Processing tool having a monitoring device
JP6839789B2 (ja) * 2017-11-21 2021-03-10 ワトロー エレクトリック マニュファクチュアリング カンパニー 原子保護層を有するセラミックペデスタル
KR101918253B1 (ko) * 2018-01-26 2018-11-13 최운선 플라즈마 전원장치의 자가진단모듈 및 자가진단방법
WO2019165296A1 (en) * 2018-02-23 2019-08-29 Lam Research Corporation Rf current measurement in semiconductor processing tool
KR20200116160A (ko) 2018-02-23 2020-10-08 램 리써치 코포레이션 고 전력 회로로부터 연결해제 없이 커패시턴스 측정
CN111937132A (zh) * 2018-04-04 2020-11-13 朗姆研究公司 带密封表面的静电卡盘
CN113748482A (zh) * 2019-02-13 2021-12-03 朗姆研究公司 半导体处理中的异常等离子体事件的检测和缓解
EP3931146B1 (en) * 2019-02-26 2024-04-03 Maat Energy Company Device and method for improving specific energy requirement of plasma pyrolyzing or reforming systems
US11264219B2 (en) 2019-04-17 2022-03-01 Samsung Electronics Co., Ltd. Radical monitoring apparatus and plasma apparatus including the monitoring apparatus
CN112017931B (zh) * 2019-05-30 2022-03-22 北京北方华创微电子装备有限公司 应用于等离子体系统的方法及相关等离子体系统
KR20220024885A (ko) 2019-07-01 2022-03-03 생-고뱅 퍼포먼스 플라스틱스 코포레이션 프로파일 연결부
EP3796362A1 (en) * 2019-09-23 2021-03-24 TRUMPF Huettinger Sp. Z o. o. Method of plasma processing a substrate in a plasma chamber and plasma processing system
USD884855S1 (en) * 2019-10-30 2020-05-19 Applied Materials, Inc. Heater pedestal
CN110965048A (zh) * 2019-12-04 2020-04-07 江苏菲沃泰纳米科技有限公司 镀膜设备及其电极装置和应用
US20230054638A1 (en) * 2020-01-02 2023-02-23 The Regents Of The University Of California Ion gun and methods for surface treatment
KR20220152548A (ko) * 2020-03-20 2022-11-16 생-고뱅 퍼포먼스 플라스틱스 코포레이션 멸균 밀봉 장치
CN115039516B (zh) * 2020-03-31 2024-01-02 Atonarp株式会社 等离子体生成装置
US20210391146A1 (en) * 2020-06-11 2021-12-16 Applied Materials, Inc. Rf frequency control and ground path return in semiconductor process chambers
CN115916510A (zh) 2020-06-19 2023-04-04 美国圣戈班性能塑料公司 复合制品和形成复合制品的方法
CN113820531A (zh) * 2020-06-19 2021-12-21 拓荆科技股份有限公司 一种射频系统状态受控的半导体设备
US11990324B2 (en) * 2022-03-03 2024-05-21 Advanced Energy Industries, Inc. Adaptive predictive control system
CN115696709B (zh) * 2022-12-28 2023-03-21 江苏奥文仪器科技有限公司 监测射频辉光放电光谱仪放电室内等离子体稳定性的装置

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5688358A (en) * 1995-03-08 1997-11-18 Applied Materials, Inc. R.F. plasma reactor with larger-than-wafer pedestal conductor
US5764471A (en) * 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
JP3801730B2 (ja) * 1997-05-09 2006-07-26 株式会社半導体エネルギー研究所 プラズマcvd装置及びそれを用いた薄膜形成方法
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
EP1409762A4 (en) * 2000-05-23 2007-02-28 Univ Virginia METHOD AND APPARATUS FOR VACUUM PLASMA DEPOSITION
US20030213559A1 (en) * 2002-05-20 2003-11-20 Applied Science And Technology, Inc. Stabilization of electronegative plasmas with feedback control of RF generator systems
KR100511854B1 (ko) * 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US20040116080A1 (en) 2002-06-24 2004-06-17 Jin-Shyong Chen Time resolved RF plasma impedance meter
US20030015965A1 (en) * 2002-08-15 2003-01-23 Valery Godyak Inductively coupled plasma reactor
US7204888B2 (en) * 2003-05-01 2007-04-17 Applied Materials, Inc. Lift pin assembly for substrate processing
JP2005048259A (ja) * 2003-07-31 2005-02-24 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7157857B2 (en) * 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
JP4584572B2 (ja) * 2003-12-22 2010-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置および処理方法
US7323400B2 (en) * 2004-08-30 2008-01-29 Micron Technology, Inc. Plasma processing, deposition and ALD methods
US7292428B2 (en) * 2005-04-26 2007-11-06 Applied Materials, Inc. Electrostatic chuck with smart lift-pin mechanism for a plasma reactor
US7476556B2 (en) * 2005-08-11 2009-01-13 Micron Technology, Inc. Systems and methods for plasma processing of microfeature workpieces
US7705275B2 (en) * 2005-08-17 2010-04-27 Applied Materials, Inc. Substrate support having brazed plates and resistance heater
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US20080008842A1 (en) * 2006-07-07 2008-01-10 Applied Materials, Inc. Method for plasma processing
US8083961B2 (en) * 2006-07-31 2011-12-27 Tokyo Electron Limited Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
US7416677B2 (en) * 2006-08-11 2008-08-26 Tokyo Electron Limited Exhaust assembly for plasma processing system and method
US20080084650A1 (en) * 2006-10-04 2008-04-10 Applied Materials, Inc. Apparatus and method for substrate clamping in a plasma chamber
US9123509B2 (en) * 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US20090148256A1 (en) * 2007-12-10 2009-06-11 Nanometrics Incorporated Support Pin with Dome Shaped Upper Surface
US20090236214A1 (en) * 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
TW201005825A (en) * 2008-05-30 2010-02-01 Panasonic Corp Plasma processing apparatus and method
KR101606736B1 (ko) * 2008-07-07 2016-03-28 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 플라즈마 불안정성을 검출하기 위한 패시브 용량성-결합된 정전식 (cce) 프로브 장치
JP2010238881A (ja) * 2009-03-31 2010-10-21 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US8187938B2 (en) * 2009-04-13 2012-05-29 Hynix Semiconductor Inc. Non-volatile memory device and method for fabricating the same
US8674606B2 (en) * 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
IE20090628A1 (en) * 2009-08-17 2011-03-30 Lexas Res Ltd Method and apparatus for the detection of arc events during the plasma processing of a wafer, surface or substrate.
KR20110101483A (ko) * 2010-03-08 2011-09-16 삼성전자주식회사 플라즈마 장치의 제어 방법 및 시스템
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8502689B2 (en) * 2010-09-23 2013-08-06 Applied Materials, Inc. System and method for voltage-based plasma excursion detection
CN101985739A (zh) * 2010-10-21 2011-03-16 复旦大学 一种等离子体浸没注入设备的剂量控制系统
JP5490741B2 (ja) * 2011-03-02 2014-05-14 東京エレクトロン株式会社 基板搬送装置の位置調整方法、及び基板処理装置
US8371567B2 (en) * 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9059101B2 (en) * 2011-07-07 2015-06-16 Lam Research Corporation Radiofrequency adjustment for instability management in semiconductor processing
TWI500066B (zh) * 2011-07-27 2015-09-11 Hitachi High Tech Corp Plasma processing device
US20130098552A1 (en) * 2011-10-20 2013-04-25 Applied Materials, Inc. E-beam plasma source with profiled e-beam extraction grid for uniform plasma generation
US20130098872A1 (en) * 2011-10-20 2013-04-25 Applied Materials, Inc. Switched electron beam plasma source array for uniform plasma production
US9114666B2 (en) * 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US20130277333A1 (en) * 2012-04-24 2013-10-24 Applied Materials, Inc. Plasma processing using rf return path variable impedance controller with two-dimensional tuning space
US9316675B2 (en) * 2012-09-06 2016-04-19 Mks Instruments, Inc. Secondary plasma detection systems and methods
KR101466127B1 (ko) * 2012-12-06 2014-11-28 (주)화백엔지니어링 플라즈마 모니터링 장치
US9190247B2 (en) * 2013-02-21 2015-11-17 Applied Materials, Inc. Measurement of plural RF sensor devices in a pulsed RF plasma reactor
US9475710B2 (en) * 2013-03-14 2016-10-25 North Carolina State University Very high frequency (VHF) driven atmospheric plasma sources and point of use fertigation of irrigation water utilizing plasma production of nitrogen bearing species
GB2511840B (en) * 2013-03-15 2017-07-05 Thermo Electron Mfg Ltd Method and apparatus for control of a plasma for spectrometry
CN103531429B (zh) * 2013-10-31 2016-03-02 中微半导体设备(上海)有限公司 等离子体刻蚀装置及其刻蚀方法
WO2015106860A1 (en) * 2014-01-20 2015-07-23 Asml Netherlands B.V. Substrate holder and support table for lithography
JP6320248B2 (ja) * 2014-03-04 2018-05-09 東京エレクトロン株式会社 プラズマエッチング方法
US9595464B2 (en) * 2014-07-19 2017-03-14 Applied Materials, Inc. Apparatus and method for reducing substrate sliding in process chambers
US9412749B1 (en) * 2014-09-19 2016-08-09 Sandisk Technologies Llc Three dimensional memory device having well contact pillar and method of making thereof
US9386680B2 (en) * 2014-09-25 2016-07-05 Applied Materials, Inc. Detecting plasma arcs by monitoring RF reflected power in a plasma processing chamber
SG11201703129YA (en) * 2014-10-17 2017-05-30 Lam Res Corp Gas supply delivery arrangement including a gas splitter for tunable gas flow control
US10121708B2 (en) * 2015-11-17 2018-11-06 Lam Research Corporation Systems and methods for detection of plasma instability by optical diagnosis
US10818502B2 (en) * 2016-11-21 2020-10-27 Tokyo Electron Limited System and method of plasma discharge ignition to reduce surface particles
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam

Also Published As

Publication number Publication date
US11393729B2 (en) 2022-07-19
CN109994363B (zh) 2021-10-26
CN109994363A (zh) 2019-07-09
CN106992107A (zh) 2017-07-28
KR20170066223A (ko) 2017-06-14
KR20170066216A (ko) 2017-06-14
CN107039255B (zh) 2020-11-06
TWI727995B (zh) 2021-05-21
SG10201609439YA (en) 2017-06-29
TW201729235A (zh) 2017-08-16
TWI724057B (zh) 2021-04-11
US10510625B2 (en) 2019-12-17
TWI720059B (zh) 2021-03-01
CN107039255A (zh) 2017-08-11
TW201729243A (zh) 2017-08-16
US20170141000A1 (en) 2017-05-18
KR102069412B1 (ko) 2020-02-11
US20170141002A1 (en) 2017-05-18
KR20170066215A (ko) 2017-06-14
US9824941B2 (en) 2017-11-21
US10128160B2 (en) 2018-11-13
US10121708B2 (en) 2018-11-06
US20200098651A1 (en) 2020-03-26
CN106992107B (zh) 2019-02-19
US20170141001A1 (en) 2017-05-18
TW201731014A (zh) 2017-09-01
US9997422B2 (en) 2018-06-12
KR20170066224A (ko) 2017-06-14
TWI720060B (zh) 2021-03-01
US20180076100A1 (en) 2018-03-15
US20170140968A1 (en) 2017-05-18

Similar Documents

Publication Publication Date Title
TWI720060B (zh) 用以控制電漿不穩定性之射頻電源的調頻用系統及方法
KR102652644B1 (ko) 반도체 제조에서 플라즈마 프로세스 공간을 제어하도록 전기적 비대칭 효과를 사용하기 위한 시스템들 및 방법들
CN107808828B (zh) 用于基于uv抑制等离子体不稳定性的系统和方法
JP2006049367A (ja) プラズマ処理装置
JP2022537038A (ja) 基板処理システム用の縮径キャリアリングハードウェア