KR20170096596A - 웨이퍼 이송을 위한 웨이퍼 리프트 링 시스템 - Google Patents

웨이퍼 이송을 위한 웨이퍼 리프트 링 시스템 Download PDF

Info

Publication number
KR20170096596A
KR20170096596A KR1020170020448A KR20170020448A KR20170096596A KR 20170096596 A KR20170096596 A KR 20170096596A KR 1020170020448 A KR1020170020448 A KR 1020170020448A KR 20170020448 A KR20170020448 A KR 20170020448A KR 20170096596 A KR20170096596 A KR 20170096596A
Authority
KR
South Korea
Prior art keywords
substrate
lift ring
lift
height
ring
Prior art date
Application number
KR1020170020448A
Other languages
English (en)
Other versions
KR102630917B1 (ko
Inventor
브라이언 세버슨
이블린 앤젤로브
제임스 유진 카론
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170096596A publication Critical patent/KR20170096596A/ko
Application granted granted Critical
Publication of KR102630917B1 publication Critical patent/KR102630917B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

기판 지지부는 기판을 지지하도록 배열된 내측 부분, 내측 부분을 둘러싸고 기판의 외측 에지를 지지하도록 배열된 리프트 링, 및 기판 지지부의 리프트 링 및 내측 부분 중 적어도 하나를 선택적으로 상승시키고 하강시킴으로써 내측 부분에 대해 리프트 링의 높이를 조정하기 위해 액추에이터를 제어하도록 구성된 제어기를 포함한다. 리프트 링의 높이를 조정하기 위해, 제어기는 선택적으로, 리프트 링으로의 기판의 이송 및 리프트 링으로부터 기판의 회수를 위한 이송 높이로 리프트 링의 높이를 조정하고, 기판을 프로세싱하기 위한 프로세싱 높이로 리프트 링의 높이를 조정한다.

Description

웨이퍼 이송을 위한 웨이퍼 리프트 링 시스템{WAFER LIFT RING SYSTEM FOR WAFER TRANSFER}
본 개시는 기판 프로세싱 시스템의 기판 지지부로부터 기판 또는 웨이퍼를 리프팅하기 위한 시스템들 및 방법들에 관한 것이다.
본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과 및 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템은 반도체 웨이퍼와 같은 기판 상에 막을 에칭하도록 사용될 수도 있다. 기판 프로세싱 시스템은 통상적으로 프로세싱 챔버, 가스 분배 디바이스 및 기판 지지부 (예를 들어, 정전 척, 또는 ESC) 을 포함한다. 프로세싱 동안, 기판은 기판 지지부 상에 배치된다. 상이한 가스 혼합물들이 프로세싱 챔버 내로 도입될 수도 있고 RF (radio frequency) 플라즈마가 화학 반응들을 활성화하도록 사용될 수도 있다.
기판 지지부는 프로세싱 동안 기판 지지부로 그리고 기판 지지부로부터 이송을 위해 기판을 리프팅하고 하강시키기 위한 리프트 메커니즘을 포함할 수도 있다. 예를 들어, 하나 이상의 리프트 핀들은 기판 아래 기판 지지부에 배열될 수도 있다. 리프트 핀들은 기판 지지부에 대해 기판을 상승시키고 하강시키기 위해 기판의 하단 표면을 (예를 들어, 전기기계적으로, 공압적으로, 등) 인게이지하도록 선택적으로 제어된다.
기판 지지부는 기판을 지지하도록 배열된 내측 부분, 내측 부분을 둘러싸고 기판의 외측 에지를 지지하도록 배열된 리프트 링, 및 기판 지지부의 리프트 링 및 내측 부분 중 적어도 하나를 선택적으로 상승시키고 하강시킴으로써 내측 부분에 대해 리프트 링의 높이를 조정하기 위해 액추에이터를 제어하도록 구성된 제어기를 포함한다. 리프트 링의 높이를 조정하기 위해, 제어기는 선택적으로, 리프트 링으로의 기판의 이송 및 리프트 링으로부터 기판의 회수를 위한 이송 높이로 리프트 링의 높이를 조정하고, 기판을 프로세싱하기 위한 프로세싱 높이로 리프트 링의 높이를 조정한다. 프로세싱 높이는 이송 높이보다 낮다.
기판 지지부를 동작시키는 방법은, 리프트 링을 제공하는 단계로서, 리프트 링은 기판의 내측 부분을 둘러싸고 기판의 외측 에지를 지지하도록 배열되는, 리프트 링을 제공하는 단계 및 리프트 링 및 기판 지지부의 내측 부분 중 적어도 하나를 선택적으로 상승시키고 그리고 하강시킴으로써 내측 부분에 대해 리프트 링의 높이를 조정하도록 액추에이터를 제어하는 단계를 포함한다. 리프트 링의 높이를 조정하는 단계는, 선택적으로 리프트 링으로의 기판의 이송 및 리프트 링으로부터 기판의 회수를 위한 이송 높이로 리프트 링의 높이를 조정하는 단계, 및 기판을 프로세싱을 위한 프로세싱 높이로 리프트 링의 높이를 조정하는 단계를 포함하고, 프로세싱 높이는 이송 높이보다 낮다.
본 개시의 추가 적용가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시를 목적으로 의도되고, 본 개시의 범위를 제한하는 것으로 의도되지 않았다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른 예시적인 프로세싱 챔버의 기능적 블록도이다.
도 2a 내지 도 2e는 본 개시에 따른 리프트 링을 갖는 예시적인 기판 지지부들을 도시한다.
도 3은 본 개시에 따른 리프트 링을 갖는 예시적인 기판 지지부들의 단면을 도시한다.
도 4a 및 도 4b는 본 개시에 따라 상승된 위치 및 하강된 위치의 예시적인 리프트 링을 도시한다.
도 5는 본 개시에 따른 예시적인 제어기를 도시한다.
도 6은 본 개시에 따른 기판을 이송하도록 리프트 링의 높이를 조정하기 위한 예시적인 방법의 단계들을 도시한다.
도면들에서, 참조 번호들은 유사한 엘리먼트 및/또는 동일한 엘리먼트를 식별하도록 재사용될 수도 있다.
관련 출원들의 교차 참조
본 출원은 2016년 2월 16일 출원된 미국 특허 가출원 번호 제 62/295,808 호의 이익을 주장한다. 상기 참조된 출원의 전체 개시들은 본 명세서에 참조로서 인용된다.
기판 프로세싱 시스템의 기판 지지부는 기판 지지부로 그리고 기판 지지부로부터 기판을 리프팅하기 위한 하나 이상의 리프트 핀들을 포함할 수도 있다. 리프트 핀들은 기판의 중앙 부분 또는 내부 부분 아래에 배열될 수도 있고, 기판과 접촉하고 기판 지지부로부터 기판을 리프팅하도록 상향으로 작동된다 (actuate).
기판 지지부는 리프트 핀들을 작동시키도록 전기기계적 및/또는 공압식 리프트 메커니즘을 구현할 수도 있다. 리프트 메커니즘은 상대적으로 비용이 많이 들고 부가적인 하드웨어, 유지보수, 및 기판 지지부 밑에서 서비스하는 설비들을 필요로 한다. 일부 예들에서, 리프트 핀들은 기판 하측으로의 아킹, 리프트 핀들의 각각의 캐비티들에서 중공 캐소드 방전, 등과 연관된다. 또한, 리프트 메커니즘은 기판 지지부 아래의 부가적인 공간을 점유하여, 기판 지지부의 베이스플레이트, 히팅 엘리먼트들, 등의 설계를 복잡하게 한다.
본 개시의 원리들에 따른 기판 (즉, 웨이퍼) 리프트 시스템들 및 방법들은 기판의 주변부를 적어도 부분적으로 둘러싸는 리프트 링을 구현한다. 리프트 링의 내측 에지는 기판을 지지하는 선반 (ledge) 또는 립 (lip) 을 포함한다. 리프트 링은 리프트 핀들에 의해 선택적으로 상승 및 하강된다. 예를 들어, 일부 기판 지지부들은 기판과 가스 분배 디바이스 간의 거리를 조정하기 위해 선택적으로 상승되고 하강되도록 구성된다. 본 기판 리프트 시스템들 및 방법들의 일부 예들에서, 기판 지지부를 하강시키는 것은 리프트 핀들의 하부 단부들로 하여금 고정된 위치에 있는 리프트 핀 정지부를 인게이지하게 한다. 따라서, 기판 지지부가 계속해서 하강됨에 따라, 리프트 핀들은 리프트 핀 정지부에 의해 고정된 위치에 유지되어, 리프트 링으로 하여금 기판 지지부로부터 기판을 리프팅하게 한다. 다른 예들에서, 리프트 핀 정지부는 리프트 핀들을 상승 및 하강시키도록 선택적으로 상승 및 하강될 수도 있다. 일 예에서, 리프트 핀 정지부는 접지된 도전성 재료로 형성될 수도 있다.
예들에서, 3 개의 리프트 핀들이 제공된다. 리프트 핀들은 리프트 링 아래에서 동일하게 이격될 수도 있다. 리프트 핀들은 유전체 재료로 구성되고 기판 지지부의 외측 주변부 둘레에 위치된 유전체 링 내에 배열된다. 리프트 링 (예를 들어, C-형상 링) 은 각각의 리프트 핀들에 대응하도록 구성된 3 개의 리세스들 (예를 들어, 슬롯팅된 리세스들) 을 포함할 수도 있다. 예를 들어, 리세스들은 기판 지지부를 중심으로 리프트 링을 방사상으로 정렬하도록 리프트 핀들의 각각의 상부 단부들을 수용한다. 리프트 링의 내측 직경은 기판의 외측 직경보다 미미하게 작을 수도 있다. 따라서, 내측 에지 (예를 들어, 립 또는 선반) 는 기판의 외측 에지를 지지한다. 예를 들어, 리프트 링의 내측 에지는 기판의 외측 직경보다 미미하게 큰 외측 직경을 갖는 리세스를 포함할 수도 있다.
리프트 링은 연속적이지 않다 (즉, 리프트 링은 완전한, 깨지지 않은 원 또는 링이 아니다). 오히려, 리프트 링의 세그먼트 (예를 들어, 브리지로 지칭됨) 는 리프트 링이 기판 지지부로부터 상승될 때 개구부를 제공하도록 리프트 링으로부터 분리될 수도 있다. 따라서, 웨이퍼 이송 로봇의 엔드 이펙터는 기판 지지부로부터 기판의 배치 및 회수를 위해 개구부를 통해 리프트 링 내에 수용될 수 있다. 브리지는 기판 및 기판 지지부 (예를 들어, 정전 척 또는 ESC) 에 대해 고정되게 남아 있다.
리프트 핀들은 기판 아래 기판 지지부 내에 위치되지 않기 때문에, 리프트 핀들은 기판 지지부의 표면의 열적 균일도에 영향을 주지 않아, 열적 균일도를 제어하도록 사용된 모든 디바이스들의 복잡도를 감소시키고 단순화한다. 또한, 리프트 핀들은 기판의 하측으로의 아크 경로를 제공하지 않고, 리프트 핀들에 대응하는 캐비티들은 기판 아래에 위치되지 않고 따라서 기판 아래 중공 캐소드 방전이 용이하지 않게 한다.
이제 도 1을 참조하면, 본 개시에 따른 기판의 층 (단지 예를 들면, 텅스텐, 또는 W 층) 을 에칭하기 위한 기판 프로세싱 챔버 (100) 의 예가 도시된다. 특정한 기판 프로세싱 챔버가 도시되고 기술되지만, 본 명세서에 기술된 방법들은 다른 타입들의 기판 프로세싱 시스템들에 대해 구현될 수도 있다.
기판 프로세싱 챔버 (100) 는 하부 챔버 영역 (102) 및 상부 챔버 영역 (104) 을 포함한다. 하부 챔버 영역 (102) 은 챔버 측벽 표면들 (108), 챔버 하단 표면 (110) 및 가스 분배 디바이스 (114) 의 하부 표면에 의해 규정된다.
상부 챔버 영역 (104) 은 가스 분배 디바이스 (114) 의 상부 표면 및 돔 (118) 의 내측 표면에 의해 규정된다. 일부 예들에서, 돔 (118) 은 제 1 환형 지지부 (121) 상에 놓인다. 일부 예들에서, 이하에 더 기술될 바와 같이, 제 1 환형 지지부 (121) 는 상부 챔버 영역 (104) 으로 프로세스 가스를 전달하기 위해 하나 이상의 이격된 홀들 (123) 을 포함한다. 일부 예들에서, 프로세스 가스는 하나 이상의 이격된 홀들 (123) 에 의해 가스 분배 디바이스 (114) 를 포함하는 평면에 대해 예각으로 상향 방향으로 전달되지만, 다른 각도들/방향들이 사용될 수도 있다. 일부 예들에서, 제 1 환형 지지부 (121) 의 가스 플로우 채널 (134) 은 하나 이상의 이격된 홀들 (123) 로 가스를 공급한다.
제 1 환형 지지부 (121) 는, 가스 플로우 채널 (129) 로부터 하부 챔버 영역 (102) 으로 프로세스 가스를 전달하기 위해 하나 이상의 이격된 홀들 (127) 을 규정하는 제 2 환형 지지부 (125) 상에 놓일 (rest on) 수도 있다. 일부 예들에서, 가스 분배 디바이스 (114) 의 홀들 (131) 은 홀들 (127) 과 정렬한다. 다른 예들에서, 가스 분배 디바이스 (114) 는 보다 작은 직경을 갖고 홀들 (131) 이 필요하지 않다. 일부 예들에서, 프로세스 가스는 하나 이상의 이격된 홀들 (127) 에 의해 가스 분배 디바이스 (114) 를 포함하는 평면에 대해 예각으로 기판을 향해 하향 방향으로 전달되지만, 다른 각도들/방향들이 사용될 수도 있다.
다른 예들에서, 상부 챔버 영역 (104) 은 평탄한 상단 표면을 갖는 실린더형이고, 하나 이상의 편평한 유도 코일들이 사용될 수도 있다. 여전히 다른 예들에서, 샤워헤드와 기판 지지부 사이에 위치된 스페이서와 함께 단일 챔버가 사용될 수도 있다.
기판 지지부 (122) 는 하부 챔버 영역 (102) 내에 배열된다. 일부 예들에서, 기판 지지부 (122) 는 정전 척 (ESC) 을 포함하지만, 다른 타입들의 기판 지지부들이 사용될 수 있다. 기판 (126) 은 에칭 동안 기판 지지부 (122) 의 상부 표면 상에 배열된다. 일부 예들에서, 기판 (126) 의 온도는 히터 플레이트 (136), 유체 채널들을 갖는 선택가능한 냉각 플레이트 및 하나 이상의 센서들 (미도시) 에 의해 제어될 수도 있지만, 임의의 다른 적합한 기판 지지부 온도 제어 시스템이 사용될 수도 있다.
일부 예들에서, 가스 분배 디바이스 (114) 는 샤워헤드 (예를 들어, 복수의 이격된 홀들 (138) 을 가진 플레이트 (128)) 를 포함한다. 복수의 이격된 홀들 (138) 은 플레이트 (128) 의 상부 표면으로부터 플레이트 (128) 의 하부 표면으로 연장된다. 일부 예들에서, 이격된 홀들 (138) 은 0.4" 내지 0.75"까지 범위의 직경을 가지고 샤워헤드는 알루미늄과 같은 도전성 재료 또는 도전성 재료로 만들어진 임베딩된 전극 (embedded electrode) 을 가지는 세라믹과 같은 비-도전성 재료로 이루어진다.
하나 이상의 유도 코일들 (140) 은 돔 (118) 의 외측 부분 둘레에 배열된다. 에너자이징되면 (energized), 하나 이상의 유도 코일들 (140) 이 돔 (118) 내부에 전자기장을 생성한다. 일부 예들에서, 상부 코일 및 하부 코일이 사용된다. 가스 주입기 (142) 가 가스 전달 시스템 (150-1) 으로부터 하나 이상의 가스 혼합물을 주입한다.
일부 예들에서, 가스 전달 시스템 (150-1) 은, 하나 이상의 가스 소스들 (152), 하나 이상의 밸브들 (154), 하나 이상의 질량 유량 제어기들 (MFCs) (156) 및 혼합 매니폴드 (mixing manfold) (158) 를 포함하지만, 다른 유형의 가스 전달 시스템들이 사용될 수도 있다. 가스 스플리터 (미도시) 는 가스 혼합물의 플로우 레이트를 가변하도록 사용될 수도 있다. 또 다른 가스 전달 시스템 (150-2) 은 에칭 가스 또는 에칭 가스 혼합물 (가스 주입기 (142) 로부터 에칭 가스에 더하여 또는 대신하여) 을 가스 플로우 채널들 (129 및/또는 134) 에 공급하기 위해 사용될 수도 있다.
적합한 가스 전달 시스템들은 그 전체가 참조로서 본 명세서에 인용된 2015년 12월 4일에 출원된, 명칭이 "Gas Delivery System"인 공동으로 양도된 미국 특허 출원 제 14/945,680 호에 도시되고 기술된다. 적합한 단일 또는 듀얼 가스 주입기들과 다른 가스 주입 위치들은 그 전체가 참조로서 본 명세서에 인용된 2016년 1월 7일에 출원된 명칭이 "Substrate Processing System with Multiple Injection Points and Dual Injector"인 공동으로 양도된 미국 가특허 출원 제 62/275,837 호에 도시되고 기술된다.
일부 예들에서, 가스 주입기 (142) 는 가스를 하향 방향으로 지향시키는 중앙 주입 위치와 하향 방향에 대하여 비스듬히 가스를 주입하는 하나 이상의 측면 주입 위치들을 포함한다. 일부 예들에서, 가스 전달 시스템 (150-1) 은 가스 혼합물의 제 1 부분을 제 1 플로우 레이트로 중앙 주입 위치에 그리고 가스 혼합물의 제 2 부분을 제 2 플로우 레이트로 가스 주입기 (142) 의 측면 주입 위치(들)로 전달한다. 다른 예들에서, 상이한 가스 혼합물들이 가스 주입기 (142) 에 의해 전달된다. 일부 예들에서, 가스 전달 시스템 (150-1) 은 후술될 바와 같이 튜닝 가스 (tuning gas) 를 가스 플로우 채널들 (129 및 134) 및/또는 프로세싱 챔버의 다른 위치들로 전달한다.
플라즈마 생성기 (170) 는 하나 이상의 유도 코일들 (140) 로 출력되는 RF 전력을 생성하도록 사용될 수도 있다. 플라즈마 (190) 는 상부 챔버 영역 (104) 에서 생성된다. 일부 예들에서, 플라즈마 생성기 (170) 는 RF 생성기 (172) 와 매칭 네트워크 (174) 를 포함한다. 매칭 네트워크 (174) 는 RF 생성기 (172) 의 임피던스를 하나 이상의 유도 코일들 (140) 의 임피던스에 매칭시킨다. 일부 예들에서, 가스 분배 디바이스 (114) 는 접지와 같은 기준 전위에 연결된다. 밸브 (178) 와 펌프 (180) 는 하부 챔버 영역 (102) 및 상부 챔버 영역 (104) 의 내부의 압력을 제어하거나 반응물들을 배출시키도록 사용될 수도 있다.
제어기 (176) 는 프로세스 가스, 퍼지 가스, RF 플라즈마와 챔버 압력의 플로우을 제어하기 위해 가스 전달 시스템들 (150-1 및 150-2), 밸브 (178), 펌프 (180) 및/또는 플라즈마 생성기 (170) 와 통신한다. 일부 예들에서, 플라즈마는 하나 이상의 유도 코일들 (140) 에 의해 돔 (118) 의 내부에서 지속된다. 하나 이상의 가스 혼합물들은 가스 주입기 (142) (및/또는 홀들 (123)) 를 이용하여 챔버의 상단 부분으로부터 도입되고 플라즈마는 가스 분배 디바이스 (114) 를 이용하여 돔 (118) 의 내부로 한정된다.
돔 (118) 내에 플라즈마를 한정하는 것은 플라즈마 종의 체적 재결합 (volume recombination) 과 가스 분배 디바이스 (114) 를 통한 목표된 에천트 종 (echant species) 의 발산을 허용한다. 일부 예들에서 기판 (126) 에 인가된 RF 바이어스 전력이 없다. 그 결과 기판 상에 활성화된 시스 (sheath) 가 없고 이온들이 임의의 유한한 에너지를 가지고 기판 (126) 에 충돌하지 않는다. 일정 양의 이온들은 가스 분배 디바이스 (114) 를 통해 플라즈마 영역으로부터 확산될 것이다. 그러나, 확산되는 플라즈마의 양은 돔 (118) 내부에 위치한 플라즈마 보다 적은 자릿수이다. 플라즈마의 대부분의 이온들은 고압에서 체적 재결합함으로써 손실된다. 가스 분배 디바이스 (114) 의 상부 표면에서의 표면 재결합 손실은 또한 가스 분배 디바이스 (114) 아래의 이온 밀도를 낮춘다.
다른 예들에서, RF 바이어스 생성기 (184) 가 제공되고, RF 바이어스 생성기 (184) 는 RF 생성기 (186) 및 매칭 네트워크 (188) 를 포함한다. RF 바이어스는 가스 분배 디바이스 (114) 와 기판 지지부 사이에서 플라즈마를 생성하거나 이온들을 끌어당기기 위해 기판 (126) 상에 셀프-바이어스 (self-bias) 를 만들어 내는데 사용될 수 있다. 제어기 (176) 는 RF 바이어스 전력을 제어하도록 사용될 수도 있다.
기판 지지부 (122) 는 리프트 링 (192) 을 포함한다. 기판 지지부 (122) 는 지지 링 (194) 을 더 포함한다. 지지 링 (194) 내에 위치된 리프트 핀들 (196) 은 리프트 링 (192) 을 지지한다. 리프트 링 (192) 의 내측 에지는 기판 (126) 을 지지하고 유지한다. 본 개시의 원리에 따른 리프트 링 (192) 은 기판 지지부 (122) 에 대해 이동가능하다 (예를 들어, 수직 방향으로 상향 및 하향으로 이동가능하다). 예를 들어, 기판 지지부 (122) 가 하강될 때, 리프트 링 (192) 은 고정 위치에 유지되어, 이하에 보다 상세히 기술된 바와 같이 기술된 기판 (126) 으로 하여금 기판 지지부 (122) 로부터 상승 또는 리프팅되게 한다. 다른 예들에서, 리프트 핀들 (196) 은 리프트 링 (192) 및 기판 (126) 을 리프팅하기 위해 상승된다.
이제 도 2a 내지 도 2e를 참조하면, 본 개시의 원리들에 따라 예시적인 기판 지지부 (200) 상에 배치된 기판 (204) 을 갖는 기판 지지부 (200) 가 도시된다. 기판 지지부 (200) 는 내측 부분 (예를 들어, ESC에 대응함) (208) 을 갖는 베이스 또는 페데스탈 및 외측 지지 링 (212) 을 포함할 수도 있다. 도 2a에서, 기판 지지부 (200) 는 완전히 하강된 위치에 있는 리프트 링 (216) 을 갖는 것으로 도시된다. 도 2b 및 도 2c에서, 기판 지지부 (200) 는 상승된 위치에 있는 리프트 링 (216) 을 갖는 것으로 도시된다. 예를 들어, 도 2b에서, 리프트 핀들 (224) 은 리프트 링 (216) 으로 하여금 기판 지지부 (200) 로부터 분리되게 하도록 고정되게 남아 있는 동안 내측 부분 (208) 및 외측 링 (212) 을 포함하는 기판 지지부 (200) 가 (예를 들어, 기판 프로세싱 챔버의 하단 표면 (220) 에 대해) 하강된다. 반대로, 도 2c에서, 기판 지지부 (200) 가 고정되게 남아 있는 동안 리프트 링 (216) 을 상승된 위치로 리프팅하고 인게이지하도록 리프트 핀들 (224) 은 (예를 들어, 하단 표면 (220) 에 대해) 상향으로 작동된다. 따라서, 다양한 예들에서, 기판 (204) 을 이송 위치로 상승시키기 위해 리프트 링 (216) 이 기판 지지부 (200) 에 대해 상승될 수 있도록 그리고/또는 리프트 링 (216) 및 기판 (204) 으로 하여금 이송 위치로 상승되게 하기 위해 기판 지지부 (200) 가 하강될 수 있도록 기판 지지부 (200) 가 구성될 수도 있다.
도 2d 및 도 2e는 리프트 링 (216) 및 기판 (204) 을 보다 상세히 (각각 클로즈-업도 및 평면도로) 도시한다. 리프트 링 (216) 의 내측 에지 (228) 는 기판 (204) 의 외측 에지 (232) 를 지지하는 선반 또는 립에 대응한다. 예를 들어, 리프트 링 (216) 은 기판 (204) 의 외측 에지 (232) 를 수용하는 리세스 (236) 를 포함한다. 예를 들어, 리프트 링 (216) 의 내측 에지 (228) 의 내측 직경은 기판 (204) 의 외측 에지 (232) 의 외측 직경보다 작다. 반대로, 리세스 (236) 의 외측 직경은 기판 (204) 의 외측 에지 (232) 의 외측 직경보다 크다. 도 2d에 도시된 바와 같이, 리프트 링 (216) 의 하단 표면은 각각의 리프트 핀들 (224) 을 수용하도록 구성된 각각의 리세스들 (240) 을 포함한다. 따라서, 리세스들 (240) 은 리프트 핀들 (224) 을 캡처하고 유지하고, 리프트 핀들 (224) 은 대응하여 리프트 링 (216) 의 방사상 정렬을 유지한다.
도 2e에 도시된 바와 같이, 리프트 링 (216) 은 브리지 (244) 를 포함한다. 브리지 (244) 는 리프트 링 (216) 으로부터 분리되어 기판 지지부 (200) 및 리프트 링 (216) 에 대해 고정된 위치에 유지된다. 따라서, 리프트 링 (216) 이 기판 지지부 (200) 에 대해 상승되는 예들에서, 브리지 (244) 는 상승되지 않아 리프트 링 (216) 으로부터 분리된다. 반대로, 기판 지지부 (200) 가 리프트 링 (216) 에 대해 하강되는 예들에서, 브리지 (244) 는 또한 하강되어 리프트 링 (216) 으로부터 분리된다. 브리지 (244) 및 리프트 링 (216) 이 분리될 때, 개구부 (248) 가 리프트 링 (216) 내에 형성된다. 예를 들어, 리프트 링 (216) 은 "C"-형상일 수도 있고 브리지는 "C"의 개구부 (248) 와 정렬된다. 개구부 (248) 는 리프트 링 (216) 으로부터 기판 (204) 을 캡처하고 이송하도록 리프트 링 (216) 으로 하여금 웨이퍼 이송 로봇의 엔드 이펙터를 수용하게 한다.
브리지 (244) 는 조인트들 (252) 에서 리프트 링 (216) 에 인접하다. 예를 들어, 조인트들 (252) 은 랩 조인트들 (예를 들어, 브리지 (244) 의 각각의 단부들을 오버랩하는 리프트 링 (216) 의 단부들) 에 대응한다. 조인트들 (252) 은 조인트들 (252) 에서 기생 플라즈마 점화를 방지하도록 리프트 링 (216) 및 브리지 (244) 의 각각의 단부들 사이의 공간에서의 자유 공간을 최소화하도록 구성될 수도 있다. 도시된 바와 같이, 조인트들 (252) 은 방사상 절단부들에 대응한다 (즉, 조인트들 (252) 은 리프트 링 (216) 에 의해 형성된 원에 직교하는 라인들에 대응한다). 따라서, 조인트들 (252) 은 브리지 (244) 및 리프트 링 (216) 의 각각의 에지들과 직각을 형성한다. 다른 예들에서, 조인트들 (252) 은 상이한 각도들로 형성될 수도 있다.
도 3은 또 다른 예시적인 기판 지지부 (300) 의 단면을 도시한다. 기판 지지부 (300) 는 리프트 핀들 (308) 을 지지하는 외측 링 (304) 을 포함한다. 리프트 핀들 (308) 은 리프트 링 (312) 을 지지한다. 리프트 링 (312) 은 상승된 위치로 도시된다 (예를 들어, 상승된 위치로 작동되는 리프트 핀들 (308) 및/또는 하강된 위치로 작동되는 기판 지지부 (300) 에 대응).
리프트 링 (312) 은 리프트 링 (312) 으로부터 분리되는 브리지 (316) 를 포함한다. 리프트 링 (312) 이 상승될 때 그리고/또는 기판 지지부 (300) 가 하강될 때 브리지 (316) 가 리프트 링 (312) 으로부터 분리되도록 브리지 (316) 는 고정된 위치에 유지된다. (즉, 리프트 링 (312) 의 높이를 이송 높이로 조정함으로써) 브리지 (316) 를 리프트 링 (312) 으로부터 분리하는 것은 리프트 링 (312) 내에 개구부 (320) 를 형성한다. 개구부 (320) 는 리프트 링 (312) 으로 하여금 리프트 링 (312) 으로 그리고 리프트 링 (312) 으로부터 기판의 이송을 위해 엔드 이펙터 (324) 를 수용하게 한다. 리프트 링 (312) 의 단부들 (328) 은 브리지 (316) 와 랩 조인트들을 형성하도록 구성된다. 단지 예를 들면, 이송 높이는 리프트 링 (312) 으로 그리고 리프트 링 (312) 으로부터 기판들을 이송하도록 구성된 로봇의 엔드 이펙터의 두께에 대응할 수도 있다. 즉, 이송 높이는 기판의 하단 표면과 브리지 (316) 의 상부 표면 간의 거리 d가 엔드 이펙터로 하여금 기판과 브리지 (316) 사이에 삽입되게 하도록 적어도 엔드 이펙터의 두께보다 큰 높이에 대응한다.
도 4a 및 도 4b는 기판 지지부 (400) 를 위한 리프트 메커니즘의 예시적인 구현예들을 도시한다. 도 4a에서, 제어기 (404) 는 기판 지지부 (400) 를 선택적으로 상승 및 하강시키도록 모터 또는 액추에이터 (408) 를 제어한다. 단지 예를 들면, 모터 (408) 는 기판 지지부 (400) 를 선택적으로 상승 및 하강시키도록 드라이브 샤프트 또는 스크루 (412) 를 회전시킨다. 기판 지지부 (400) 가 하강됨에 따라, 리프트 핀들 (416) 은 리프트 핀 정지부들 (420) 를 인게이지하여, 리프트 링 (424) 및 기판 (428) 으로 하여금 고정되게 남아 있게 한다. 따라서, 리프트 링 (424) 은 기판 지지부 (400) 에 대해 상승된다.
반대로, 도 4b에서, 기판 지지부 (400) 가 고정되게 남아 있는 동안 제어기 (404) 는 리프트 핀들 (416) 을 선택적으로 상승 및 하강시키도록 모터들 (408) 을 제어한다. 따라서, 리프트 링 (424) 이 기판 지지부 (400) 에 대해 상승된다.
이제 도 5를 참조하면, 예시적인 제어기 (500) (예를 들어, 제어기 (404) 에 대응) 는 기판 지지부에 대해 리프트 링의 높이를 선택적으로 제어하도록 구성된 리프트 링 높이 제어 모듈 (504) 을 포함한다. 리프트 링 높이 제어 모듈 (504) 은 이로 제한되는 것은 아니지만, 기판이 프로세싱 챔버로 이송되거나 프로세싱 챔버로부터 회수되는 것을 나타내는 입력을 포함하는, 하나 이상의 입력들 (508) 을 수신한다. 예를 들어, 입력들 (508) 은 기판에 대한 프로세싱이 완료되었다는 표지, 사용자가 기판의 이송 또는 회수를 (예를 들어, 외부 인터페이스를 통해) 수동으로 요청하였다는 표지, 등을 포함할 수도 있다. 일부 예들에서, 입력들 (508) 은 로봇이 기판을 이송 또는 회수하도록 위치되는 것을 나타내는 로봇 또는 로봇 제어기로부터 송신된 신호를 포함할 수도 있다.
리프트 링 높이 제어 모듈 (504) 은 입력들 (508) 에 응답하여 리프트 링의 높이를 제어한다. 예를 들어, 리프트 링 높이 제어 모듈 (504) 은 모터 제어 모듈 (512) 과 통신한다. 모터 제어 모듈 (512) 은 각각의 모터들 (예를 들어, 도 4a에 도시된 모터 (408), 도 4b에 도시된 모터들 (408), 등) 을 제어하도록 리프트 링 높이 제어 모듈 (504) 에 응답하여 하나 이상의 제어 신호들을 출력한다.
일부 예들에서, 제어기 (500) 는 리프트 링의 높이의 제어와 연관된 데이터를 저장하는 메모리 (516) 를 포함한다. 저장된 데이터는 리프트 링 높이 제어 모듈 (504) 에 의해 검색되고 그리고/또는 실행되는 프로그램, 알고리즘, 모델, 등을 포함할 수도 있다. 예를 들어, 데이터는 수신된 입력들 (508) 에 의해 나타내진 다양한 조건들에 기초하여 리프트 링을 제어하는 것과 연관된 제어 파라미터들을 포함할 수도 있다.
이제 도 6을 참조하면, 본 개시에 따라 기판을 이송하기 위해 리프트 링의 높이를 조정하기 위한 예시적인 방법 (600) 이 604에서 시작된다. 608에서, 기판 지지부에 대한 리프트 링의 높이는 (예를 들어, 제어기 (500) 를 사용하여) 이송 높이로 조정된다. 예를 들어, 도 1 내지 도 5에서 상기 기술된 바와 같이 리프트 링이 상승되거나 기판 지지부의 내측 부분이 하강된다. 단지 예를 들면, 이송 높이는 리프트 링으로 그리고 리프트 링으로부터 기판들을 이송하도록 구성된 로봇의 엔드 이펙터의 두께에 대응할 수도 있다. 즉, 이송 높이는, 기판과 브리지 사이에 엔드 이펙터가 삽입되게 하도록 기판의 하단 표면과 브리지의 상부 표면 사이의 거리가 적어도 엔드 이펙터의 두께보다 큰 높이에 대응한다. 일 예에서, 메모리 (516) 는 각각의 이송 높이들과 하나 이상의 이송 로봇들을 상관시키는 다른 데이터 또는 룩업 테이블을 저장할 수도 있다. 즉, 제어기 (500) 는 이송 로봇의 치수들 (예를 들어, 로봇의 엔드 이펙터의 두께) 에 기초하여 충분한 이송 높이로 리프트 링을 조정하도록 구성될 수도 있다.
612에서, 기판은 리프트 링 상에 배치된다. 616에서, 리프트 링의 높이는 프로세싱 높이로 조정된다 (즉, 기판은 리프트 링을 하강시킴으로써 또는 기판 지지부의 내측 부분을 상승시킴으로써 기판 지지부 상에 배치된다). 620에서, 하나 이상의 프로세싱 단계들이 기판 상에서 수행된다. 624에서, 방법 (600) (예를 들어, 제어기 (500)) 은 기판을 회수할지 여부를 결정한다. 예를 들어, 제어기 (500) 는 프로세싱이 완료되었고, 로봇이 기판을 회수하기 위해 제자리에 위치되었다고 결정할 수도 있다. 참이라면, 방법 (600) 은 628로 계속된다. 거짓이라면, 방법 (600) 은 620으로 계속된다.
628에서, 리프트 링의 높이는 이송 높이로 조정된다. 632에서, 기판은 리프트 링으로부터 회수된다. 방법 (600) 은 636에서 종료된다.
전술한 기술은 본질적으로 단순히 예시적이고 어떠한 방법으로도 개시, 이들의 애플리케이션 또는 용도들을 제한하도록 의도되지 않는다. 개시의 광범위한 교시가 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특정한 예들을 포함하지만, 다른 수정 사항들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에, 본 개시의 진정한 범위는 이렇게 제한되지 않아야 한다. 방법 내의 하나 이상의 단계들이 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시예들 각각이 특정한 피처들을 갖는 것으로 상기에 기술되었지만, 본 개시의 임의의 실시예에 대하여 기술된 임의의 하나 이상의 이들 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시예들의 피처들로 및/또는 임의의 다른 실시예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 또 다른 실시예들과의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에서 논의된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전환 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 전환들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 전환 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
전술한 기술은 본질적으로 단순히 예시적이고 어떠한 방법으로도 개시, 이들의 애플리케이션 또는 용도들을 제한하도록 의도되지 않는다. 개시의 광범위한 교시가 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특정한 예들을 포함하지만, 다른 수정 사항들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에, 본 개시의 진정한 범위는 이렇게 제한되지 않아야 한다. 방법 내의 하나 이상의 단계들이 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시예들 각각이 특정한 피처들을 갖는 것으로 상기에 기술되었지만, 본 개시의 임의의 실시예에 대하여 기술된 임의의 하나 이상의 이들 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시예들의 피처들로 및/또는 임의의 다른 실시예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 또 다른 실시예들과의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에서 논의된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다.
이하의 정의들을 포함하는 본 출원에서, 용어 "모듈" 또는 용어 "제어기"는 용어 "회로"로 대체될 수도 있다. 용어 "모듈"은 ASIC (Application Specific Integrated Circuit); 디지털, 아날로그, 또는 혼합된 아날로그/디지털 개별 회로; 디지털, 아날로그, 또는 혼합된 아날로그/디지털 집적 회로; 조합형 논리 회로; FPGA (field programmable gate array); 코드를 실행하는 (공유된, 전용, 또는 그룹) 프로세서 회로; 프로세서 회로에 의해 실행된 코드를 저장하는 (공유된, 전용, 또는 그룹) 메모리 회로; 기술된 기능을 제공하는 다른 적합한 하드웨어 컴포넌트들; 또는 시스템-온-칩과 같은, 상기한 것들의 일부 또는 전부의 조합을 지칭하고, 이의 일부일 수도 있고, 또는 이를 포함할 수도 있다.
모듈은 하나 이상의 인터페이스 회로들을 포함할 수도 있다. 일부 예들에서, 인터페이스 회로들은 LAN (local area network), 인터넷, WAN (wide area network), 또는 이들의 조합들에 접속된 유선 인터페이스 또는 무선 인터페이스를 포함할 수도 있다. 본 개시의 임의의 주어진 모듈의 기능은 인터페이스 회로들을 통해 접속된 복수의 모듈들 중에 분배될 수도 있다. 예를 들어, 복수의 모듈들은 로드 밸런싱을 허용할 수도 있다. 추가의 예에서, 서버 (또한 원격 또는 클라우드로 공지됨) 모듈은 클라이언트 모듈을 대신하여, 일부 기능을 달성할 수도 있다.
상기에 사용된 바와 같이, 용어 코드는 소프트웨어, 펌웨어, 및/또는 마이크로코드를 포함할 수도 있고, 프로그램들, 루틴들, 함수들, 클래스들, 데이터 구조체들, 및/또는 객체들을 지칭할 수도 있다. 용어 공유된 프로세서 회로는 복수의 모듈들로부터의 일부 또는 모든 코드를 실행하는 단일 프로세서 회로를 포괄한다. 용어 그룹 프로세서 회로는 추가적인 프로세서 회로들과 조합하여, 하나 이상의 모듈들로부터의 일부 또는 모든 코드를 실행하는 프로세서 회로를 포괄한다. 복수의 프로세서 회로들에 대한 참조들은 개별 다이 상의 복수의 프로세서 회로들, 단일 다이 상의 복수의 프로세서 회로들, 단일 프로세서 회로의 복수의 코어들, 단일 프로세서 회로의 복수의 쓰레드들, 또는 상기한 것들의 조합을 포괄한다. 용어 공유된 메모리 회로는 복수의 모듈들로부터의 일부 또는 모든 코드를 저장하는 단일 메모리 회로를 포괄한다. 용어 그룹 메모리 회로는 추가적인 메모리들과 조합하여, 하나 이상의 모듈들로부터의 일부 또는 모든 코드를 저장하는 메모리 회로를 포괄한다.
용어 메모리는 용어 컴퓨터 판독가능 매체의 서브세트이다. 본 명세서에서 사용된 바와 같이, 용어 컴퓨터 판독가능 매체는 매체를 통해 (예를 들어, 반송파 상에서) 전파되는 일시적인 전자 신호 및 전자기 신호는 포괄하지 않는다; 따라서 용어 컴퓨터 판독가능 매체는 유형이고 비일시적인 것으로 간주될 수도 있다. 비일시적인, 유형의 컴퓨터 판독가능 매체의 비제한적인 예들은 (플래시 메모리 회로, EPROM 회로 (erasable programmable read-only memory circuit) 또는 마스크 판독 전용 메모리 회로와 같은) 비휘발성 메모리 회로들, (SRAM (static random access memory) 회로 또는 DRAM (dynamic random access memory) 회로와 같은) 휘발성 메모리, (아날로그 또는 디지털 자기 테이프 또는 하드 디스크 드라이브와 같은) 자기 저장 매체 및 (CD, DVD, 또는 Blu-ray Disc와 같은) 광학 저장장치이다.
본 출원서에 기술된 장치들 및 방법들은 컴퓨터 프로그램들로 구현된 하나 이상의 특정한 기능들을 실행하도록 범용 컴퓨터를 구성함으로써 생성된 특수 목적 컴퓨터에 의해 부분적으로 또는 전체적으로 구현될 수도 있다. 상기 기술된 기능 블록들, 플로우차트 컴포넌트들, 및 다른 엘리먼트들은 숙련된 기술자 또는 프로그래머의 일상적인 작업에 의해 컴퓨터 프로그램들로 변환될 수 있는 소프트웨어 명세들로서 역할을 한다.
컴퓨터 프로그램들은 적어도 하나의 비일시적인, 유형의 컴퓨터-판독가능 매체에 저장된 프로세서 실행가능 인스트럭션들을 포함한다. 컴퓨터 프로그램들은 또한 저장된 데이터를 포함할 수도 있거나 저장된 데이터에 의존할 수도 있다. 컴퓨터 프로그램들은 특수 목적 컴퓨터의 하드웨어와 상호작용하는 BIOS (basic input/output system), 특수 목적 컴퓨터의 특정한 디바이스들과 상호작용하는 디바이스 드라이버들, 하나 이상의 OS들 (operating systems), 사용자 애플리케이션들, 백그라운드 서비스들, 백그라운트 애플리케이션들, 등을 포괄한다.
컴퓨터 프로그램들은: (i) HTML (hypertext markup language) 또는 XML (extensible markup language) 과 같은 파싱될 기술형 텍스트; (ii) 어셈블리 코드; (iii) 컴파일러에 의해 소스 코드로부터 생성된 객체 코드; (iv) 인터프리터에 의해 실행하기 위한 소스 코드; (v) JIT 컴파일러 (just-in-time compiler) 에 의한 컴파일 및 실행을 위한 소스 코드, 등을 포함할 수도 있다. 단지 예로서, 소스 코드는 C, C++, C#, Objective-C, Haskell, Go, SQL, Lisp, Java®, Fortran, Perl, Pascal, Curl, OCaml, Javascript®, HTML5, Ada, ASP (active server pages), PHP, Scala, Eiffel, Smalltalk, Erlang, Ruby, Flash®, Visual Basic®, Lua, 또는 Python®을 포함하는 언어들로부터의 신택스를 사용하여 작성될 수도 있다.
청구항들에 언급된 어떠한 엘리먼트도, 엘리먼트가 "을 위한 수단"이라는 구 또는 방법 청구항의 경우에, "을 위한 동작" 또는 "을 위한 단계"라는 구를 사용하여 명시적으로 언급되지 않는 한, 35 U.S.C. §112(f)의 의미 내에서 기능식 (means-plus-function) 엘리먼트로서 의도되지 않는다.

Claims (18)

  1. 기판 지지부에 있어서,
    기판을 지지하도록 배열된 내측 부분;
    상기 내측 부분을 둘러싸고 상기 기판의 외측 에지를 지지하도록 배열된 리프트 링; 및
    상기 기판 지지부의 (i) 상기 리프트 링 및 (ii) 상기 내측 부분 중 적어도 하나를 선택적으로 상승시키고 하강시킴으로써 상기 내측 부분에 대해 상기 리프트 링의 높이를 조정하기 위해 액추에이터를 제어하도록 구성된 제어기를 포함하고,
    상기 리프트 링의 상기 높이를 조정하기 위해, 상기 제어기는 선택적으로,
    상기 리프트 링으로의 상기 기판의 이송 및 상기 리프트 링으로부터 상기 기판의 회수를 위한 이송 높이로 상기 리프트 링의 상기 높이를 조정하고, 그리고
    상기 기판의 프로세싱을 위한 상기 이송 높이보다 낮은 프로세싱 높이로 상기 리프트 링의 상기 높이를 조정하는, 기판 지지부.
  2. 제 1 항에 있어서,
    상기 기판 지지부는 상기 내측 부분을 둘러싸는 외측 링을 포함하고, 상기 외측 링은 상기 리프트 링의 하단 표면을 인게이지하도록 구성된 적어도 하나의 리프트 핀을 하우징하는, 기판 지지부.
  3. 제 1 항에 있어서,
    상기 리프트 링의 내측 에지는 상기 기판을 지지하도록 구성된 립 (lip) 을 포함하는, 기판 지지부.
  4. 제 1 항에 있어서,
    상기 리프트 링의 내측 에지는 상기 기판을 수용하도록 구성된 리세스를 포함하는, 기판 지지부.
  5. 제 1 항에 있어서,
    상기 리프트 링의 하단 표면은 상기 기판 지지부 내에 하우징된 리프트 핀을 수용하도록 구성된 적어도 하나의 리세스를 포함하는, 기판 지지부.
  6. 제 1 항에 있어서,
    상기 리프트 링은 C-형상 부분 및 브리지 부분을 포함하는, 기판 지지부.
  7. 제 6 항에 있어서,
    상기 C-형상 부분은 상기 내측 부분에 대해 이동가능하고, 그리고 상기 브리지 부분은 상기 내측 부분에 대해 고정되는, 기판 지지부.
  8. 제 6 항에 있어서,
    상기 C-형상 부분은 상기 브리지 부분이 상기 C-형상 부분으로부터 분리될 때 개구부를 포함하는, 기판 지지부.
  9. 제 6 항에 있어서,
    상기 C-형상 부분과 상기 브리지 부분 사이의 조인트들은 상기 C-형상 부분 및 상기 브리지 부분의 각각의 내측 에지 및 외측 에지와 직각을 형성하는, 기판 지지부.
  10. 기판 지지부를 동작시키는 방법에 있어서,
    상기 방법은,
    리프트 링을 제공하는 단계로서, 상기 리프트 링은 상기 기판의 내측 부분을 둘러싸고 상기 기판의 외측 에지를 지지하도록 배열되는, 상기 리프트 링을 제공하는 단계; 및
    (i) 상기 리프트 링 및 (ii) 상기 기판 지지부의 상기 내측 부분 중 적어도 하나를 선택적으로 상승시키고 그리고 하강시킴으로써 상기 내측 부분에 대해 상기 리프트 링의 높이를 조정하도록 상기 액추에이터를 제어하는 단계를 포함하고,
    상기 리프트 링의 상기 높이를 조정하는 단계는, 선택적으로
    상기 리프트 링으로의 상기 기판의 이송 및 상기 리프트 링으로부터 상기 기판의 회수를 위한 이송 높이로 상기 리프트 링의 상기 높이를 조정하는 단계, 및
    상기 기판의 프로세싱을 위한 상기 이송 높이보다 낮은 프로세싱 높이로 상기 리프트 링의 상기 높이를 조정하는 단계를 포함하는, 기판 지지부를 동작시키는 방법.
  11. 제 10 항에 있어서,
    상기 내측 부분을 둘러싸는 외측 링을 제공하는 단계를 더 포함하고, 상기 외측 링은 상기 리프트 링의 하단 표면을 인게이지하도록 구성된 적어도 하나의 리프트 핀을 하우징하는, 기판 지지부를 동작시키는 방법.
  12. 제 10 항에 있어서,
    상기 리프트 링의 내측 에지는 상기 기판을 지지하도록 구성된 립을 포함하는, 기판 지지부를 동작시키는 방법.
  13. 제 10 항에 있어서,
    상기 리프트 링의 내측 에지는 상기 기판을 수용하도록 구성된 리세스를 포함하는, 기판 지지부를 동작시키는 방법.
  14. 제 10 항에 있어서,
    상기 리프트 링의 하단 표면은 상기 기판 지지부 내에 하우징된 리프트 핀을 수용하도록 구성된 적어도 하나의 리세스를 포함하는, 기판 지지부를 동작시키는 방법.
  15. 제 10 항에 있어서,
    상기 리프트 링은 C-형상 부분 및 브리지 부분을 포함하는, 기판 지지부를 동작시키는 방법.
  16. 제 15 항에 있어서,
    상기 C-형상 부분은 상기 내측 부분에 대해 이동가능하고, 그리고 상기 브리지 부분은 상기 내측 부분에 대해 고정되는, 기판 지지부를 동작시키는 방법.
  17. 제 15 항에 있어서,
    상기 C-형상 부분은 상기 브리지 부분이 상기 C-형상 부분으로부터 분리될 때 개구부를 포함하는, 기판 지지부를 동작시키는 방법.
  18. 제 15 항에 있어서,
    상기 C-형상 부분과 상기 브리지 부분 사이의 조인트들은 상기 C-형상 부분 및 상기 브리지 부분의 각각의 내측 에지 및 외측 에지와 직각을 형성하는, 기판 지지부를 동작시키는 방법.
KR1020170020448A 2016-02-16 2017-02-15 웨이퍼 이송을 위한 웨이퍼 리프트 링 시스템 KR102630917B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662295808P 2016-02-16 2016-02-16
US62/295,808 2016-02-16
US15/427,528 2017-02-08
US15/427,528 US10438833B2 (en) 2016-02-16 2017-02-08 Wafer lift ring system for wafer transfer

Publications (2)

Publication Number Publication Date
KR20170096596A true KR20170096596A (ko) 2017-08-24
KR102630917B1 KR102630917B1 (ko) 2024-01-29

Family

ID=59562272

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170020448A KR102630917B1 (ko) 2016-02-16 2017-02-15 웨이퍼 이송을 위한 웨이퍼 리프트 링 시스템

Country Status (4)

Country Link
US (1) US10438833B2 (ko)
KR (1) KR102630917B1 (ko)
CN (1) CN107086196A (ko)
TW (1) TWI736584B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190103591A (ko) * 2018-02-28 2019-09-05 주성엔지니어링(주) 반도체 기판 처리장치
KR20220055902A (ko) * 2020-10-27 2022-05-04 세메스 주식회사 기판 처리 장치 및 기판 반송 방법

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
CN113579992A (zh) 2014-10-17 2021-11-02 应用材料公司 使用加成制造工艺的具复合材料特性的cmp衬垫建构
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US9776361B2 (en) 2014-10-17 2017-10-03 Applied Materials, Inc. Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
WO2017131927A1 (en) 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US10204795B2 (en) 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US11011353B2 (en) * 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10763081B2 (en) 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
CN109841536A (zh) * 2017-11-29 2019-06-04 长鑫存储技术有限公司 边缘补偿系统、晶圆载台系统及晶圆安装方法
WO2019112903A1 (en) * 2017-12-05 2019-06-13 Lam Research Corporation System and method for edge ring wear compensation
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
KR101987576B1 (ko) * 2018-01-24 2019-06-10 주식회사 기가레인 승강하는 유도부와 연동하는 연동부를 포함하는 기판 처리 장치
JP7214021B2 (ja) * 2018-03-29 2023-01-27 東京エレクトロン株式会社 プラズマ処理装置、及び被処理体の搬送方法
JP7018801B2 (ja) * 2018-03-29 2022-02-14 東京エレクトロン株式会社 プラズマ処理装置、及び被処理体の搬送方法
CN110416046B (zh) * 2018-04-27 2022-03-11 中微半导体设备(上海)股份有限公司 一种极板间距可调容性耦合等离子体处理系统及其方法
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10347500B1 (en) 2018-06-04 2019-07-09 Applied Materials, Inc. Device fabrication via pulsed plasma
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
KR20210042171A (ko) 2018-09-04 2021-04-16 어플라이드 머티어리얼스, 인코포레이티드 진보한 폴리싱 패드들을 위한 제형들
KR102605121B1 (ko) * 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
US11279032B2 (en) 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
WO2020214327A1 (en) 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
US10964584B2 (en) 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
US11626305B2 (en) 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
US11370114B2 (en) 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
US12027397B2 (en) 2020-03-23 2024-07-02 Applied Materials, Inc Enclosure system shelf including alignment features
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
JP2023046899A (ja) * 2021-09-24 2023-04-05 東京エレクトロン株式会社 プラズマ処理装置及び処理方法
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
WO2024030307A1 (en) * 2022-08-03 2024-02-08 Lam Research Corporation System and method to maintain constant clamping pressure during chamber rebooting and power failure instances
CN115881506B (zh) * 2023-03-02 2023-06-27 深圳市新凯来技术有限公司 等离子体调节装置及半导体刻蚀设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001313329A (ja) * 2000-04-28 2001-11-09 Applied Materials Inc 半導体製造装置におけるウェハ支持装置
US20050082007A1 (en) * 2003-10-21 2005-04-21 Applied Materials, Inc. Mask etch processing apparatus
JP2008147650A (ja) * 2006-12-08 2008-06-26 Tes Co Ltd プラズマ処理装置
WO2014025637A1 (en) * 2012-08-06 2014-02-13 Nordson Corporation Apparatus and methods for handling workpieces of different sizes

Family Cites Families (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8004005A (nl) 1980-07-11 1982-02-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
US4431477A (en) 1983-07-05 1984-02-14 Matheson Gas Products, Inc. Plasma etching with nitrous oxide and fluoro compound gas mixture
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
EP0424299A3 (en) 1989-10-20 1991-08-28 International Business Machines Corporation Selective silicon nitride plasma etching
US6024826A (en) 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5329965A (en) 1993-07-30 1994-07-19 The Perkin-Elmer Corporation Hybrid valving system for varying fluid flow rate
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
JP3082624B2 (ja) * 1994-12-28 2000-08-28 住友金属工業株式会社 静電チャックの使用方法
US5605179A (en) 1995-03-17 1997-02-25 Insync Systems, Inc. Integrated gas panel
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5662143A (en) 1996-05-16 1997-09-02 Gasonics International Modular gas box system
US5744695A (en) 1997-01-10 1998-04-28 Sony Corporation Apparatus to check calibration of mass flow controllers
US6210593B1 (en) 1997-02-06 2001-04-03 Matsushita Electric Industrial Co., Ltd. Etching method and etching apparatus
US6376386B1 (en) 1997-02-25 2002-04-23 Fujitsu Limited Method of etching silicon nitride by a mixture of CH2 F2, CH3F or CHF3 and an inert gas
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
JP3586075B2 (ja) 1997-08-15 2004-11-10 忠弘 大見 圧力式流量制御装置
US6074959A (en) 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6060400A (en) 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
JP3830670B2 (ja) 1998-09-03 2006-10-04 三菱電機株式会社 半導体製造装置
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US7150994B2 (en) 1999-03-03 2006-12-19 Symyx Technologies, Inc. Parallel flow process optimization reactor
EP1096351A4 (en) 1999-04-16 2004-12-15 Fujikin Kk FLUID SUPPLY DEVICE OF THE PARALLEL BYPASS TYPE, AND METHOD AND DEVICE FOR CONTROLLING THE FLOW OF A VARIABLE FLUID TYPE PRESSURE SYSTEM USED IN SAID DEVICE
US6210482B1 (en) 1999-04-22 2001-04-03 Fujikin Incorporated Apparatus for feeding gases for use in semiconductor manufacturing
JP4819267B2 (ja) 1999-08-17 2011-11-24 東京エレクトロン株式会社 パルスプラズマ処理方法および装置
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
EP1265700B1 (en) 2000-03-07 2005-01-19 Symyx Technologies, Inc. Parallel flow process optimization reactor
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP2002110570A (ja) 2000-10-04 2002-04-12 Asm Japan Kk 半導体製造装置用ガスラインシステム
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3388228B2 (ja) 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
DE10112985B4 (de) 2001-03-17 2006-03-02 Tracto-Technik Gmbh Schlagbohrkopf und ein Verfahren zum Horizontalbohren mit einem Schlaggerät
US6962879B2 (en) 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
DE10216703A1 (de) 2001-04-20 2002-11-28 Festo Corp Hauppauge Stapelbare Ventilverteileranordnung
US7203429B2 (en) 2001-05-07 2007-04-10 Tyco Telecommunications (Us) Inc. Optical transmission system using optical signal processing in terminals for improved system performance
JP4209688B2 (ja) 2001-05-24 2009-01-14 セレリティ・インコーポレーテッド 決定された比率のプロセス流体を供給する方法および装置
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
TW200626020A (en) * 2001-12-13 2006-07-16 Tokyo Electron Ltd Ring mechanism, and plasma processor using the ring mechanism
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
JP3856730B2 (ja) 2002-06-03 2006-12-13 東京エレクトロン株式会社 流量制御装置を備えたガス供給設備からのチャンバーへのガス分流供給方法。
US7136767B2 (en) 2002-06-24 2006-11-14 Mks Instruments, Inc. Apparatus and method for calibration of mass flow controller
US6810308B2 (en) 2002-06-24 2004-10-26 Mks Instruments, Inc. Apparatus and method for mass flow controller with network access to diagnostics
US7552015B2 (en) 2002-06-24 2009-06-23 Mks Instruments, Inc. Apparatus and method for displaying mass flow controller pressure
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US7486806B2 (en) * 2002-09-13 2009-02-03 Panasonic Corporation Iris encoding method, individual authentication method, iris code registration device, iris authentication device, and iris authentication program
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
JP4502590B2 (ja) 2002-11-15 2010-07-14 株式会社ルネサステクノロジ 半導体製造装置
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
US20040112540A1 (en) 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040163601A1 (en) 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
US20040168719A1 (en) 2003-02-28 2004-09-02 Masahiro Nambu System for dividing gas flow
US6907904B2 (en) 2003-03-03 2005-06-21 Redwood Microsystems, Inc. Fluid delivery system and mounting panel therefor
CN100454200C (zh) 2003-06-09 2009-01-21 喜开理株式会社 相对压力控制系统和相对流量控制系统
JP4195837B2 (ja) 2003-06-20 2008-12-17 東京エレクトロン株式会社 ガス分流供給装置及びガス分流供給方法
US7137400B2 (en) 2003-09-30 2006-11-21 Agere Systems Inc. Bypass loop gas flow calibration
JP4399227B2 (ja) 2003-10-06 2010-01-13 株式会社フジキン チャンバの内圧制御装置及び内圧被制御式チャンバ
US6869348B1 (en) * 2003-10-07 2005-03-22 Strasbaugh Retaining ring for wafer carriers
US7129171B2 (en) 2003-10-14 2006-10-31 Lam Research Corporation Selective oxygen-free etching process for barrier materials
US20050133166A1 (en) * 2003-12-19 2005-06-23 Applied Materials, Inc. Tuned potential pedestal for mask etch processing apparatus
DE102004002131B4 (de) 2004-01-15 2006-04-13 Danfoss Compressors Gmbh Kühlaggregat und Kühlmöbel
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7072743B2 (en) 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7412986B2 (en) 2004-07-09 2008-08-19 Celerity, Inc. Method and system for flow measurement and validation of a mass flow controller
US7338907B2 (en) 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7621290B2 (en) 2005-04-21 2009-11-24 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using antisymmetric optimal control
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
JP4895167B2 (ja) 2006-01-31 2012-03-14 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法
JP4788920B2 (ja) 2006-03-20 2011-10-05 日立金属株式会社 質量流量制御装置、その検定方法及び半導体製造装置
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US8997791B2 (en) 2006-04-14 2015-04-07 Mks Instruments, Inc. Multiple-channel flow ratio controller
JP4814706B2 (ja) 2006-06-27 2011-11-16 株式会社フジキン 流量比可変型流体供給装置
WO2008023711A1 (fr) 2006-08-23 2008-02-28 Horiba Stec, Co., Ltd. Appareil à tableau de distribution de gaz intégré
US7757541B1 (en) 2006-09-13 2010-07-20 Pivotal Systems Corporation Techniques for calibration of gas flows
US7309646B1 (en) 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
WO2008052168A2 (en) 2006-10-26 2008-05-02 Symyx Technologies, Inc. High pressure parallel fixed bed reactor and method
US7964818B2 (en) * 2006-10-30 2011-06-21 Applied Materials, Inc. Method and apparatus for photomask etching
US9405298B2 (en) 2006-11-20 2016-08-02 Applied Materials, Inc. System and method to divide fluid flow in a predetermined ratio
US7758698B2 (en) 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
JP5002602B2 (ja) 2006-12-05 2012-08-15 株式会社堀場エステック 流量制御装置の検定方法
JP4642115B2 (ja) 2006-12-12 2011-03-02 株式会社堀場エステック 流量比率制御装置
SE530902C2 (sv) 2006-12-19 2008-10-14 Alfa Laval Corp Ab Sektionerad flödesanordning och förfarande för att reglera temperaturen i denna
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US7775236B2 (en) 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7846497B2 (en) 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8074677B2 (en) 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7988813B2 (en) 2007-03-12 2011-08-02 Tokyo Electron Limited Dynamic control of process chemistry for improved within-substrate process uniformity
JP5317424B2 (ja) 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US8202393B2 (en) 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
US7832354B2 (en) 2007-09-05 2010-11-16 Applied Materials, Inc. Cathode liner with wafer edge gas injection in a plasma reactor chamber
US8334015B2 (en) 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
JP5459895B2 (ja) 2007-10-15 2014-04-02 Ckd株式会社 ガス分流供給ユニット
JP5231441B2 (ja) 2007-10-31 2013-07-10 国立大学法人東北大学 プラズマ処理システム及びプラズマ処理方法
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US8137463B2 (en) 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
WO2009084422A1 (ja) 2007-12-27 2009-07-09 Horiba Stec, Co., Ltd. 流量比率制御装置
US8037894B1 (en) 2007-12-27 2011-10-18 Intermolecular, Inc. Maintaining flow rate of a fluid
JP2011510404A (ja) 2008-01-18 2011-03-31 ピヴォタル システムズ コーポレーション ガスの流量を決定する方法、ガス・フロー・コントローラの動作を決定する方法、ガスフローコントロールシステムの一部の容量を決定する方法、及びガス搬送システム
JP2009188173A (ja) 2008-02-06 2009-08-20 Tokyo Electron Ltd 基板処理方法及び基板処理装置
SG188140A1 (en) 2008-02-08 2013-03-28 Lam Res Corp Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
US8969151B2 (en) 2008-02-29 2015-03-03 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing resistance altering techniques
JP5608157B2 (ja) 2008-03-21 2014-10-15 アプライド マテリアルズ インコーポレイテッド 基板エッチングシステム及びプロセスの方法及び装置
JP2010034416A (ja) 2008-07-30 2010-02-12 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
RU2475803C2 (ru) 2008-08-13 2013-02-20 Шелл Интернэшнл Рисерч Маатсхаппий Б.В. Способ регулирования расхода газа между множеством потоков газа
US8089046B2 (en) 2008-09-19 2012-01-03 Applied Materials, Inc. Method and apparatus for calibrating mass flow controllers
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
JP5216632B2 (ja) 2009-03-03 2013-06-19 東京エレクトロン株式会社 流体制御装置
US8409995B2 (en) 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
WO2011021539A1 (ja) 2009-08-20 2011-02-24 東京エレクトロン株式会社 プラズマ処理装置とプラズマ処理方法
CN102754201A (zh) 2009-10-26 2012-10-24 苏威氟有限公司 用于生产tft基质的蚀刻方法
US20120244715A1 (en) 2009-12-02 2012-09-27 Xactix, Inc. High-selectivity etching system and method
US9127361B2 (en) 2009-12-07 2015-09-08 Mks Instruments, Inc. Methods of and apparatus for controlling pressure in multiple zones of a process tool
JP5650548B2 (ja) 2009-12-25 2015-01-07 株式会社堀場エステック マスフローコントローラシステム
JP5466756B2 (ja) 2010-03-04 2014-04-09 東京エレクトロン株式会社 プラズマエッチング方法、半導体デバイスの製造方法、及びプラズマエッチング装置
US20110265883A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
US9000114B2 (en) 2010-08-02 2015-04-07 Basell Polyolefine Gmbh Process and apparatus for mixing and splitting fluid streams
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US8905074B2 (en) 2010-10-22 2014-12-09 Applied Materials, Inc. Apparatus for controlling gas distribution using orifice ratio conductance control
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
JP5855921B2 (ja) 2010-12-17 2016-02-09 株式会社堀場エステック ガス濃度調整装置
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9059678B2 (en) 2011-04-28 2015-06-16 Lam Research Corporation TCCT match circuit for plasma etch chambers
US8746284B2 (en) 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
WO2012166264A2 (en) 2011-05-31 2012-12-06 Applied Materials, Inc. Dynamic ion radical sieve and ion radical aperture for an inductively coupled plasma (icp) reactor
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5377587B2 (ja) 2011-07-06 2013-12-25 東京エレクトロン株式会社 アンテナ、プラズマ処理装置及びプラズマ処理方法
JP5739261B2 (ja) 2011-07-28 2015-06-24 株式会社堀場エステック ガス供給システム
US8728239B2 (en) 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8849466B2 (en) 2011-10-04 2014-09-30 Mks Instruments, Inc. Method of and apparatus for multiple channel flow ratio controller system
US20130104996A1 (en) 2011-10-26 2013-05-02 Applied Materials, Inc. Method for balancing gas flow supplying multiple cvd reactors
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
JP5932599B2 (ja) 2011-10-31 2016-06-08 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US8671733B2 (en) 2011-12-13 2014-03-18 Intermolecular, Inc. Calibration procedure considering gas solubility
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
GB2514525B (en) * 2012-01-25 2019-11-13 Katyal Amit Horizontal multi stage tray distillation
US9804609B2 (en) 2012-02-22 2017-10-31 Agilent Technologies, Inc. Mass flow controllers and methods for auto-zeroing flow sensor without shutting off a mass flow controller
JP5881467B2 (ja) 2012-02-29 2016-03-09 株式会社フジキン ガス分流供給装置及びこれを用いたガス分流供給方法
US9301383B2 (en) 2012-03-30 2016-03-29 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface wave plasma (SWP) processing method and apparatus
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
JP5616416B2 (ja) 2012-11-02 2014-10-29 株式会社フジキン 集積型ガス供給装置
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US20140144471A1 (en) 2012-11-28 2014-05-29 Intermolecular, Inc. Contamination Control, Rinsing, and Purging Methods to Extend the Life of Components within Combinatorial Processing Systems
US9090972B2 (en) 2012-12-31 2015-07-28 Lam Research Corporation Gas supply systems for substrate processing chambers and methods therefor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10008368B2 (en) 2013-03-12 2018-06-26 Applied Materials, Inc. Multi-zone gas injection assembly with azimuthal and radial distribution control
US20140273460A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Passive control for through silicon via tilt in icp chamber
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20150010381A1 (en) * 2013-07-08 2015-01-08 United Microelectronics Corp. Wafer processing chamber and method for transferring wafer in the same
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
JP6193679B2 (ja) 2013-08-30 2017-09-06 株式会社フジキン ガス分流供給装置及びガス分流供給方法
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
US20150184287A1 (en) 2013-12-26 2015-07-02 Intermolecular, Inc. Systems and Methods for Parallel Combinatorial Vapor Deposition Processing
US9580360B2 (en) 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
JP6204869B2 (ja) 2014-04-09 2017-09-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20150340209A1 (en) 2014-05-20 2015-11-26 Micron Technology, Inc. Focus ring replacement method for a plasma reactor, and associated systems and methods
US9034771B1 (en) * 2014-05-23 2015-05-19 Applied Materials, Inc. Cooling pedestal for dicing tape thermal management during plasma dicing
US9318343B2 (en) 2014-06-11 2016-04-19 Tokyo Electron Limited Method to improve etch selectivity during silicon nitride spacer etch
SG11201703129YA (en) 2014-10-17 2017-05-30 Lam Res Corp Gas supply delivery arrangement including a gas splitter for tunable gas flow control
US20160181116A1 (en) 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
TW201634738A (zh) 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US9640409B1 (en) 2016-02-02 2017-05-02 Lam Research Corporation Self-limited planarization of hardmask
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001313329A (ja) * 2000-04-28 2001-11-09 Applied Materials Inc 半導体製造装置におけるウェハ支持装置
US20050082007A1 (en) * 2003-10-21 2005-04-21 Applied Materials, Inc. Mask etch processing apparatus
JP2008147650A (ja) * 2006-12-08 2008-06-26 Tes Co Ltd プラズマ処理装置
WO2014025637A1 (en) * 2012-08-06 2014-02-13 Nordson Corporation Apparatus and methods for handling workpieces of different sizes

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190103591A (ko) * 2018-02-28 2019-09-05 주성엔지니어링(주) 반도체 기판 처리장치
KR20220055902A (ko) * 2020-10-27 2022-05-04 세메스 주식회사 기판 처리 장치 및 기판 반송 방법
CN114496699A (zh) * 2020-10-27 2022-05-13 细美事有限公司 基板处理设备和基板传送方法

Also Published As

Publication number Publication date
TWI736584B (zh) 2021-08-21
TW201740501A (zh) 2017-11-16
US20170236743A1 (en) 2017-08-17
KR102630917B1 (ko) 2024-01-29
US10438833B2 (en) 2019-10-08
CN107086196A (zh) 2017-08-22

Similar Documents

Publication Publication Date Title
KR102630917B1 (ko) 웨이퍼 이송을 위한 웨이퍼 리프트 링 시스템
US11342163B2 (en) Variable depth edge ring for etch uniformity control
US10699878B2 (en) Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US12027410B2 (en) Edge ring arrangement with moveable edge rings
US9831110B2 (en) Vision-based wafer notch position measurement
US11424103B2 (en) Control of on-wafer cd uniformity with movable edge ring and gas injection adjustment
KR102406081B1 (ko) 웨이퍼 내 프로세스 균일도를 제어하기 위한 방법 및 장치
KR102503328B1 (ko) 다운스트림 반응기에서 에지 에칭 레이트 제어를 위한 조정가능한 측면 가스 플레넘
KR102490237B1 (ko) 기울어진 한정 링들을 갖는 플라즈마 프로세싱 시스템들 및 구조체들
KR102662003B1 (ko) 영상 기반 웨이퍼 노치 위치 측정
KR20170113014A (ko) 에지 링 특징화를 수행하기 위한 시스템들 및 방법들
KR20200031523A (ko) 자동-캘리브레이팅된 프로세스 독립적 피드포워드 제어
JP2019532496A (ja) 低圧リフトピンキャビティハードウェア
US20220305601A1 (en) Use of vacuum during transfer of substrates
KR20240091314A (ko) 핀 리프트 하드 정지부

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant