KR20060087451A - 첨단 솔레노이드용 최소 d.c. 코일들을 가진 플라즈마반응기 및 플라즈마 균일성 및 장치 손상 감소용 미러필드들 - Google Patents

첨단 솔레노이드용 최소 d.c. 코일들을 가진 플라즈마반응기 및 플라즈마 균일성 및 장치 손상 감소용 미러필드들 Download PDF

Info

Publication number
KR20060087451A
KR20060087451A KR1020060008686A KR20060008686A KR20060087451A KR 20060087451 A KR20060087451 A KR 20060087451A KR 1020060008686 A KR1020060008686 A KR 1020060008686A KR 20060008686 A KR20060008686 A KR 20060008686A KR 20060087451 A KR20060087451 A KR 20060087451A
Authority
KR
South Korea
Prior art keywords
plasma
support surface
workpiece support
magnetic field
electromagnet
Prior art date
Application number
KR1020060008686A
Other languages
English (en)
Other versions
KR100853577B1 (ko
Inventor
다니엘 제이. 호프만
로저 에이. 린드리
마이클 씨. 쿠트니
마틴 제이. 사리나스
하미드 에프. 타바소리
케이지 호리오카
더글라스 에이. 주니어. 부치버거
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060087451A publication Critical patent/KR20060087451A/ko
Application granted granted Critical
Publication of KR100853577B1 publication Critical patent/KR100853577B1/ko

Links

Images

Classifications

    • EFIXED CONSTRUCTIONS
    • E01CONSTRUCTION OF ROADS, RAILWAYS, OR BRIDGES
    • E01CCONSTRUCTION OF, OR SURFACES FOR, ROADS, SPORTS GROUNDS, OR THE LIKE; MACHINES OR AUXILIARY TOOLS FOR CONSTRUCTION OR REPAIR
    • E01C19/00Machines, tools or auxiliary devices for preparing or distributing paving materials, for working the placed materials, or for forming, consolidating, or finishing the paving
    • E01C19/12Machines, tools or auxiliary devices for preparing or distributing paving materials, for working the placed materials, or for forming, consolidating, or finishing the paving for distributing granular or liquid materials
    • E01C19/16Machines, tools or auxiliary devices for preparing or distributing paving materials, for working the placed materials, or for forming, consolidating, or finishing the paving for distributing granular or liquid materials for applying or spreading liquid materials, e.g. bitumen slurries
    • E01C19/17Application by spraying or throwing
    • E01C19/178Elements or attachments for spreading-out or smoothing-down the applied material, e.g. brushes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • EFIXED CONSTRUCTIONS
    • E01CONSTRUCTION OF ROADS, RAILWAYS, OR BRIDGES
    • E01CCONSTRUCTION OF, OR SURFACES FOR, ROADS, SPORTS GROUNDS, OR THE LIKE; MACHINES OR AUXILIARY TOOLS FOR CONSTRUCTION OR REPAIR
    • E01C11/00Details of pavings
    • E01C11/24Methods or arrangements for preventing slipperiness or protecting against influences of the weather

Abstract

워크피스를 처리하는 플라즈마 반응기는 측벽 및 천장에 의하여 한정된 진공 챔버, 및 상기 챔버내에서 워크피스 지지면을 가지고 상기 천장에 대면하며 캐소드 전극을 포함하는 워크피스 지지 페데스탈을 포함한다. RF 전력 생성기는 캐소드 전극에 접속된다. 플라즈마 분배는 워크피스 지지면위에 있는 제 1평면에 위치한 외부 환상 내부 전자석, 워크피스 지지면위에 놓인 제 2평면에 위치하며 내부 전자석보다 큰 직경을 가진 외부 환상 외부 전자석, 및 워크피스 지지면아래에 있는 제 3평면에 위치한 외부 환상 하부 전자석에 의하여 제어된다. D.C. 전류 공급원들은 내부, 외부, 하부 전자석들의 각각의 전자석들에 접속된다.

Description

첨단 솔레노이드용 최소 D.C. 코일들을 가진 플라즈마 반응기 및 플라즈마 균일성 및 장치 손상 감소용 미러 필드들{PLASMA REACTOR WITH MINIMAL D.C. COILS FOR CUSP, SOLENOID AND MIRROR FIELDS FOR PLASMA UNIFORMITY AND DEVICE DAMAGE REDUCTION}
도 1A, 도 1B 및 도 1C는 플라즈마 이온 균일성을 제어하기 위하여 오버헤드 VHF 전극 및 오버헤드 코일들을 가진 플라즈마 반응기를 도시한 도면.
도 2는 도 1의 오버헤드 코일들을 제어하는 전형적인 장치를 도시한 도면.
도 3A 및 도 3B는 도 1의 오버헤드 코일들의 자기장에 대한 그래픽 표현을 도시하며 도 3C는 동일한 자기장의 공간 표현을 도시한 도면.
도 4A, 도 4B, 도 4C 및 도 4D는 도 1의 반응기의 다양한 동작모드들에 대한 방사상 위치(수직축)의 함수로서 웨이퍼 표면상에서의 에칭비(수직축)에 대한 그래프들.
도 5A, 5B, 5C, 및 5D는 도 1의 반응기의 추가 동작모드에 대한 방사상 위치(수평축)의 함수로서 웨이퍼 표면상의 에칭비(수직축)에 대한 그래프들.
도 6은 자기장의 함수로서 에칭비를 도시한 그래프.
도 7 및 도 8은 MERIE 자석들을 가진 도 1A의 반응기를 도시한 도면.
도 9는 도 1A의 반응기를 동작시키는 방법을 도시한 도면.
도 10은 도 1A의 반응기내의 웨이퍼 표면상의 방사상 위치에 대한 함수들로서 자기압력 및 이온 또는 전자밀도의 비교예를 기술한 그래프.
도 11은 코일 전류의 함수로서 에칭비 비균일성을 도시한 그래프.
도 12는 도 11의 예에서 제로 코일 전류에서의 방사상 이온 분포를 도시한 도면.
도 13A 및 도 13B는 도 11의 예에서 약 11 암페어의 코일 전류에서의 측정 및 예측된 에칭비 분포들을 비교한 도면.
도 14A 및 도 14B는 도 11의 예에서 약 35 암페어의 코일 전류에서의 측정 및 예측된 에칭비 분포들을 비교한 도면.
도 15는 도 1A의 반응기를 동작시키는 다른 방법을 도시한 도면.
도 16은 도 1A에 대응하는 반응기에서 획득된 자기장 분포를 도시한 도면.
도 17은 웨이퍼 평면에서 도 16의 자기장의 제곱 경사도를 도시한 도면.
도 18은 도 1A에대응하는 반응기에서 획득된 다른 자기장 분포를 도시한 도면.
도 19는 웨이퍼 평면에서 도 18의 자기장의 제곱 경사도를 도시한 도면.
도 20은 도 1A에 대응하는 반응기에서 획득된 또 다른 자기장 분포를 도시한 도면.
도 21은 웨이퍼 평면에서 도 20의 자기장의 제곱 경사도를 도시한 도면.
도 22는 도 1A의 반응기를 동작시키는 또 다른 방법을 도시한 도면.
도 23은 도 1A의 반응기를 제어하는 전형적인 마이크로제어기 동작을 도시한 도면.
도 24은 도 1A의 반응기에서 획득된 특징들을 포함하는 플라즈마 반응기를 도시한 도면.
도 25는 도 1A의 반응기에서 획득된 특징들을 포함하는 다른 플라즈마 반응기를 도시한 도면.
도 26, 도 27, 도 28, 도 29A, 및 도 29B는 도 1A, 도 24 및 도 25의 반응기들에 대한 가스 분배 플레이트를 도시한 도면.
도 30 및 도 31은 도 26의 가스 분배 플레이트와 유사한 가스 분배 플레이트에서 열적 제어 특징들을 기술하는 도면.
도 32 및 도 33은 이중 영역 가스 흐름 제어를 가진, 도 26에 대응하는 가스 분배 플레이트를 기술한 도면.
도 34는 이중 영역 가스 분배 플레이트를 가진, 도 1A에 대응하는 플라즈마 반응기를 기술한 도면.
도 35 및 도 36은 전형적인 이중 영역 가스 흐름 제어기들을 기술한 도면.
도 37은 플라즈마 이온 분배를 제어하는 3개의 오버헤드 코일들을 가진, 도 34에 대응하는 플라즈마 반응기를 기술한 도면.
도 38 및 도 39는 중앙에서 낮거나 또는 중앙에서 높은 가스 흐름 분배들을 생성하기 위한 도 26의 가스 분배 플레이트의 다른 가스 주입 홀 패턴들을 기술한 도면.
도 40, 도 41, 도 42 및 도 43은 플라즈마 이온 분배를 제어하기 위한 오버 헤드 코일들의 다른 구조들을 도시한 도면.
도 44 및 도 45는 오버헤드 코일들이 도 45에 최상으로 도시된 첨단형 자기장을 생성하기 위하여 반응기 챔버 위 및 아래의 상부 및 하부 자기 코일들에 의하여 대체되는, 도 1A에 대응하는 플라즈마 반응기를 기술한 도면.
도 46은 도 44의 상부 및 하부 코일들이 도 45의 첨단형 자기장을 생성하는 방식으로 동작되는 구성가능 자기장(CMF) 코일들에 의하여 대체될 수 있는 방법을 기술한 도면.
도 47A-도47D는 적정 자기장 구성을 생성하기 위하여 도 46의 CMF 코일들에 대한 동작모드를 기술한 도면.
도 48, 도 49 및 도 50은 플라즈마 이온들이 반응기의 펌핑 고리에 입력되는 것을 방지하기 위한 도 1A의 반응기의 환상 개구 플레이트를 도시한 도면.
도 51은 직각형상 워크피스를 처리하기 위한 도 1A의 반응기의 직사각형 버전을 기술한 도면.
도 52는 신축가능 워크피스 지지부 페데스탈을 가진, 도 1A에 대응하는 반응기를 도시한 도면.
도 53A 및 도 53B는 플라즈마 이온 분배를 제어하기 위하여 두개의 오버헤드 코일들 및 하나의 하부 코일을 사용하는 다른 실시예들을 기술한 도면.
도 54는 본 발명의 일 실시예를 기술한 도면.
도 55A 내지 도 55C는 도 54의 반응기의 3가지 모드들에 대응하는 웨이퍼 평면에서의 두개의 자기장들을 도시한 도면.
도 56A 내지 도 56C는 도 55A 내지 도 55C에 각각 대응하는 웨이퍼 평면에서의 3개의 자기장들을 도시한 도면.
도 57A 및 도 57B는 도 54의 반응기의 첨단 모드 및 솔레노이드 모드에 대한 방사상 컴포넌트 및 축 컴포넌트의 분배들을 비교하는 그래프들.
도 58A 및 도 58B는 도 54의 솔레노이드 및 미러 모드들의 방사상 컴포넌트 및 축 컴포넌트를 비교하는 그래프들.
도 59는 도 54의 반응기의 다른 모드들에 대한 플라즈마 이온 방사상 분배(이온 포화 전류로부터 추론됨)에 대한 그래프.
도 60은 도 54의 반응기의 다른 자기장들 또는 모드들에 대한 반경함수로서 에칭비의 그래프.
도 61은 에칭비 불균일성 및 장치 손상에 의하여 다른 자기장들 또는 모드들을 특징지우는 테이블을 도시한 도면.
도 62는 3개의 자석들중 적어도 두개의 자석에 대한 최적 D.C. 코일 전류들을 결정하는 기본 프로세스를 기술한 도면.
도 63은 모든 3개의 자석들에 대한 D.C. 전류들을 결정하기 위한 도 62의 프로세스를 따르는 추가 프로세스를 기술한 도면.
도 64는 도 63의 프로세스의 대안 프로세스를 기술한 도면.
도 65는 하나의 자석을 사용하는 제 1탐색에 따른 다른 자기장 강도들에 대한 에칭비 방사상 분배를 도시한 그래프.
도 66은 다른 자석을 사용하는 제 2탐색에 따른 다른 자기장 강도들에 대한 에칭비 방사상 분배를 도시한 그래프.
도 67은 도 65 및 도 66의 분배들로 구성된 수학적 분배 함수를 도시한 도면.
도 68은 최적 전자석 D.C. 전류들을 결정하는 하나의 프로세스를 기술한 도면.
도 69는 최적 전자석 D.C. 전류들을 결정하는 다른 프로세스를 기술한 도면.
도 70은 최적 전자석 D.C. 전류들을 결정하는 또 다른 프로세스를 기술한 도면.
도 71A 내지 도 71E는 도 70의 프로세스의 연속 단계들에서 획득된 에칭비 분배들을 도시한 도면.
본 출원은 "자기 플라즈마 제어를 수행하는 용량성 결합 플라즈마 반응기"라는 명칭으로 2004년 5월 7일에 Daniel Hoffman등에 의하여 출원된 미국출원번호 제10/841,116호의 일부 계속 출원이며, 이 출원은 "자기 플라즈마 제어를 수행하는 용량성 결합 플라즈마 반응기"라는 명칭으로 2002년 7월 9일에 Daniel Hoffman에 의하여 출원된 미국출원번호 제10/192,271호의 분할출원이며, 이들 출원들은 본 바명의 양수인에게 양도되었다.
용량성 결합 플라즈마 반응기들은 높은 가로세로비들을 가진 반도체 마이크 로전자 구조들을 제조할때 사용된다. 이러한 구조들은 전형적으로 반도체 기판상에 형성된 하나 이상의 박막들을 토해 좁고 깊은 개구부들을 가진다. 용량성 결합 플라즈마 반응기들은 상기 장치들을 제조할때 유전체 에칭 공정들, 금속 에칭 공정들, 화학기상증착 등을 포함하는 다양한 형태의 공정들에서 사용된다. 이러한 반응기들은 포토리소그라피 마스크들을 제조할때 그리고 반도체 평판 디스플레이들을 제조할때 사용된다. 이러한 응용들은 적정 공정들을 강화하거나 또는 활성화하기 위하여 플라즈마 이온들에 따른다. 반도체 워크피스의 표면위의 플라즈마 이온들의 밀도는 공정 파라미터들에 영향을 미치며 높은 가로세로비 마이크로전자 구조들의 제조시에 특히 중요하다. 사실상, 높은 가로세로비 마이크로전자 집적회로들을 제조할때의 문제점은 워크피스 표면 전반에 걸친 플라즈마 이온 밀도의 비균일성들이 증착비들의 비균일 에칭비들로 인하여 처리 결함을 유발한다는 점이다.
전형적인 용량성 결합 반응기는 반응기 챔버내의 웨이퍼 지지 페데스탈 및 웨이퍼 지지부위에 있는 천장을 가진다. 천장은 처리가스를 챔버내로 분사하는 가스 분배 플레이트를 포함할 수 있다. RF 전력 소스는 웨이퍼 지지부위에 플라즈마를 충돌시키고 유지하기 위하여 웨이퍼 지지부 및 천장 또는 벽에 공급된다. 챔버는 일반적으로 실린더형이며, 천장 및 웨이저 지지부는 원형 또는 동축형이며, 실린더형 챔버는 균일한 처리를 강화한다. 그럼에도 불구하고, 이러한 반응기들은 비균일 플라즈마 밀도 분포들을 가진다. 전형적으로, 플라즈마 이온들의 방사상 밀도 분포는 웨이퍼 지지부의 중심위에서 높고 주변 근처에서 낮으며, 이는 중요한 문제이다. 웨이퍼 또는 워크피스 표면에서의 처리 균일성을 개선하고 상기 문제점 을 적어도 부분적으로 극복하기 위하여 플라즈마 이온 밀도 분포를 제어하기 위한 다양한 방법들이 사용되었다.
다양한 방법들중 한 방법은 반응기 챔버의 측면 둘레에 원주로 이격되어 있는 자기 코일들의 세트를 제공하는 것이며, 이러한 코일 모두는 챔버과 대면하고 이다. 비교적 낮은 주파수 사인파 전류는 각각의 코일에 공급되며, 인접 코일들의 사인파 전류들은 웨이퍼 지지부위에 저속 회전 자기장을 발생시키기 위하여 위상이 오프셋된다. 이러한 특징은 웨이퍼 지지부 위의 플라즈마 이온 밀도의 방사상 분포를 개선하는 경향이 있다. 이러한 방법이 반응성 이온 에칭시에 사용될때, 반응성 이온 에칭은 자기적으로 강화된 반응성 이온 에칭(MERIE)라 칭한다. 이러한 방법은 임의의 제안사항들을 가진다. 특히, 자기장의 강도는 자기장의 강도와 연관된 반도체 워크피스상의 마이크로전자 구조들에 대한 장치 손상을 방지하기 위하여 제한될 필요성이 있을 수 있다. 강도는 자기장 강도의 변화율과 연관된 챔버 아킹을 방지하기 위하여 제한되어야 한다. 결과로서, 전체 MERIE 자기장은 실질적으로 감소될 필요가 있을 수 있으며 이에 따라 플라즈마 이온 밀도 균일성 제어시에 실질적인 제한들에 직면할 수 있다.
다른 방법은 구성가능 자기장(CMF)라 칭하며 앞서 언급된 동일한 원주 이격된 코일들을 사용한다. 그러나, CMF에서, 코일들은 한 측면에서 다른 측면으로 워크피스 지지부의 평면에 걸쳐 확장하는 자기장을 제공하도록 동작된다. 더욱이, 자기장은 방사형인 시간평균 자기장을 생성하기 위하여 웨이퍼 지지부의 축에 대하여 회전한다. 이는 인접 코일들의 한 쌍에 한 D.C. 전류를 공급하고 인접 코일들 의 반대 쌍에 다른 (또는 반대) D.C. 전류를 공급함으로서 4개의 나란한 코일들을 가진 반응기의 경우에 모두 달성된다. 코일들은 앞서 언급된 바와같이 자기장이 회전하도록 상기 패턴을 회전시키기 위하여 스위칭된다. 이러한 방법은 CMF 자기장들의 갑작스러운 스위칭으로 인한 챔버 또는 웨이퍼 아킹 문제들에 약하며 이에 따라 자기장 강도는 제한되어야 한다. 결과로서, 일부 응용들에서, 자기장은 반응기에 의하여 생성된 플라즈마 이온 밀도 비균일성들을 보상하기에 충분하지 않을 수 있다.
본 발명의 목적은 플라즈마 이온 밀도 분포 비균일성들을 더 효율적으로 보상하고 자기장의 시간변동이 미세하게 일어나거나 또는 일어나지 않는 방법을 제공하는데 있다.
워크피스를 처리하기 위한 플라즈마 반응기는 측벽 및 천장에 의하여 한정된 진공챔버, 및 챔버내에서 워크피스 지지부 표면을 가지고 천장과 대면하며 캐소드 전극을 가지는 워크피스 지지부 페데스탈을 포함한다. RF 전력 생성기는 캐소드 전극에 결합된다. 플라즈마 분포는 워크피스 지지부 표면위에 있는 제 1평면의 외부 환상 내부 전자석, 워크피스 지지부 표면위에 있으며 내부 전자석보다 큰 직경을 가진 제 2평면의 외부 환상 외부 전자석, 및 워크피스 지지부 표면 아래에 있는 제 3평면의 외부 환상 하부 전자석에 의하여 제어된다. D.C. 전류 공급원들은 내부, 외부 및 하부 전자석들의 각각의 전자석들에 접속된다. 워크피스 지지부 페데 스탈 및 내부, 외부 및 바닥 자석들은 일반적으로 동축일 수 있다. 일 실시예에서, 제 1평면은 제 2평면위에 배치되며, 제 1 및 제 2 평면들은 제 3평면위에 매치되며, 제 1, 제 2 및 제 3 평면들은 워크피스 지지부 표면과 평행하다.
반응기는 내부, 외부 및 바닥 D.C. 전류 공급원들로부터 D.C. 전류들을 제어하는 프로세서를 포함할 수 있다. 프로세서는 3가지 모드들, 즉
D.C. 전류들이 워크피스 지지부 표면에서 동일한 그리고 반대 자기장들을 생성하기 위하여 내부 및 외부 전자석들중 하나와 바닥 전자석을 야기하는 첨단(cusp) 모드;
D.C. 전류가 워크피스 지지부 표면에서 유사한 자기장들을 생성하기 위하여 외부 및 외부 전자석들중 하나와 바닥 전자석을 야기하는 미러 모드; 및
워크피스 지지부 표면에서 방사상 및 축 자기장들을 생성하기 위하여 전자석들중 적어도 하나의 전자석을 야기하는 솔레노이드 모드에서 동작할 수 있다.
프로세서는 웨이퍼에서 축 자기장들을 제어(예컨대, 감소)함으로서 장치 손상 결과들을 개선하면서 웨이퍼에서 방사상 자기장들을 사용하여 플라즈마 이온 밀도 분포 균일성을 개선하기 위하여 3개의 자석에 대한 D.C. 전류들의 최상의 결합을 탐색하도록 프로그래밍될 수 있다.
특정 플라즈마 반응기에 의하여 배열된 플라즈마 이온 밀도 분배는 챔버 압력, 가스 혼합물, 가스 확산, 및 소스 전력 방사 패턴의 함수이다. 본 반응기에서, 이러한 분배는 처리 균일성을 개선하기 위하여 미리 결정된 선택된 또는 이상적인 분배를 근사화하도록 자기적으로 변경된다. 자기적으로 변경 또는 보정된 플 라즈마 이온 밀도 분배는 웨이퍼 또는 워크피스의 표면 전반에 걸친 처리 균일성이 개선되도록 한다. 이러한 목적을 위하여, 자기적으로 보정된 플라즈마 분배는 사용자에 의하여 결정된 필요성에 따라 균일하지 않을 수 있거나 또는 균일할 수 있다. 여기서는 평균 자기장 강도가 그것의 분배를 적정 분배로 변경시키기 위하여 플라즈마에 압력을 가하는 효율성이 개선될 수 있다는 것이 발견되었다. 이러한 현저하는 결과는 자기장의 경사도의 방사상 컴포넌트를 증가시킴으로서 상기 발견에 따라 달성될 수 있다. 방사상 방향은 실린더형 챔버의 대칭축에 대하여 이루어지는 것으로 이해된다. 따라서, 필요한 것은 다른 방향들에서 큰 방사상 경사도 및 작은 자기장 강도를 가지는 자기장 구성이다. 이러한 자기장은 실린더형 반응기 챔버의 축과 일치하는 대칭축을 가진 첨단형상이다. 첨단형 자기장을 생성하는 한 방법은 실린더형 챔버 위 및 아래에 코일들을 제공하고 이들 코일들을 통해 반대 방향들로 D.C. 전류들을 흐르게하는 것이다.
챔버 설계에 따르면, 웨이퍼 페데스탈 아래에 코일을 제공하는 것이 비현실적이며 이에 따라 제 1경우에 상부 코일은 이들 목적에 충분하다. 더욱이, 필요한 것은 첨단형 자기장이 주어진 플라즈마 반응기 챔버에 고유한 플라즈마 이온 분배("주위" 플라즈마 이온 분배)를 정확하게 제어 또는 변경하기 위하여 구성 또는 조절가능하게 하는 것이다. 다른 용량성 결합 반응기들에 제공된 플라즈마 이온 분배가 광범위하게 변화할 수 있기 때문에, 이러한 조절성은 임의의 경우에 필수적일 수 있다. 자기장 경사도의 방사상 컴포넌트는 주위 분배를 적정 분배로 변경하도록 선택된다. 예컨대, 만일 적정 분배가 균일한 분배이면, 공급된 자기장은 자기 장의 부재시에 반응기에 의하여 제공된 플라즈마 이온 밀도의 방사상 분배의 비균일성을 방지하도록 선택된다. 이러한 경우에, 예컨대 만일 반응기가 플라즈마 이온 밀도의 중심에서 높은 분배를 가지는 경향이 있으면, 자기장 경사도는 웨이퍼 지지부 페데스탈의 중심위에서 플라즈마의 밀도를 유지하고 이를 주변 근처에서 강화하여 균일성을 달성하도록 선택된다.
이러한 첨단형 자기장의 조절성은 제 1코일과 다른(예컨대 작은) 적어도 직경의 제 2 오버헤드 코일을 제공함으로서 본 발명의 발견에 따라 달성된다. 각각의 코일들에서의 D.C. 전류들은 임의의 적정 플라즈마 이온 분배를 근사화하기 위하여 임의의 주위 플라즈마 이온 분배를 가상으로 변경하는 높은 융통성 방식으로 첨단형 자기장의 구성을 허용하기 위하여 개별적으로 조절가능하다. 이러한 자기장 구성의 선택은 중심에서 높거나 또는 중심에서 낮은 플라즈마 이온 밀도 분배들을 수정하도록 설계될 수 있다.
실현될 수 있는 하나의 장점은 다음과 같이 두가지의 요소가 있으며, 즉 첨단형 자기장은 자기장 강도(앞서 언급됨)에 대한 큰 방사 경사도를 가지고 이에 따라 플라즈마에 교정 압력을 가할때 매우 효율적이며, 또한 시간에 대하여 자기장이 일정하기 때문에 아킹을 발생시키는 경향이 작으며 이에 따라 약간 강한 자기장이 필요할때 훨씬 큰 교정 용량 대신에 사용될 수 있다. 이후에 기술되는 바와같이, 상기 특징은 높은 챔버압력에서 큰 도움이 될 수 있다.
도 1A는 조절가능 첨단형 자기장을 제공할 수 있는 용량성 결합 플라즈마 바응기를 기술한다. 도 1A의 반응기는 실린더형 측벽(5), 가스 분배 플레이트인 천 장(10), 및 반도체 워크피스(20)를 고정하는 웨이퍼 지지 페데스탈(15)을 포함한다. 천장(10) 또는 가스 분배 플레이트는 그것이 애노드로서 사용되도록 도전성질을 가질 수 있거나 또는 그것에 부착된 애노드를 가질 수 있다. 천장(10) 또는 가스 분배 플레이트는 전형적으로 알루미늄으로 만들어지며 챔버와 대면하는 내부 표면에서 내부 가스 매니폴드 및 가스 주입 구멍들을 가진다. 처리가스 공급기(25)는 가스 분배 플레이트(10)에 처리가스를 공급한다. 진공 펌프(30는 반응기 챔버내의 압력을 제어한다. 반응기 챔버내에서 프라즈마를 점화하여 유지하는 플라즈마 소스 전력은 웨이퍼 지지 페데스탈이 RF 전극으로서 사용되도록 임피던스 매칭 회로(45)를 통해 웨이퍼 지지 페데스탈(15)에 접속된 RF 생성기(40)에 의하여 생성된다. 애노드(도체 재료로 형성된 천장(10)일 수 있는)는 카운터 전극으로서 사용되도록 RF 접지에 접속된다. 이러한 반응기는 전형적으로 중심에서 높은 비균일 플라즈마 이온 밀도 분배를 가지는 경향이 있다.
도 1B는 천장(10)이 도 1A에서 처럼 접지에 직접 접속되는 것보다 오히려 플라즈마 소스 전력을 공급하는 VHF 신호 생성기(12)에 RF 임피던스 매칭 엘리먼트(11)(단지 개략적으로 기술됨)를 통해 접속된다. 이러한 경우에, RF 생성기(40)는 반도체 웨이퍼 또는 워크피스(20)상의 RF 바이어스를 제어한다(RF 임피던스 매칭 엘리먼트(11)는 예컨대 동축 튜닝 스터브 또는 스트립 라인 회로와 같은 고정 튜닝 엘리먼트일 수 있다). 이러한 특징은 이후에 더 상세히 논의된다.
플라즈마 이온 밀도의 분배를 제어하기 위하여, 유도성 코일들의 세트는 천장(10)위에 제공된다. 도 1A의 경우에, 코일들의 세트는 실린더형 챔버와 동축인 내부 코일(60) 및 외부 코일(65)을 포함하며, 각각의 코일은 도체의 단일 권선을 구성한다. 권선들(60, 65)이 단일 선회인 것으로 도 1A에 기술되는 반면에, 권선들(60, 65)은 예컨대 도 1B에 도시된 바와같이 수직으로 배열된 다수의 선회들로 각각 구성될 수 있다. 또는, 도 1C에 도시된 바와같이, 권선들(60, 65)은 수직 및 수평으로 연장될 수 있다. 도 1A의 경우에, 내부 코일(60)은 외부 코일(65)보다 천장(10)위에 더 멀리 배치되다. 그러나, 다른 경우에, 이러한 구조는 역전될 수 있거나, 또는 두개의 코일(60, 65)은 천장(10)위에서 동일한 높이를 가질 수 있다.
도 1A 및 도 1B의 경우에, 제어기(90)는 코일들(60, 65)의 각각의 코일에 접속된 각각의 독립 D.C. 전력 공급기(70, 75)를 제어함으로서 각각의 오버헤드 코일들(60, 65)에 흐르는 전류들의 진폭 및 극성을 결정한다. 도 2에는 제어기(90)가 제어기(90)를 통해 전류를 공급하는 D.C. 전류 공급기(76)로부터 코일들(60, 65)로의 D.C. 전류들을 관리하는 경우가 기술되며, 제어기(90)는 코일들(60, 65)의 각각의 코일에 접속된다. 어느 한 경우에, 제어기(90)는 다른 극성들 및 진폭들을 가진 D.C. 전류가 코일들(60, 65)의 다른 코일들에 흐르도록 할 수 있다. 도 2의 경우에, 제어기(90)는 각각의 코일들(60, 65)에 공급도는 D.C. 전류를 조절하는 전위차계들(82a, 82b) 쌍 및 코일들960, 65)의 각각에 공급되는 D.C. 전류의 극성을 독립적으로 결정하는 갠지스형 스위치들(82a, 82b) 쌍을 포함한다. 마이크로프로세서(91)과 같은 프로그램가능 장치는 전위차계들(82a, 82b) 및 갠지스형 스위치들(84a, 84b)를 지능적으로 관리하기 위하여 제어기(90)에 포함될 수 있다.
내부 코일(60)이 외부 코일(65)보다 천장(10)위에서 더 높이 배치되는, 도 1A, 도 1B 및 도 1C에 기술된 두개의 코일들(60, 65)의 구조는 임의의 장점들을 제공한다. 특히, 어느 한 코일에 의하여 제공된 자기장 경사도의 방사상 컴포넌트는 적어도 개략적으로 코일의 반경에 비례하며 코일로부터의 축 변위에 역비례한다. 따라서, 내부 및 외부 코일들(60, 65)은 다른 크기들 및 변위들로 인하여 다른 역할을 수행할 것이다. 외부 코일(65)은 그것의 큰 반경으로 인하여 웨이퍼(20)의 전체 표면 전반에 걸쳐 있고 웨이퍼(20)에 근접해 있는 반면에, 내부 코일(60)은 웨이퍼 중심 근처에서 더 효율적일 수으며 자기장을 정밀하게 조절 또는 조작하기 위하여 트림 코일로서 간주될 수 있다. 다른 구조들은 다른 반경을 가지고 플라즈마로부터 다른 변위들로 배치된 다른 코일들에 의하여 다른 제어를 수행할 수 있다. 임의의 작용 예를 참조로하여 이후에서 논의되는 바와같이, 주위 플라즈마 이온 밀도 분배에 대한 다른 변화들은 각각의 오버헤드 코일들(60, 65)에서 흐르는 전류들의 다른 진폭을 제어하고 다른 오버헤드 코일들에 대한 전류 흐름의 다른 극성들 및 방향들을 선택함으로서 얻어진다.
도 3A는 도 1A의 경우에 웨이퍼(20)상의 반경 위치의 함수로서 내부 코일(60)에 의하여 생성된 자기장의 반경(실선) 및 방위각(점선) 성분들을 기술한다. 도 3B는 웨이퍼(20)의 반경위치의 함수로서 외부 코일(65)에 의하여 생성된 자기장의 반경(실선) 및 방위각(점선) 성분들을 기술한다. 도 3A 및 도 3B에 기술딘 데이터는 웨이퍼(20)가 200mm 직경인 구현에서 얻어지며, 내부 코일(60)은 12인치 직경을 가지고 플라즈마 위에서 약 10인치에 배치되며, 외부 코일(65)은 2인치 직경을 가지고 플라즈마 위에서 약 6인치에 배치된다. 도 3C는 내부 및 외부 오버헤드 코일들(60, 65)에 의하여 생성된 절반 첨단형 자기장 라인 패턴의 단순화된 도면이다.
도 2의 제어기(90)는 웨이퍼 표면에서 자기장을 제어하기 위하여 각각의 코일들(60, 65)에 공급된 전류를 변화시킬 수 있으며 플라즈마 이온 밀도의 공간 분배를 변화시킬 수 있다. 지금 기술된 것은 제어기(0)가 이들 자기장들을 변화시킴으로서 챔버내의 플라즈마 이온 분배를 개선하는 방법을 기술하기 위하여 코일들(60, 65)의 다른 코일들에 에 의하여 공급된 다른 자기장들의 효과들이다. 이하의 예들에서, 플라즈마 이온 분배보다 오히려 웨이퍼 표면 전반에 걸친 에칭비의 공간 분배는 직접 측정된다. 에칭비 분배는 플라즈마 이온 분배를 직접 변화시키며 이에 따라 에칭비 분배의 변화는 플라즈마 이온 분배의 변화를 반영한다.
도 4A, 도 4B, 도 4C 및 도 4D는 낮은 챔버 압력(30mT)에서 내부 코일(60)를 사용하여 달성된 현저한 효과를 기술한다. 도 4A는 웨이퍼(20)의 표면상의 위치(수평 X 및 Y 축들)의 함수로서 측정된 에칭비(수직 Z 축)을 기술한다. 따라서, 도 4A는 웨이퍼 표면의 평면에서 에칭비의 공간 분배를 기술한다. 에칭비 분배의 중심에서 높은 비균일성이 도 4A에 명확하게 기술된다. 도 4A는 자기장이 공급되지 않은 경우에 대응하며, 반응에서 고유하며 보상을 필요로하는 비균일 에칭비 분배를 기술한다. 이 경우에, 에칭비는 5.7%의 표준편차를 가진다. 도 4 및 도 5의 경우에, 자기장 강도는 비록 반사상 자기장이 균일성을 개선하기 위하여 플라즈마 이온 밀도의 방사상 분배에 작용하는 자기장일지라도 웨이퍼의 중심 근처에 축 자기장으로서 기술될 것이다. 축 자기장은 그것이 더 용이하게 측정되기 때문에 선 택된다. 웨이퍼의 에지에서의 방사상 자기장은 전형적으로 상기 위치에서 축 자기장의 약 1/3이다.
도 4B는 9 가우스의 자기장을 생성하기 위하여 내부 코일(60)에 에너지가 공급될때 에칭 분배가 변화하는 방법을 기술한다. 비균일성은 4.7%의 표준편차로 감소한다.
도 4C에서, 내부 코일(60)의 자기장은 18 가우스로 증가되며, 중심에서의 피크가 현저하게 감소되며, 이에 따라 웨이퍼 전반에 걸처 에칭비 표준편차가 2.5% 감소된다.
도 4D에서, 내부 코일(60)의 자기장은 도 4A의 중심에서 높은 패턴이 중심에서 낮은 패턴으로 반전되도록 27 가우스까지 추가로 감소된다. 도 4D의 경우에 웨이퍼 표면 전반에 걸친 에칭비의 표준편차는 5.0이다.
도 5A, 도 5B, 도 5C 및 도 5D는 높은 챔버압력(200mT)에서 코일들(60, 65)를 사용함으로서 달성된 현저한 효과를 기술한다. 도 5A는 도 4A에 대응하며, 자기장에 의하여 보상되지 않은 반응기의 중심에서 높은 에칭비 비균일성을 도시한다. 이러한 경우에, 웨이퍼 표면 전반에 걸친 에칭비의 표준편차는 5.2%이다.
도 5B에서, 외부 코일(65)은 에칭비 분배에서 중심 피크를 약간 감소시키는 22 가우스 자기장을 생성하도록 에너지가 공급된다. 이러한 경우에, 에칭비 표준편차는 3.5%까지 감소된다.
도 5C에서, 코일들(60, 65)은 24 가우스 자기장을 생성하도록 에너지가 공급된다. 도 5C에서 알 수 있는 결과는 에칭비의 중심 피크가 현저하게 감소되는 것 이며, 주변 근처에서 에칭비는 증가된다. 전체 효과는 3.2%의 낮은 표준편차를 가진 균일한 에칭비 분배이다.
도 5D에서, 코일들은 웨이퍼 표면 전반에 걸친 에칭비 분배가 중심에서 낮은 분배로 변환되도록 과보상을 수행하는 40 가우스 자기장을 생성하도록 에너지가 공급된다. 후자의 경우에 에칭비 표준편차는 3.5%까지 (도 5C의 경우와 비교하여) 약간 상승된다.
도 5A-5D의 고압 검사들과 도 4A-4D의 저압 검사에서 얻어진 결과들과 비교하면, 높은 챔버 압력이 에칭비 비균일성 분배에 대하여 유사한 보상을 달성하기 위하여 훨씬 높은 자기장을 필요로한다는 것을 알 수 있다. 예컨대, 30mT에서 최적 보상은 18 가우스에서 내부 코일(60)만을 사용하여 얻어지는 반면에, 300mT에서 코일들(60, 65)을 사용하는 24 가우스의 자기장은 최적 보상을 달성하기 위하여 요구된다.
도 6은 오버헤드 코일들의 자기장들이 플라즈마 이온 밀도 또는 에칭비 분배의 균일성에 영향을 미치나 에칭비 그 자체에 영향을 미치지 않는다는 것을 기술한다. 이는 에칭비 분배의 균일성을 개선하는 것이 바람직한 반면에 반도체 처리를 위하여 선택된 에칭비를 변경하지 않는 것이 바람직하기 때문에 유리하다. 도 6에서, 다이아몬드 심볼들은 자기장(수평축)의 함수로서 측정된 에칭비(좌측 수직축)을 지시하며, 정사각형 심볼들은 자기장의 함수로서 에칭비(우측 수직 스케일)의 표준편차(비균일성)를 지시한다. 기술된 범위이상으로의 비균일성의 변화는 야가 1정도의 크기이며, 에칭비의 변화는 단지 약 25%이다.
도 1A, 도 1B 및 도 1C의 전체 코일 인덕터들(60, 65)은 종래의 MERIE 반응기와 함께 사용될 수 있다. 도 7 및 도 8은 4개의 종래의 MERIE 전자석들(92, 94, 96, 98) 및 MERIE 전류 제어기(99)의 추가 특징과 함께 도 1A에 대응하는 경우를 기술한다. 전류 제어기(99)는 각각의 MERIE 전자석들(92, 94, 96, 98)에 A.C. 전류들을 제공한다. 각각의 전류들은 동일한 저주파수이나, 종래의 방식으로 챔버내에서 저속 회전 자기장을 생성하기 위하여 90도 정도 위상 오프셋을 가진다.
오버헤드 코일들을 사용하여 플라즈마 분배 제어
반응기의 방법에 따르면, 특정 반응기에서 고유한 웨이퍼 표면 전반에 걸친 플라즈마 이온 밀도 분배는 오버헤드 코일들(60, 65)에 의하여 생성된 특정 자기장을 선택함으로서 특정 방식으로 수행된다. 예컨대, 플라즈마 분배는 웨이퍼 표면에 전반에 걸쳐 균일한 에칭비 분배를 생성하기 위하여 주문에 따라 변경될 수 있다. 이러한 주문변경은 예컨대 오버헤드 코일들에서의 D.C. 전류 흐름의 최적 극성들 및 진폭들을 선택하기 위하여 제어기(90)를 프로그래밍함으로서 수행된다. 본 예가 단지 두개의 동심 오버헤드 코일들(즉, 코일들(60, 65))를 가진 반ㅇ으기에 관한 것인 반면에, 본 방법은 두개 이상의 코일들로 수행될 수 있으며 다수의 오버헤드 코일들을 사용할때 더 정확한 결과들을 제공할 수 있다. 자기장은 웨이퍼 표면 전반에 걸쳐 플라즈마 이온 밀도 분배를 변경하기 위하여 제어기(90)에 의하여 변경되며, 이는 차례로 에칭비 분배에 영향을 미친다.
제 1단계는 오버헤드 코일들(60, 65)로부터의 임의의 보정 자기장이 존재하지 않을때 웨이퍼 표면 전반에 걸처 에칭비 분배를 측정하는 것이다. 다음 단계는 에칭비 분배가 더 균일하도록 하는 플라즈마 이온 밀도 분배의 변경을 결정하는 것이다. 최종 단계는 플라즈마 이온 밀도 분배의 적정 변경을 발생시키는 자기장을 결정하는 것이다. 이러한 자기장이 주어질때, 자기장을 생성하는데 필요한 오버헤드 코일들(60, 65)의 전류의 크기 및 방향들은 공지된 정적 자기장 수식들로부터 계산될 수 있다.
플라즈마(소위 "자기 압력")에 대하여 오버헤드 코일들(60, 65)의 자기장에 의하여 가해진 압력을 자기장으로부터 계산하는 방법이 발견되었다. 이는 이하에서 논의될 것이다. 플라즈마의 자기압력은 플라즈마 이온 밀도 분배를 변경시킨다. 이러한 플라즈마 이온 밀도 분배의 변경은 웨이퍼 표면 전반에 걸쳐 비례 변경 에칭비 분배를 생성한다. 따라서, 웨이퍼 표면 전반에 걸친 플라즈마 이온 밀도 분배 및 에칭비 분배는 적어도 비례인자에 의하여 개략적으로 관련된다.
초기에, 웨이퍼 표면 전반에 걸친 에칭비의 공간 분배는 오버헤드 코일들(60, 65)로부터의 자기장들의 인가전에 측정된다. 이로부터, (균일 분배를 달성하기 위하여) 에칭비 분배의 적정 변경이 결정된다. 다음으로, 챔버내의 위치 및 코일의 전류 흐름에 대한 함수로서 각각의 오버헤드 코일(60, 65)에 의하여 생성된 자기장의 공간 분배는 각각의 코일의 기하학적 형태로부터 분석적으로 결정된다. 그 다음에, 코일들에 공지된 전류들의 세트를 인가하고 웨이퍼 표면 전반에 걸쳐 에칭비 분배의 결과적인 변경을 측정함으로서, 웨이퍼 표면에서 에칭비 분배의 변경에 웨이퍼 표면에서의 모든 코일들로부터의 자기장들의 벡터 합을 관련시키는 스케일 인자가 추론될 수 있다(이러한 스케일 인자는 일반적으로 플라즈마의 중성 압 력의 함수이며 약 500mT 챔버 압력까지 동작한다). 따라서, (보다 양호한 균일성을 달성하기 위하여) 에칭비 분배의 적정 변경 또는 보상이 주어질때, 필요한 자기장들이 발견될 수 있으며(이후에 기술된 방식으로), 대응 코일 전류들은 이전에 분석적으로 결정된 자기장 공간 분배 함수를 사용하여 추론될 수 있다.
에칭비 분배에서 비균일성에 대한 적정 보상이 다양한 방식들로 설정될 수 있다. 예컨대, 웨이퍼 표면 전반에 걸쳐 2차원 에칭비 분배는 "차이" 분배를 발생시키기 위하여 균일한 또는 평균 에칭비로부터 감산될 수 있다. 이러한 방식으로 보상될 에칭비 분배의 비균일성은 용량성 결합 소스 전력의 비균일 응용, 비균일 처리가스 분배 뿐만아니라 비균일 플라즈마 이온 밀도 분배를 포함하는 반응기 챔버의 다양한 인자들의 결과이다. 전술한 방법에서, 비균일성들은 자기 압력에 의하여 플라즈마 이온 밀도 분배를 변경시킴으로서 보상된다.
전술한 방법은 동일한 적정 방식으로 균일하지 않은 "보상된" 플라즈마 분배를 설정하기 위하여 사용될 수 있다. 이러한 경우에, 수행될 보상은 "비보상" 또는 주위 플라즈마 이온 밀도 분배 및 적정 분배(즉, 그 자체가 비균일함)간의 차이이다. 따라서, 본 방법은 플라즈마 밀도 분배가 반드시 균일하지 않은 선택된 특정 밀도 분배 패턴을 더 균일하게 하도록 한다.
전술한 방법을 수행하기 위한 일련의 단계들은 도 9를 참조로하여 지금 기술될 것이다.
제 1단계(도 9의 블록(910))는 코일엣의 전류흐름 및 웨이퍼 표면상의 방사상 위치에 대한 함수로서 웨이퍼 표면에서의 자기장의 표현을 오버헤드 코일들(60, 65)의 각각의 코일에 대하여 분석적으로 결정하는 것이다. 실린더형 좌표들을 사용하면, 상기 표현은 i차 코일에 대하여 Bi(r, z=웨이퍼, Ii)으로서 쓰여질 수 있다. 이는 매우 간단한 방식으로 비오사바르 법칙으로부터 결정된다.
다음 단계(도 9의 블록(920))는 오버헤드 코일들(60, 65)에서 흐르는 전류가 없을때 수행된다. 이러한 단계에서, 웨이퍼 표면 전반에 걸친 플라즈마 이온 밀도의 공간 분배가 측정된다. 이러한 공간 분배는 n(r, z=웨이퍼)으로 쓰여질 수 있다. 이러한 단계에서, 플라즈마 이온 밀도 분배는 테스트 웨이퍼의 표면 전반에 걸쳐 에칭비 분배를 측정함으로서 간접적으로 측정될 수 있다. 당업자는 에칭비 분배로부터 프라즈마 이온 밀도 분배를 용이하게 추론할 수 있다.
다음으로, 블록(30)의 단계에서, 이전 단계에서 측정된 측정된 플라즈마 이온 밀도 공간 분배 함수 n(r, z=웨이퍼)에 대한 보상인자 c(r)이 결정된다. 보상인자 c(r)은 임의의 수의 적정 방식들로 정의될 수 있다. 예컨대, 이는 최대값 n(r, z=웨이퍼)최대 - n(r, z=웨이퍼)로서 정의될 수 있다. 이러한 방식에서, n(r, z=웨이퍼)에 c(r)를 가산하면, n(r)최대과 동일한 균일한 진폭을 가진 "보상된" 분배가 생성된다. 물론, 보상함수 c(r))은 다른 균일한 진폭을 생성하기 위하여 다르게 정의될 수 있다. 또는, 앞서 간략하게 논의되는 바와같이, 만일 적정 분배가 균일하지 않으면, 보상인자는 적정 분배 및 n(r, z=웨이퍼)간의 차이다다.
다음 단계(블록 940)는 오버헤드 코일들(60, 65)의 각각의 코일에 대한 "테스트" 전류 Ii를 선택하고, 적정 코일에 전류를 공급하며 n(r, z=웨이퍼)테스트로 쓰 여질 수 있는 결과적인 플라즈마 이온 분배를 측정한다. 이온 분배의 변경 Δn(r)은 자기장을 사용하여 그리고 자기장없이 측정된 이온 분배들을 감산함으로서 얻어진다.
Figure 112006006627236-PAT00001
다음 단계(블록 950)는 이온 분배의 변경 Δn(r)에 자기장(즉, 자기압력)에 의하여 여기된 압력 경사도에 관한 스케일 인자 S를 계산하는 것이다. 이러한 계산은 Δn(r)에 의하여 자기장 압력 경사도를 나눔으로서 수행된다. i차 코일의 자기장 B(r, z=웨이퍼, Ii)의 자기 압력 경사도는 이하의 자기-유체역학 수식에 따라 각각의 코일에 대하여 개별적으로 계산된다.
Figure 112006006627236-PAT00002
여기서, 첨자 r은 방사상 성분을 나타낸다. 따라서, 각각의 코일에 대하여 개별적으로 얻어진 결과들은 함께 합산된다. 따라서, 전체 자기압력 경사도는 다음과 같다.
Figure 112006006627236-PAT00003
따라서, 스케일 인자 S는 다음과 같다.
Figure 112006006627236-PAT00004
이러한 나눗셈 연산은 스케일 형식에서 S를 얻기 위하여 평균된 결과들 및 r 의 다른 값들에서 수행될 수 있다. 다른 방식으로, 스케일 인자 S는 r의 함수일 수 있으며 적정 방식으로 사용된다.
블록(950)의 단계에서 발견된 스케일 인자 S는 자기 압력 및 이온 분배의 결과적인 변경을 ㄱ려정하는 코일 전류들 Ii간의 링크이다. 특히, 코일 전류 Ii의 세트가 주어질때, 이온 분배 n(r)의 대응 변경은 스케일 인자 S에 의하여 Ii의 세트로부터 결정된 자기 압력을 곱함으로서 계산될 수 있다.
Figure 112006006627236-PAT00005
이러한 사실은 컴퓨터(예컨대 마이크로프로세서(91))가 플라즈마 이온 밀도 분배의 이전에 지정되거나 또는 얻어진 변경 Δn(r)에 최상의 근사치를 생성하는 코일 전류 Ii의 세트를 탐색하기 위하여 전술한 수식을 사용하는 다음 단계(블록 960)에 대한 기본을 제공한다. 이러한 경우에, 적정 변경은 블록(930)의 단계에서 계산된 보상함수 c(r)와 동일하다. 다시 말해서, 컴퓨터는 다음과 같은 조건를 만족하는 코일 전류 Ii의 세트를 탐색한다.
Figure 112006006627236-PAT00006
이러한 탐색은 예컨대 급강하법을 포함하는 공지된 최적화 기술들에 의하여 수행될 수 있다. 이러한 기술들은 당업자에 의하여 용이하게 수행될 수 있으며 여기에 기술될 필요가 없다.
탐색에 의하여 발견된 코일 전류 Ii의 세트에 대한 크기 및 극성들은 제어기(90)에 전송되며, 제어기(90)는 이들 전류들을 각각의 코일들(60, 65)에 공급한다.
도 10은 웨이퍼 표면에서 방사상 위치의 함수로서 플라즈마 이온 분배의 측정된 변경(점선)과 자기 압력(실선)을 비교한다. 앞서 논의된 바와같이, 자기압력은 오버헤드 코일들의 자기장들의 제곱 경사도이다. 도 10은 자기 압력 및 이온 밀도 분배의 변경간의 양호한 상관관계이다.
이러한 방법의 응용이 도 11-14에 기술된다. 도 11은 웨이퍼 표면에서의 에칭비 공간 분배의 비균일성 또는 표준편차(수직축)가 오버헤드 코일들중 한 코일의 코일 전류에 의하여 변경되는 방법을 기술한다. 제로 코일 전류에서, 표준편차는 약 12%이며, 이온분배는 도 12에 기술된 바와같이 중심에서 높다.
약 3%에서의 최소 비균일성은 약 17 암페어의 코일 전류에서 수행된다. 이는 약 4인자(즉, 에칭비 분배에서의 12% 내지 3% 표준편차) 정도의 개선을 나타낸다. 도 9의 기술들을 사용하여 예측된 실제 또는 측정된 에칭비 분배는 도 13B에 도시된 바와같다.
35 암페어의 높은 코일 전류에서, 에칭비 분배 표준 편차는 약 14%이다. 측정된 에칭비 공간 분배는 도 14A에 도시된 바와 같으며, 예측된 분배는 도 14B에 도시된 바와같다.
도 13A를 다시 참조하면, 얻어진 가장 균일한 이온 분배는 단조롭지 않으며 사실상 주변 근처에서 볼록하고 중심에서 오목한 "볼" 형상을 가진다. 다수의 독 립적 오버헤드 코일들(예컨대, 3개 이상의 코일들)을 사용하면 전류들의 최적화가 결과치들에서 최상의 분석 및 최상의 균일성으로 수행될 수 있다. 따라서, 반응기는 단지 두개의 코일을 가진 경우에 제한되지 않는다. 반응기는 두개 이하 또는 두개 이상의 오버헤드 코일들을 사용하여 가변 결과들로 구현될 수 있다.
천장 표면에서 플라즈마 이온 밀도 분배 또는 에칭비 분배를 제어하기 위하여 동일한 방법이 적용될 수 있다. 이러한 방법은 예컨대 챔버 세정 동작들동안 유용할 수 있다. 도 15는 이온 밀도(또는 에칭비)의 공간 분배에 대한 균일성이 최적화되는 도 9의 방법의 버전을 기술한다. 도 15의 단계들, 즉 블록들(910', 920', 930', 940', 950', 960')은 웨이퍼 표면보다 오히려 천장 평면에 대하여 수행되는 것을 제외하고 도 9의 단계들, 즉 블록들(910, 920, 930, 940, 950, 960)와 동일하다. 제 1단계(도 15의 블록(910'))는 코일에서의 전류 흐름 및 웨이퍼 표면상의 방사상 위치에 대한 함수로서 천장 표면에서 자기장에 대한 표현을 오버헤드 코일들(60, 65)의 각각의 코일에 대하여 분석적으로 결정하는 것이다. 실린더형 좌표들을 사용하면, 상기 표현은 i차 코일에 대하여 Bi(r, z=웨이퍼, Ii)으로서 쓰여질 수 있다. 이는 단순한 정적 자기장 수식들로부터 결정되며 및 천장 표면상의 방사상 위치 r 및 코일 전류 Ii 뿐만아니라 코일 및 천장 내부 표면간의 거리(z=천장) 및 코일의 반경과 같은 임의의 제약들에 대한 함수이다.
다음 단계(도 15의 블록(920))는 오버헤드 코일들(60, 65)에서 흐르는 전류가 없을때 수행된다. 이러한 단계에서, 천장 표면 전반에 걸친 플라즈마 이온 밀 도의 공간 분배가 측정된다. 이러한 공간 분배는 n(r, z=웨이퍼)으로 쓰여질 수 있다. 이러한 단계에서, 플라즈마 이온 밀도 분배는 종래의 프로브 또는 다른 간접 기술들에 의하여 측정될 수 있다.
다음으로, 블록(930')의 단계에서, 이전 단계에서 측정된 측정된 플라즈마 이온 밀도 공간 분배 함수 n(r, z=천장)에 대한 보상인자 c'(r)이 결정된다(프라임 표현 '는 앞서 기술된 도 9의 계산들로부터 도 15의 계산들을 구별하기 위하여 사용되며 여기에 기술된 도함수를 의미하지 않는다). 보상인자 c(r')은 임의의 수의 적정 방식들로 정의될 수 있다. 예컨대, 이는 최대값 n(r, z=천장)최대 - n(r, z=천장)로서 정의될 수 있다. 이러한 방식에서, n(r, z=천장)에 c'(r)를 가산하면, n(r)최대과 동일한 균일한 진폭을 가진 "보상된" 분배가 생성된다. 물론, 보상함수 c'(r)은 다른 균일한 진폭을 생성하기 위하여 다르게 정의될 수 있다. 또는, 만일 특정 비균일 분배가 얻어지면, 보상인자는 비보상 또는 주위 플라즈마 분배 n(r,z=천장) 및 적정 비균일 분배간의 차이이다. 따라서, 본 방법은 특정 비균일 패턴을 가진 적정 플라즈마 이온 분배를 설정하거나 또는 균일한 플라즈마 이온 밀도 뷴배를 설정하기 위하여 사용될 수 있다.
다음 단계(블록 940')는 오버헤드 코일들(60, 65)의 각각의 코일에 대한 "테스트" 전류 Ii를 선택하고, 적정 코일에 전류를 공급하며 n(r, z=천장)테스트로 쓰여질 수 있는 결과적인 플라즈마 이온 분배를 측정한다. 이온 분배의 변경 Δn(r)은 자기장을 사용하여 그리고 자기장없이 측정된 이온 분배들을 감산함으로서 얻어진 다.
Figure 112006006627236-PAT00007
다음 단계(블록 950')는 이온 분배의 변경 Δn'(r)에 자기장(즉, 자기압력)에 의하여 여기된 압력 경사도에 관한 스케일 인자 S를 계산하는 것이다. 이러한 계산은 Δn'(r)에 의하여 자기장 압력 경사도를 나눔으로서 수행된다. i차 코일의 자기장 B(r, z=천장, Ii)의 자기 압력 경사도는 이하의 자기-유체역학 수식에 따라 각각의 코일에 대하여 개별적으로 계산된다.
Figure 112006006627236-PAT00008
여기서, 첨자 r은 방사상 성분을 나타낸다. 따라서, 각각의 코일에 대하여 개별적으로 얻어진 결과들은 함께 합산된다. 따라서, 전체 자기압력 경사도는 다음과 같다.
Figure 112006006627236-PAT00009
따라서, 스케일 인자 S는 다음과 같다.
Figure 112006006627236-PAT00010
블록(950')의 단계에서 발견된 스케일 인자 S는 자기 압력 및 이온 분배의 결과적인 변경을 결정하는 코일 전류들 Ii간의 링크이다. 특히, 코일 전류 Ii의 세트가 주어질때, 이온 분배 n'(r)의 대응 변경은 스케일 인자 S'에 의하여 Ii의 세트 로부터 결정된 자기 압력을 곱함으로서 계산될 수 있다
Figure 112006006627236-PAT00011
이러한 사실은 컴퓨터(예컨대 마이크로프로세서(91))가 플라즈마 이온 밀도 분배의 이전에 지정되거나 또는 얻어진 변경 Δn'(r)에 최상의 근사치를 생성하는 코일 전류 Ii의 세트를 탐색하기 위하여 전술한 수식을 사용하는 다음 단계(블록 960')에 대한 기본을 제공한다. 이러한 경우에, 적정 변경은 블록(930')의 단계에서 계산된 보상함수 c(r)와 동일하다. 다시 말해서, 컴퓨터는 다음과 같은 조건를 만족하는 코일 전류 Ii의 세트를 탐색한다.
Figure 112006006627236-PAT00012
이러한 탐색은 예컨대 급강하법을 포함하는 공지된 최적화 기술들에 의하여 수행될 수 있다. 이러한 기술들은 당업자에 의하여 용이하게 수행될 수 있으며 여기에 기술될 필요가 없다.
탐색에 의하여 발견된 코일 전류 Ii의 세트에 대한 크기 및 극성들은 제어기(90)에 전송되며, 제어기(90)는 이들 전류들을 각각의 코일들(60, 65)에 공급한다.
단일 오버헤드 코일을 가진 장치가 웨이퍼 또는 천장중 하나에서 플라즈마 이온 분배 균일성을 최적화하기 위하여 사용될 수 있다. 적어도 두개의 오버헤드 코일들(예컨대, 오버헤드 코일들(60, 65))을 사용하여, 플라즈마 이온 분배 균일성은 웨이퍼 및 천장 둘다에 적어도 개략적으로 동시에 최적화될 수 있다.
오버헤드 코일들을 사용하여 플라즈마 조정
코일 전류 Ii가 천장 및/또는 측벽들쪽으로 플라즈마를 조정하거나 또는 웨이퍼 표면쪽으로 플라즈마를 조정하는 방식으로 선택될 수 있다는 것이 발견되었다. 코일 전류 Ii는 도 9의 방법과 유시한 방식으로 천장 표면에서 플라즈마 밀도 분배의 균일성을 개선하도록 선택될 수 있다. 결과로서, 플라즈마는 웨이퍼상의 처리동안 집중될 수 있으며, 세정동안 천장 및/또는 측벽들상에 집중될 수 있다. 따라서, 천장에 플라즈마를 집중함으로서, 세정 시간은 감소될 수 있다.
일례에서, 플라즈마는 내부 코일(60)에 -17.5 암페어의 전류를 공급하고 외부 코일(65)에 +12.5 암페어의 전류를 공급하는 제어기(90)에 의하여 챔버의 측벽으로 조정된다. 도 16은 제로 반경으로부터 챔버의 주변으로 수평축을 따라 연장하고 웨이퍼 표면으로부터 천장으로 수직축을 따라 연장하는 챔버 내부의 반경 부분을 기술한다. 도 16의 작은 화살표는 내부 코일(60)에 -17.5 암페어의 전류를 공급하고 +12.5의 전류를 외부 코일(65)에 공급하는 제어기(90)에 의하여 챔버의 측벽으로 조정된다. 도 17은 반경 위치의 함수로서 웨이퍼 표면에서 자기장의 대응 제곱 경사도를 기술한다.
다른 예에서, 플라즈마는 내부 코일(60)에 -12.5 암페어의 전류를 공급하고 외부 코일(65)에 +5 암페어의 전류를 공급하는 제어기(90)에 의하여 챔버의 지붕으로 조정된다. 도 18은 제로 반경으로부터 챔버의 주변으로 수평축을 따라 연장하고 웨이퍼 표면으로부터 천장으로 수직축을 따라 연장하는 챔버 내부의 반사상 부 분을 기술한다. 도 18의 작은 화살표는 내부 코일(60)에 -12.5 암페어의 전류를 공급하고 +5의 전류를 외부 코일(65)에 공급하는 제어기(90)에 의하여 챔버의 측벽으로 조정된다. 도 19는 반경 위치의 함수로서 웨이퍼 표면에서 자기장의 대응 제곱 경사도를 기술한다.
또 다른 예에서, 플라즈마는 내부 코일(60)에 -25 암페어의 전류를 공급하고 외부 코일(65)에 +2.75 암페어의 전류를 공급하는 제어기(90)에 의하여 천장의 중심으로부터 측벽으로 연장하는 자기장 라인들을 따라 조정된다. 도 20은 제로 반경으로부터 챔버의 주변으로 수평축을 따라 연장하고 웨이퍼 표면으로부터 천장으로 수직축을 따라 연장하는 챔버 내부의 반사상 부분을 기술한다. 도 20의 작은 화살표는 플라즈마가 내부 코일(60)에 -25 암페어의 전류를 공급하고 +2.5의 전류를 외부 코일(65)에 공급하는 제어기(90)에 의하여 챔버의 측벽으로 조정될때 챔버의 다양한 위치에서의 자기장의 크기 및 방향을 지시한다. 도 21는 반경 위치의 함수로서 웨이퍼 표면에서 자기장의 대응 제곱 경사도를 기술한다.
도 17은 플라즈마가 에지로 조정될때 플라즈마의 높은 양의 자기압력이 챔버의 에지 근처에 여기되는 것을 도시한다. 도 19는 플라즈마가 천장의 에지로 조정될때 플라즈마의 낮은 양의 자기압력이 챔버의 에지 근처에 여기되는 것을 도시한다. 도 21은 자기장 라인들이 천장으로부터 에지로 연장할때 높은 음의 압력이 챔버의 에지 근처에 제공되는 것을 도시한다.
따라서, 오버헤드 코일들(60, 65)의 전류들은 천장 및 측벽과 같이 세정을 필요로할 수 있는 챔버의 다양한 위치들로 플라즈마를 향하게 하도록 선택될 수 있 다. 또는, 플라즈마는 웨이퍼 근처에서 더 집중된다. 웨이퍼 또는 천장중 하나로 플라즈마를 조정하거나 또는 임의의 조정 비 SR에 따라 웨이퍼 및 천장간의 플라즈마를 할당하기 위하여, 도 22에 기술된 방법이 수행될 수 있다.
도 22를 지금 참조하면, 제 1단계(도 22의 블록(2210))는 오버헤드 코일들(예컨대, 코일들(60, 65)의 쌍)에서 모든 전류들의 함수로서 챔버내에 자기장의 분석 모델을 한정하는 것이다. 이는 당업제에 의하여 정적 자기장 수식들을 사용하여 용이하게 달성되며 여기에서는 기술될 필요가 있다. 자기장은 코일들의 각각으로부터 개별 자기장들의 합이다. 각각의 개별 자기장은 각각의 코일의 직경, 각각의 코일의 위치, 코일의 전류 흐름 및 챔버의 위치에 대한 함수이다. 따라서, i 번째 코일에 의하여 생성된 자기장은 다음과 같이 쓰여질 수 있어서,
Figure 112006006627236-PAT00013
전체 자기장은 다음과 같다.
Figure 112006006627236-PAT00014
다음 단계(블록(2200))는 적정 처리 조건들의 세트를 만족하는 자기장들의 세트를 선택하는 것이다. 예컨대, 천장으로 플라즈마를 조정하기 위하여, 도 18의 예에서 기술된 바와같이 천장쪽으로 플라즈마를 푸시하는 플라즈마의 자기압력을 생성하는 자기장이 선택된다. 측벽쪽으로 플라즈마를 조정하기 위하여, 도 16에 기술된 바와같이 주변쪽으로 플라즈마를 푸시하는 플라즈마의 자기압력을 생성하는 자기장이 선택된다.
특정 조건을 만족하는 블록(2220)의 단계에서 한정된 각각의 자기장에 대하여, 컴퓨터는 적정 자기자을 생성하는 코일 전류들의 세트에 대하여 블록(2210)의 단계에서 한정된 모델을 탐색한다. 이는 블록(2230)의 다음 단계이다. 블록(2230)의 단계에서 발견된 전류들의 각각의 세트는 대응 처리 조건과 연관된 메ㅗ리 위치에서 대응 조건의 이름과 함께 저장된다(도 22의 블록(2240). 특정 처리조건이 선택될때마다(예컨대 플라즈마를 천장으로 조정할때 마다), 마이크로프로세서(91)는 대응 메모리 위치로부터 전류값들의 세트를 페치하며(블록 2250), 대응 전류들이 적정 코일들에 공급되도록 한다(블록 2260).
도 23은 마이크로프로세서(91)가 사용자 입력들에 응답하여 프로그래밍될 수 있는 방법을 도시한다. 처리가 웨이퍼 표면의 에칭을 포함하는지에 관한 결정이 먼저 이루어지며(블록 231)) 처리가 천장을 세정하는 단계(에칭하는 단계)를 포함하는지에 관한 결정이 이루어진다(블록 2320). 만일 단지 웨이퍼만이 에칭되면, 플라즈마는 웨이퍼로 조정되며(블록 2330) 및 웨이퍼 표면에서의 플라즈마 분배 균일성은 도 9의 방법을 사용하여 최적화된다(블록 2350). 만일 천장이 동시에 세정되는 동안 웨이퍼가 에칭되면, 플라즈마 밀도는 천장 및 웨이퍼사이에 할당되며(블록 2360), 플라즈마 밀도 균일성은 도 9에 도시된 웨이퍼 표면 및 도 14에 도시된 천장에서 최적화된다(블록 2370). 만일 단지 천장만이 세정되면, 플라즈마는 천장으로 조정되며(블록 2380) 천장에서의 플라즈마 밀도 균일성이 최적화된다(블록 2390).
VHF 오버헤드 전극 사용:
도 24는 내부 및 외부 코일들(60, 65)이 고정 튜닝 스터브를 통해 VHF 플라즈마 소스 전력 생성기에 접속된 오버헤드 전극을 가진 용량성 결합 반응기와 결합될 수 있다. 이러한 반응기는 "플라즈마에 튜닝된 오버헤드 RF 전극을 가진 플라즈마 반응기"라는 명칭으로 2001년 12월 19일에 Daniel Hoffman 등에 의하여 출원된 미국특허 출원번호 제10/028,922호에 기술되며, 이 출원은 본 발명의 양수인에게 양도되고 여기에 참조문헌으로서 통합된다.
도 24를 참조하면, 플라즈마 반응기는 반도체 웨이퍼(110)를 지지하는 챔버의 바닥에서 웨이퍼 지지부(105)를 가진 반응기 챔버(100)를 포함한다. 처리 키트는 전형적인 구현에서 접지된 챔버 몸체(127)상의 유전체 링(120)에 의하여 지지된 도전 또는 반도전 링(115)을 포함할 수 있다. 챔버(100)는 유전체 시일에 의하여 접지된 챔버 몸체(127)상의 웨이퍼(110)위에 갭 길이에서 지지된 디스크형 오버헤드 도전 전극(125)에 의하여 상부에 접지된다. 일 구현에서, 웨이퍼 지지부(105)는 갭 길이가 변화하도록 수직 방향으로 이동가능하다. 다른 구현에서, 갭 길이는 미리 결정된 고정길이일 수 있다. 오버헤드 전극(125)는 그것의 내부 표면상의 반금속 재료(예컨대, Si 또는 SiC)로 덮혀질 수 있는 금속(예컨대, 알루미늄)일 수 있거나 또는 반금속 재료 그자체 일 수 있다. RF 생성기(150)는 RF 전력을 전극(125)에 공급한다. 생성기(150)로부터의 RF 전력은 생성기(150)와 매칭된 동축 케이블(162)을 통해 전극(125)에 접속된 동축 스터브(135)에 결합된다. 스터브(135)는, 이하에 더 완전하게 기술된 바와같이, 특징 임피던스를 가지고, 공진 주파수를 가지며, 전극(125) 및 동축 케이블(162)간의 임피던스 매칭 또는 RF 전력 생성기 (150)의 출력을 제공한다. 챔버 몸체는 RF 생성기(150)의 RF 리턴(RF 접지)에 접속된다. 오버헤드 전극(125)으로부터 RF 접지까지의 RF 경로는 유전체 시일(120)의 커패시턴스 및 유전체 시일(130)의 커패시턴스에 의하여 영향을 받는다. 웨이퍼 지지부(105), 웨이퍼(110) 및 처리 키트 도전 또는 반도전 링(115)은 전극(125)에 공급된 RF 전력에 대한 1차 RF 리턴 경로를 제공한다.
도 1A의 경우에서 처럼, 내부 코일(60)은 외부 코일(65)의 직경의 1/2 이하이며 외부 코일(65)보다 챔버로부터 더 멀리 떨어진 평면내에 존재한다. 외부 코일(65)은 전극(125)의 상부의 평면에 위치하거나 이 평면에 근접하게 배치되는 반면에, 내부 코일(60)은 전극(125) 위에 배치된다. 도 1의 경우에서 처럼, 코일들(60, 65)에서의 D.C. 전류들은 플라즈마 조정 제어기(90)에 의하여 제어된다.
RF 리턴 또는 접지와 관련하여 측정된, 전극(125), 처리 키트(115, 120) 및 유전체 시일(130)을 포함하는 오버헤드 전극 어셈블리(126)의 커패시턴스는 전형적인 경우에 180 피코 패러드이다. 전극 어셈블리 커패시턴스는 전력영역, 갭 길이(웨이퍼 지지부 및 오버헤드 전극간의 거리), 및 스트레이 커패시턴들에 영향을 미치는 인자들, 특히 시일(130) 및 유전체 링(120)의 유전체 값에 의하여 영향을 받으며, 이는 또한 유전체 제약들 및 사용된 재료들의 두께에 의하여 영향을 받는다. 특히, 전극 어셈블리(126)의 커패시턴스(비부호 숫자 또는 스케일러)는 이하에 기술되는 바와같이 특정 소스 전력 주파수, 플라즈마 밀도 및 동작압력에서 플라즈마의 음의 커패시턴스(복소수)의 크기와 동일하거나 또는 거의 동일하다.
전술한 관계에 영향을 미치는 많은 인자들은 반응기에 의하여 수행되는데 필 요한 플라즈마 처리 요건들, 웨이퍼의 크기 및 웨이퍼위에서 처리가 균일하게 수행되도록 하는 요건의 실재로 인하여 미리결정된다. 따라서, 플라즈마 커패시턴스는 플라즈마 밀도 및 소스 전력 주파수의 함수이며, 전극 커패시턴스는 웨이퍼 지지부 대 전극 갭(높이), 전극 직경 및 어셈블리 절연체의 유전체 값들의 함수이다. 플라즈마 밀도, 동작압력, 갭 및 전극 챔버는 반응기에 의하여 수행될 플라즈마 처리의 요건들을 만족해야 한다. 특히, 이온 밀도는 임의의 범위내에 있어야 한다. 예컨대, 실리콘 및 유전체 플라즈마 에칭 처리들은 일반적으로 플라즈마 이온 밀도가 109 내지 1012 이온/cc의 범위내에 있을 것을 요구한다. 웨이퍼 저늑 갭은 예컨대 만일 갭이 약 2인치이면 8인치 웨이퍼들에 대한 최적 플라즈마 이온 분배 균일성을 제공한다. 전극 직경은 바람직하게 웨이퍼의 직경보다 크지 않도록 크다. 동작 압력들은 전형적인 에칭 및 다른 플라즈마 처리들에 대한 실제 범위들을 가진다.
그러나, 앞의 바람직한 관계들, 특히 소스 주파수에 대한 선택 및 오버헤드 전극 어셈블리(126)에 대한 커패시턴스들의 선택을 달성하도록 선택될 수 있는 다른 인자들을 유지하는 것이 발견되었다. 전극에 부여된 전술한 크기 제약들 및 플라즈마에 부여된 제약들(예컨대, 밀도 범우)내에서, 전극 커패시턴스는 소스 전력 주파수가 VHR 주파수이도록 선택되고 전극 어셈블리(12)의 절연체 성분들에 대한 유전체 값들이 적절하게 선택되는 경우에 플라즈마의 음의 커패시턴스의 크기와 매칭될 수 있다. 이러한 선택은 소스 전력 주파수 및 플라즈마 전극 공진 주파수간 의 매칭 또는 거의 매칭을 달성할 수 있다.
따라서, 전형적인 일 경우에, 8인치 웨이퍼에 대하여, 오버헤드 전극 직경은 대략 11인치이며, 갭은 약 2인치이며, 플라즈마 밀도 및 동작 압력은 앞서 언급된 에칭 처리에 대하여 전형적이며, VHF 소스 전력 주파수는 20MHz이며(비록 다른 VHF 주파수들이 동일한 효과를 가질지라도), 소스 전력 주파수, 플라즈마 전극 공진 주파수 및 스터브 공진 주파수는 모드 매칭되거나 또는 거의 매칭된다.
특히, 이들 3가지 주파수들은 시스템(Q)을 유리하게 감소시키는 디-튜닝 효과를 달성하기 위하여 서로 약간 오프셋되며, 여기서 소스 전력 주파수는 210MHz이며, 전극-플라즈마 공진 주파수는 대략 220MHz이다. 이러한 시스템(Q)의 감소는 반응기의 성능이 챔버내에서 조건의 변화에 덜 영향을 받도록 하며, 이에 따라 전체 처리는 훨씬 더 안정하며 더 넓은 처리 윈도우 전반에 걸쳐 수행될 수 있다.
현재 바람직한 모드는 12인치 직경 웨이퍼를 수용하기에 적합한 챔버 및 페데스탈 직경들, 약 1.25인치의 웨이퍼 대 천장 갭, 및 162MHz(앞서 언급된 210MHz 보다 오히려)의 VHF 소스 전력 주파수를 가진다.
동축 스터브(135)는 전체 시스템 안정도, 넓은 처리 윈도우 능력들 뿐만아니라 많은 다른 현저한 장점들에 기여하는 특별하게 구성된 설계이다. 동축 스터브(135)는 내부 실린더형 도체(140) 및 외부 동심 실린더형 도체(145)를 포함한다. 예컨대 1의 상대 유전상수를 가진 절연체(147)(도 24에서 교차해칭으로 표시됨)는 내부 및 외부 도체들(140, 145)간의 공간을 충전한다. 내부 및 외부 도체(140, 145)는 예컨대 니켈 코팅된 알루미늄으로 형성될 수 있다. 전형적인 경우에, 외부 도체(145)는 약 4인치의 직경을 가지며, 내부 도체(140)는 약 1.5인치의 직경을 가진다. 스터브 특징 임피던스는 내부 및 외부 도체들(140, 145)의 반경 및 절연체(147)의 유전상수에 의하여 결정된다. 앞서 기술된 경우의 스터브(135)는 65의 특징 임피던스를 가진다. 특히, 스터브 특징 임피던스는 약 20% 내지 40%, 바람직하게 약 30%정도 소스 전력 출력 임피던스를 초과한다. 스터브(135)는 210MHz의 VHF 소스 전력 주파수로부터 약간 오프셋되면서 220HMz에서 공진이 매칭되도록 하기 위하여 약 29인치(220MHz의 1/2 파장)의 축 길이를 가진다.
탭(160)은 이하에서 논의되는 바와같이 RF 생성기(150)로부터 스터브(135)로 RF 전력을 공급하기 위하여 스터브(135)의 축 길이를 따라 특정 지점에 제공된다. 생성기150)의 RF 전력 단말(150a) 및 RF 리턴 단말(150b)은 내부 및 외부 동축 스터브 도체들(140, 145)에 대한 스터브(135)상의 탭(160)에 접속된다. 이들 접속들은 공지된 방식으로 생성기(150)(전형적으로 50)의 출력 임피던스와 매칭되는 특징 임피던스를 가진 생성기 대 스터브 동축 케이블(162)를 통해 각각 이루어진다. 스터브(135)의 원거리 단부(135a)에서 종료하는 도체(165)는 스터브(135)가 원거리 단부(135a)에서 단락되도록 내부 및 외부 도체들(140, 145)을 함께 단락시킨다. 스터브(135)의 근거리 단부(135a)(비단락 단부)에서, 외부 도체(145)는 환상 도전 하우징 또는 지지부(175)를 통해 챔버 몸체에 접속되는 반면에, 내부 도체(140)는 도전 실린더 또는 지지부(176)을 통해 전극(125)의 중심에 접속된다. 유전체 링(180)은 도전 실린더(176) 및 전극(125)사이에 유지되어 실린더(176) 및 전극(125)를 분리시킨다.
내부 도체(140)는 처리가스들 및 냉각제와 같은 유용물에 대한 도관을 제공한다. 이러한 특징의 원리적인 장점은 전형적인 플라즈마 반응기들과 다르게 가스라인(170) 및 냉각제 라인(173)이 큰 전위차를 교차하지 않는다는 것이다. 따라서, 이들은 저가의 금속 및 상기 목적을 위한 신뢰성있는 재료로 구성될 수 있다. 금소 가스라인(170)은 오버헤드 전극9125)에 또는 이에 인접하게 가스 배출구들(172)에 공급하며, 금속 냉각제 라인(173)은 오버헤드 전극(125)내에 통로들 또는 자켓들(174)을 공급한다.
활성 및 공진 임피던스 변환은 RF 생성기(150), 오버헤드 전극 어셈블리(126) 및 처리 플라즈마 로드간에 특별하게 구성된 스터브 매칭에 의하여 제공되며, 이에 따라 반사된 전력을 최소화하며 로드 임피던스의 넓은 변화들을 수용하는 넓은 임피던스 매칭 곤간을 제공한다. 결과적으로, 넓은 처리 윈도우들 및 처리 융통성은 전형적인 임피던스 매칭 장치에 대한 필요성을 최소화하거나 또는 방지하면서 전력을 사용하여 이전에 얻기 어려운 효율성과 함께 제공된다. 앞서 언급된 바와같이, 스터브 공진 주파수는 전체 시스템(Q), 시스템 안정성, 처리 윈도우들 및 다중처리 능력을 추가로 강화하기 위하여 이상적인 매칭으로부터 오프셋된다.
전극-플라즈마 공진 주파수 및 VHF 소스 전력 주파수 매칭
전술한 바와같이, 원리적인 특징은 전극-플라즈마 공진 주파수에서 플라즈마와의 공진을 위하여 소스 전력 주파수및 전극 플라즈마 주파수의 매칭(거의 매칭)을 위하여 오버헤드 전극 어셈블리(126)을 구성하는 것이다. 전극 어셈블리(126)는 우세한 용량성 리액턴스를 가지는 반면에, 플라즈마 리액턴스는 주파수, 플라즈 마 밀도 및 다른 파라미터들의 복수수 함수이다(이하에서 더 상세히 기술되는 바와같이, 플라즈마는 허수항들을 포함하는 복수수 함수이고 일반적으로 음의 커패시턴스에 대응하는 리액턴스에 의하여 분석된다). 전극-플라즈마 공진 주파수는 전극 어셈블리(126) 및 플라즈마의 리액턴스들(커패시터 및 인덕터의 리액턴스들에 의하여 결정된 커패시트/인덕터 공진회로의 공진 주파수와 유사함)에 의하여 결정된다. 따라서, 전극-플라즈마 공진 주파수는 플라즈마 밀도에 따라 반드시 소스 전력 주파수가 아닐 수 있다. 따라서, 문제점은 플라즈마 밀도 및 전극 크기들의 특정 범위에 실제적인 제약이 주어질때 전극 플라즈마 공진 주파수가 소스 전력 주파수와 동일하거나 또는 거의 동일하도록 플라즈마 리액턴스를 가지는 소스 전력 주파수를 발견하는 것이다. 문제점은 플라즈마 밀도(플라즈마 리액턴스에 영향을 미침) 및 전극 크기(전극 커패시턴스에 영향을 미침)가 임의의 처리 제약들을 만족해야하기 때문에 매우 곤란하다. 특히, 유전체 및 도체 플라즈마 에칭 처리들을 위하여, 플라즈마 밀도는 플라즈마 리액턴스의 제약인 109-1012 이온/cc의 범위내에 있어야 한다. 더욱이, 예컨대 8인치 직경 웨이퍼들을 처리하는 더 균일한 플라즈마 이온 밀도 분배는 약 2인치의 웨이퍼 대 전극 갭 또는 높이와 전극 커패시턴스를 제약하는 웨이퍼 직경 또는 그 이상 정도의 전극 직경에 의하여 실현된다. 다른 한편으로, 다른 갭은 12-인치 직경 웨이퍼를 위하여 이용될 수 있다.
따라서, 플라즈마의 음의 커패시턴스 크기와 전극 커패시턴스를 매칭(또는 거의 매칭) 시킴으로서, 전극-플라즈마 공진 주파수 및 소스 전력 주파수는 적어도 거의 매칭된다. 앞서 언급된 일반적인 도체 및 유전체 에칭 처리 조건들(즉, 109-1012 인치/cc간의 플라즈마 밀도, 2인치 갭 및 대략 11인치 정도의 전극 직경)에 대하여, 소스 전력 주파수가 VHF 주파수인 경우에 매칭이 가능하다. 다른 조건들(예컨대, 다른 웨이퍼 직경들, 다른 플라즈마 밀도들 등)은 상기 반응기의 특징을 수행할대 상기 매칭을 달성하기 위한 다른 주파수 범위를 지시할 수 있다. 이하에서 상세히 기술되는 바와같이, 유전체 및 금속 플라즈마 에칭 및 화학기상증착을 포함하는 여러 원리적인 응용들에서 8인치 웨이퍼들을 처리하는 바람직한 플라즈마 처리 조건들하에서, 앞서 기술된 플라즈미 밀도들을 가진 전형적인 작용예의 플라즈마 커패시턴스는 -50 내지 -400 피코 페러드이다. 전형적인 경우에, 오버헤드 전극 어셈블리(126)의 커패시턴스는 11인치의 전극 직경 및 대략 2인치의 갭 길이(전극 대 페데스탈 공간)를 사용하고, 9의 유전상수를 가진 시일(130)에 대한 유전체 재료 및 1인치 정도의 두께를 선택하며 4의 유전상수를 가진 링(120)에 대한 유전체 재료 및 10mm 정도의 두께를 선택함으로서 음의 플라즈마 커패시턴스의 크기와 매칭된다.
전극 어셈블리(126) 및 플라즈마의 결합은 방금 기술된 바와같이 커패시턴스들이 매칭될때 전극(125)에 공급된 소스 전력 주파수와 적어도 거의 매칭된 전극-플라즈마 공진 주파수에서 공진된다. 바람직한 에칭 플라즈마 처리법들, 환경들 및 플라즈마들에 대하여 전극-플라즈마 고인 주파수 및 소스 전력 주파수가 VHF 주파수들에서 매칭되거나 또는 거의 매칭되며, 이러한 주파수 매칭 또는 거의 매칭이 구현되는 것이 매우 유리하는 것이 발견되었다. 전형적인 경우에, 플라즈마 음의 커패시턴스의 전술한 값들에 대응하는 전극-플라즈마 공진 주파수는 이하에서 상세히 기술되는 바와같이 대략 200MHz이다. 소스 전력 주파수는 210MHz이며, 소스 전력 주파수가 다른 장점들을 달성하기 위하여 전극-플라즈마 공진 주파수 약간 이상으로 오프셋되는 근사 매칭은 이하에 기술될 것이다.
플라즈마 커패시턴스는 특히 플라즈마 전자 밀도의 함수이다. 이는 양효한 플라즈마 처리 조건들을 제공하기 위하여 일반적으로 109 내지 1012 이온/cc의 범위내에서 유지되는데 필요한 플라즈마 이온 밀도에 관련된다. 이러한 밀도는 소스 전력 주파수 및 다른 파라미터들과 함께 플라즈마 음의 커패시턴스를 결정하며, 이의 선택은 이하에서 더 상세히 기술되는 바와같이 플라즈마 처리 조건들을 최적화하는 필요성에 의하여 제약된다. 그러나, 오버헤드 전극 어셈블리 커패시턴스는 많은 물리적 인자들, 예컨대 갭 길이(전극(125) 및 웨이퍼간의 공간), 전극(125)의 영역, 유전체 시일(130)에 대한 유전체 손실 탄젠트의 범위, 전극(125) 및 접지된 챔버 몸체(127)간의 유전체 시일(130)의 유전상수의 선택, 처리 키트 유전체 시일(130)에 대한 유전상수의 선택, 및 유전체 시일들(130, 120)의 두께 및 링(180)의 두께 및 유전상수에 의하여 영향을 받는다. 이는 오버헤드 전극 커패시턴스에 영향을 미치는 이들 및 다른 물리적 인자들사이에서 만들어진 선택들을 통해 전극 어셈블리 커패시턴스의 일부 조절을 허용한다. 이러한 조절의 범위가 음의 플라즈마 커패시턴스의 크기와 오버헤드 전극 어셈블리 커패시턴스를 매칭시키는 필요한 정 도을 달성하기에 충분하다는 것이 발견되었다. 특히, 시일(130) 및 링(120)에 대한 유전체 재료들 및 크기들은 적정 유전상수들 및 결과적인 유전체 값들을 제공하도록 선택된다. 전극 커패시턴스 및 플라즈마 커패시턴스의 매칭은 전극 커패시턴스에 영향을 미치는 동일한 물리적 인자들중 일부, 특히 갭 길이가 이하의 실용성들, 즉 큰 직경의 웨이퍼들을 조절하고 웨이퍼의 전체 직경위에 플라즈마 이온 밀도의 분배에 대한 균일성을 달성하고 이온밀도 대 이온 에너지를 양호하게 제어하기 위한 필요성에 의하여 명령 또는 제한될 것이라는 사실에도 불구하고 달성될 수 있다.
플라즈마 커패시턴스 및 매칭 오버헤드 전극 커패시턴스에 대한 전술한 범위가 주어지면, 전극-플라즈마 공진 주파수는 210MHz의 소스 전력 주파수에 대하여 대략 200MHz이다.
이러한 방식에서 전극 어셈블리(126)의 커패시턴스를 선택한후 결과적인 전극-플라즈마 공진 주파수 및 소스 전력 주파수를 매칭시키는 현저한 장점은 소스 전력 주파수 근처에서 전극 및 플라즈마의 공진이 넓은 임피던스 매칭 및 넓은 처리 윈도우를 제공하고 이에 따라 처리 조건들의 변화에 대한 면역성이 증가할 뿐만아니라 성능의 안전성이 향상된다. 전체 처리 시스템은 동작 조건들의 변화들, 예컨대 플라즈마 임피던스의 시프트들에 덜 민감하게 되며 이에 따라 처리 응용이 확대될 뿐만아니라 신뢰성이 향상된다. 후술하는 바와같이, 이러한 장점은 전극-플라즈마 공진 주파수 및 소스 전력 주파수간의 작은 오프셋에 의하여 더 강화된다.
도 25는 내부 및 외부 코일들(60, 65)이 고정 튜닝 스터브를 통해 VHF 플라 즈마 소스 전력 생성기에 접속된 오버헤드 전극을 가지며 그것의 주변에서 MERIE 전자석들을 가지는 용량성 결합 반응기와 결합될 수 있는 방법을 기술한다. 이러한 반응기는 "플라즈마에 튜닝된 오버헤드 RF 전극을 가진 플라즈마 반응기"라는 명칭으로 2001년 12월 19일에 Daniel Hoffman 등에 의하여 출원된 미국특허 출원번호 제10/028,922호에 개시되어 있으며, 이 출원은 본 발명의 양수인에게 양도되고 여기에 참조문헌으로서 통합된다.
도 25를 참조하면, VHF 용량성 결합 플라즈마 반응기는 도 1A의 반응기에서 발견된 이하의 엘리먼트들, 즉 반도체 웨이퍼(110)를 지지하는 챔버의 바닥에서 웨이퍼 지지부(105)를 가진 반응기 챔버(100)를 포함한다. 기술된 경우의 처리 키트는 접지된 챔버 몸체(127)상에 유전체 링(120)에 의하여 지지되는 반도전 또는 도전 링(115)으로 구성된다. 챔버(100)는 유전체 링(130)에 의하여 접지된 챔버 몸체(127)상의 웨이퍼(110) 위에서 미리 결정된 갭 길이에서 지지된 디스크형 오버헤드 알루미늄 전극(125)에 위하여 상부에 한정된다. 오버헤드 전극(125)은 내부 표면상에 반금속 재료(예컨대, Si 또는 SiC)로 덮혀질 수 있는 금속(예컨대, 알루미늄)일 수 있거나 또는 반금속 재료 그 자체일 수 있다. RF 생성기(150)는 RF 전력을 전극(125)에 공급한다. 생성기(150)로부터의 RF 전력은 생성기(150)에 매칭되는 동축 케이블(162)을 통해 전극(125)에 접속된 동축 스터브(135)에 결합된다. 스터브(135)는, 이하에서 더 완전히 기술되는 바와같이, 특징 임피던스 및 공진 주파수를 가지고 전극(125) 및 동축 케이블(162)/RF 전력 생성기(150)간의 임피던스 매칭을 제공한다. 챔버 몸체는 RF 생성기(150)의 RF 리턴(RF 접지)에 접속된다. 오버헤드 전극(125)으로부터 RF 접지까지의 RF 경로는 처리 키트 유전체 링(120) 및 유전체 시일(130)의 커패시턴스에 의하여 영향을 받는다. 웨이퍼 지지부(105), 웨이퍼(110) 및 처리 키트 반도전(또는 도전) 링(115)은 전극(125)에 공급된 RF 전력에 대한 1차 RF 리턴 경롤르 제공한다.
도 1A의 경우에서 처럼, 내부 코일(60)은 외부 코일(65)의 직경의 1/2보다 작으며 외부 코일(65)보다 챔버로부터 더 멀리 떨어진 평면에 배치된다. 외부 코일(65)은 전극(125)의 상부평면에 또는 이 평면에 근접하여 배치되는 반면에, 내부 코일(60)은 전극(125) 위에 배치된다. 도 1의 경우에서 처럼, 코일들(60, 65)에서의 D.C. 전류들은 플라즈마 조정 제어기(90)에 의하여 제어된다.
플라즈마 밀도 분배 균일성의 개선점은 반응기 챔버(도 7 및 도 8에 도시된 것과 유사함)의 외부 및 웨이퍼 지지 페데스탈의 주변에 동일하게 배치된 MERIE 전자석들(902)의 세트를 도입함으로서 달성된다. 이들 MERIE 자석들은 웨이퍼 지지 페데스탈의 표면 전반에 걸쳐 실린더형 챔버의 대칭축에 대하여 저속으로 회전하는 자기장을 생성하는데 적합하다. 일 경우에, 이러한 특징은 웨이퍼 지지 페데스탈의 원주에 대하여 접하는 각각의 축들 주변에 감겨진 전지석 권선들을 가진 MERIE 자석들(902)에 의하여 달성된다. 이러한 경우에, MERIE 전류 제어기(904)는 각각의 MERIE 자석에 대한 개별 전류를 제어한다. 순환 자기장은 동일한 주파수를 가지나 90도 정도(또는 MERIE 자석들의 수에 의하여 분할된 360도 정도) 위상 오프셋된 개별 자석 권선들의 각각에 개별 AC 전류들을 제공하는 제어기(904)에 의하여 워크피스 지지부의 평면에서 생성된다. 대안 경우에, 회전 자기장의 특징은 회전 자(1025)에 의하여 대칭축에 대하여 회전되는(점선) MERIE 자석들의 모두를 지지하는 지지 프레임(1020)(점선)에 의하여 달성된다. 이러한 대안 경우에, MERIE 자석들은 영구 자석들이다.
워크피스 또는 웨이퍼 지지부 페데스탈 주위에 그러나 MERIE 자석들(902)의 제 1세트보다 높은 평면에 동일하게 이격된 MERIE 자석들(906)(점선으로 도시됨)의 제 2어레이가 또한 제공될 수 있다. 자석들의 양 세트는 워크피스 지지부의 평면 근처에 있는 각각의 평면들에 배치된다.
제어기(910)는 전자석들(902, 906)의 각각에 저주파수(0.5-10Hz) AC 전류를 공급하며, 인접 자석들에 공급된 전류들의 위상들은 앞서 기술된 바와같이 90도 정도 오프셋된다. 결과는 AC 전류의 저주파수에서 워크피스 지지부의 대칭축에 대하여 회전하는 자기장이다. 자기장은 플라즈마가 워크피스 표면 근처의 자기장쪽으로 향하여 자기장과 함께 순환되도록 한다. 이는 플라즈마의 밀도 분배가 더 균일하게 되도록 플라즈마를 뒤섞는다. 결과로서, 반응기의 성능은 웨이퍼의 전체 표면 전반에 걸쳐 더 균일한 에칭 결과들이 획득되기 때문에 상당히 개선된다.
결합 오버헤드 전극 및 가스 분배 플레이트:
챔버내에서 가스 분배의 균일성을 개선하기 위하여 오버헤드 천장으로부터 처리가스를 공급하는 것이 바람직하다. 이러한 목적을 위하여, 도 24 및 도 25의 경우들에서 오버헤드 전극(125)은 가스 분배 사워헤드일 수 있으며 따라서 워크피스 지지부(105)와 대면하는 바닥면에서 다수의 가스 주입 포트들 또는 작은 홀들(300)을 가진다. 전형적인 경우에, 홀들(300)은 0.01 내지 0.03 인치의 직경을 가 지며, 이에 따라 홀들의 중심들은 약 3/8 인치만큼 균일하게 이격된다.
오버헤드 전극/가스 분배 플레이트(125)(이후 가스 분배 플레이트(125)로서 언급됨)는 아킹에 대한 저항을 개선한다. 이는 각각의 개방부 또는 홀(300)의 중심으로부터 처리가스 및/또는 플라즈마를 분리하는 아크 억제 특징의 도입 때문이다. 이러한 아크 억제 특징은 도 26의 단면도 및 도 27의 확대된 단면도에서 도시된 바와같이 각각의 실린더형 핑거들 또는 박막 로드들(303)의 끝에서 지지되는 홀들(300)의 중심들에 있는 중심 피스들 또는 디스크들(302)의 세트이다. 전형적인 가스 분배 플레이트내의 아킹은 가스 주입 홀들의 중심 근처에서 발생하는 경향이 있다. 따라서, 각각의 홀(300)의 중심에 중심 피스들(302)을 배치하면, 처리 가스가 각각의 홀(300)의 중심에 도달하는 것이 방지되어 아킹의 발생이 감소된다. 도 28의 평면에 도시된 바와같이, 홀들(300)에 중심 피스들(302)을 도입하면, 원형 개방부들 또는 홀들(300)이 환상 개방부들로 변형된다.
도 29A를 참조하면, 아크 억제가 개선된 가스 분배 플레이트(125)는 커버(1402) 및 베이스(1404)를 구성한다. 베이스(1404)는 내부 쇼율더(1410)을 가진 환상 벽(1408)에 의하여 둘러싸여 형성된 가스 주입 개방부들을 가진 원반모양 플레이트(1406)이다. 커버(1402)는 또한 원반모양 플레이트이다. 디스크들(302)은 커버(1402)의 바닥면에 부착되고 이 바닥면으로부터 아래로 연장하는 실린더형 핑거들(303)의 끝 섹션들이다. 커버(1402)의 외부 에지는 커버(1402) 및 베이스(1404)사이의 가스 매니폴드(1414)(도 26)를 형성하기 위하여 베이스(1404)의 쇼율더(1410)상에 배치된다. 처리 가스는 커버(1402)의 중심에 있는 가스 인입구 (1416)로부터 매니폴드(1414)로 흐른다.
챔버내에서 처리 가스 또는 플라즈마에 접촉하는 가스 분배 플레이트(125)의 부분들은 실리콘 카바이드와 같은 반도체 처리 호환가능 재료로 코팅된 알루미늄과 같은 금속으로 형성될 수 있다. 이러한 예에서, 커버(1402)의 상부면을 제외하고 가스 분배 플레이트의 모든 표면들은 도 29B의 확대된 부분 단면도에 지시된 방같이 실리콘 카바이드 코팅부(1502)로 덮혀진다. 도 30에 도시된 바와같이, 커버(1402)의 알루미늄 상부면은 가열 교환기(1524)에 의하여 순환되는 냉각제를 사용하여 물 자켓들(1522)에 의하여 물로 냉각될 수 있는 온도 제어 부재(1520)와 접촉하며, 이에 따라 가스 분배 플레이트(125)의 열도전 알루미늄 재료는 제어된 온도를 가진다. 선택적으로, 도 31에 도시된 바와같이, 물 자켓들은 가스 분배 플레이트(125)내에 있을 수 있다.
그러나, 실리콘 카바이드 코팅부(1502)가 동일한 제어된 온도를 가지도록 하기 위하여, 실리콘 카바이드 코팅부 및 알루미늄간의 열 도전 결합이 존재해야 한다. 그렇치 않으면, 실리콘 카바이드 코팅부의 온도는 제어하기에 곤란하게 변동할 수 있다. 가스 분배 플레이트(125)의 알루미늄 재료 및 실리콘 카바이드 코팅부간의 양호한 열 도전성을 달성하기 위하여, 중합체 결합층(1504)은 도 29A에 도시된 바와같이 알루미늄 가스 분배 플레이트 및 실리콘 카바이드 코팅부(1502)사이에 형성된다. 도 29A는 실리콘 카바이드 코팅부(1502) 및 알루미늄 베이스(1404)사이에 중합체 결합층(1504)가 배치되도록 도시한다. 중합체 결합층은 알루미늄 및 실리콘 카바이드 코팅부(1502)사이의 양호한 열 도전성을 제공하며, 이에 따라 코팅부(1502)의 온도가 열 교환기(1524)에 의하여 제어된다.
도 32, 도 33 및 도 34는 도 29A의 가스 분배 플레이트(125)가 이중 영역 가스 흐름 제어를 제공하도록 수정될 수 있다. 이러한 특징은 상호 보완적인 처리가스 분배를 선택함으로서 중심에서 높거나 또는 중심에서 낮은 에칭비 또는 증착비 공간 분배를 보상하기 위하여 사용될 수 있다. 특히, 환상 분할 또는 벽(1602)은 가스 매니폴드(1414)를 중심 매니폴드(1414a) 및 외부 매니폴드(1414b)로 분할한다. 중심 매니폴드(1414a)를 공급 중심 가스 공급원(1416)외에, 가스 분배 플레이트(125)의 중심 및 주변사이의 다른 가스 공급원(1418)는 외부 매니폴드(1414b)를 공급한다. 이중 영역 제어기(1610)는 내부 및 외부 가스 공급원들(1416, 1418)사이의 처리 가스 공급원(1612)로부터 가스 흐름을 할당한다. 도 35는 가스 분배 플레이트의 내부 및 외부 매니폴드들(1414a, 1414b)로의 가스 흐름의 상대량을 관절형 베인(1618)이 제어하는 밸브(1610)의 한 구현을 기술한다. 지능형 흐름 제어기(1640)은 베인(1618)의 위치를 관리한다. 도 36에 기술된 다른 구현에서, 밸브들(1651, 1652)의 쌍은 챔버의 각각의 방사상 영역들에 대한 개별 가스 흐름 제어를 수행한다.
도 37은 가스 분배 플레이트(125)가 3개의 가스 흐름 영역들을 가지는 경우를 기술하며, 여기서 매니폴드(1414)는 내부 및 외부 환상 구획들(1604, 1606)에 의하여 3개의 매니폴드들(1414a, 1414b, 1414c)로 분할된다. 3개의 각각의 가스 공급원들(1416, 1418, 1420)은 각각의 매니폴드들(1414a,b,c)에 가스 흐름을 제공한다.
오버헤드 코일들(60, 65)를 가지는 것으로 다양한 경우들이 기술될지라도, 도 37은 두개 이상의 오버헤드 코일들이 존재할 수 있다는 것을 도시한다. 사실상, 도 37의 경우는 3개의 동심 오버헤드 코일들(60, 64, 65)를 가지는 것으로 기술된다. 개별적으로 제어되는 오버헤드 코일들의 수를 증가시킴으로서, 처리 비균일성들이 보상되는 분석이 증가되는 것을 느낀다.
도 34 및 도 37의 다중 영역 가수 분배 플레이트들은 워크피스의 내부 및 외부 처리 영역들간의 가스 할당을 융통성있게 제어할 수 있는 장점을 보유한다. 그러나, 가스 흐름을 커스터마이징하기 위한 다른 방식은 가스 분배 플레이트(125)의 다른 반경에서 다른 가스 주입 홀 크기들을 제공함으로서 그것을 영구적으로 수행하는 것이다. 예컨대, 만일 반응기가 중심에서 높은 공간 에칭비 분배를 제공하는 경향을 가지면, 중심에서의 작은 가스 주입 홀들(300) 및 주변 근처에서의 큰 가스 주입 홀들을 사용함으로서 챔버의 중심에서 적은 가스가 공급되고 챔버의 주변에서 많은 가스가 공급된다. 이러한 가스 분배 플레이트는 도 38의 평면도에 기술된다. 중심에서 낮은 에칭 분배에 대하여, 대향 홀 구조는 도 39에 기술된 바와같이 사용된다.
도 9의 반응기내에서 플라즈마 조정
도 11-도 14를 참조로하여 앞서 기술된 플라즈마 조정은 도 9의 경우에서 수행된다. 측벽으로 향하는 자기장은 -13 암페어의 전류를 내부 코일(60)에 공급하고 +1.4 암페어의 전류를 외부 코일(65)에 공급함으로서 생성된다. 천장 또는 전극(125)의 주변쪽으로 향하는 자기장은 -13암페어의 전류를 내부 코일(60)에 공급 하고 +5.2 암페어의 전류를 외부 코일965)에 공급함으로 생성된다. 측벽에서의 고밀한 자기장은 -13 암페어의 전류를 내부 코일(60)에 공급하고 +9.2의 전류를 외부 코일(65)에 공급함으로서 생성된다. 세정동안 챔버 표면들의 에칭비가 앞서 기술된 방식으로 천장 또는 전극(125)의 주변쪽으로 향하는 자기장을 공급함으로서 40% 정도 개선된다는 것이 발견되었다.
코일 구성들:
전술한 경우들이 내부 및 이부 코일들(60, 65)과 관련하여 기술될지라도, 많은 수의 코일들이 사용될 수 있다. 예컨대, 도 40의 경우는 5개의 오버헤드 코일들(4060, 4062, 4064, 4066, 4068)를 가지며, 이들의 각각은 제어기(90)에 의하여 개별적으로 제어되는 그 자체의 전류를 가진다. 코일들(4060, 4062, 4064, 4066, 4068)은 천장(125)위에서 동일한 높이에 있을 수 있거나(도 40에서 처럼) 또는 다른 높이들로 있을 수 있다. 도 41은 오버헤드 코일들(60, 65)가 동일한 높이에 있는 경우를 기술한다. 도 41에서, 각각의 코일(60, 65)의 권선들은 수직 및 방사 방향들에서 스택된다. 도 42 및 도 43은 코일들(60, 65)이 수직 방향으로 그리고 방사 방향으로 연장하는 권선들을 가지는 다른 경우를 기술한다.
도 1A와 관련하여 앞서 기술된 바와같이, 비균일 분배를 보상하는 플라즈마상의 자기 압력은 자기장의 제곱 경사도의 방사상 성분에 비례한다. 따라서, 가장 효율적인 방법은 첨단형 자기장과 같은 큰 방사상 경사도를 가진 자기장을 사용하는 것이다. 앞서 추가로 논의된 바와같이, 첨단 자기장의 현저한 효율성은 주어진량의 자기압력에 대하여 필요한 자기장 강도를 감소시키며, 이에 따라 높은 자기 장들과 연관된 장치 손상을 감소 또는 제거할 수 있다. 도 44는 완전한 첨단형 자기장이 챔버 위 및 아래에 각각 배치된 코일들(4420, 4440)의 쌍에 의하여 생성되는 경우를 기술한다. 상부 및 하부 코일들(4420, 4440)의 전류 흐름은 각각 시계방향 및 반시계방향이다. 도 45는 코일들(4420, 440)의 쌍에 의하여 생성된 완전한 첨단형 자기장의 자기장 라인 패턴을 간략하게 설명한다.
도 46은 종래의 MERIE 반응기(4650)의 4개의 전자석들(4610, 4620, 4630, 4640)이 도 45의 완전한 첨단형 자기장를 생성하기 위하여 사용되는 경우를 기술한다. 전자석들(4610, 4620, 4630, 4640)의 각 전자석의 전류들을 제어하는 전류 제어기(4660)는 도 46에서 화살표들로 지시된 바와같이 모든 전자석들(4610, 4620, 4630, 4640)에서 동일한 방향(예컨대, 시계방향)으로 흐르는 D.C. 전류들을 공급하도록 프로그래밍된다. 이러한 방식에서, 상부 도체들(4610a, 4620a, 4630a, 4640a)의 D.C. 전류들은 시계방향 전류 루프를 형성하며, 하부 도체들(4610b, 4620b,4630b, 4640b의 D.C. 전류들은 시계 반대방향 전류 루프를 형성하며, 화살표의 각각의 코너에서 인접 전자석들(예컨대, 수직 도체들(4220c, 4630d)의 수직 도체들의 전류들은 웨이퍼 표면에서 서로간의 자기장들을 제거한다. 효과는 도 44의 경우와 유사하게 챔버의 상부 및 하부에서 시계방향 및 시계 반대방향 전류 루프들을 생성하는 것이며, 이와 동일한 결과를 야기하는 완전한 첨단형 자기장이 도 45에 기술된다. 도 46의 반응기는 이하의 3가지 모드들중 어느 하나에서 동작된다.
첨단 자기장이 생성되는 자기 압력 모드;
웨이퍼 표면위에서 저속 회전 자기장을 생성하기 위하여 4개의 전자석들 (4610, 4620, 4630, 4640)에 4개의 사인파 전류들이 공급되는 사인파 모드;
4개의 전자석들(4610, 4620, 4630, 4640)의 방향에 대하여 대각선 방향으로 웨이퍼 표면을 가로질러 연장하는 일반적인 직선 자기장을 생성하기 위하여 하나의 D.C. 전류를 가진 한쌍 및 대향 D.C. 전류를 가진 반대 쌍을 포함하는 인접 쌍들의 대항 세트들에 4개의 전자석들(4610, 4620, 4630, 4640)이 접지되는 구성가능 자기장(CMF) 모드. 이러한 그룹핑은 4개의 대각선 방향들을 통해 자기장이 회전하도록 전류들을 스위칭함으로서 회전된다. 이들 방향들의 시간 시퀀스는 도 47A, 도 47B, 도 47C 및 도 47D에 기술된다.
도 47A에서, 전자석들(4610, 4620)은 양의 D.C. 전류 흐름을 가지는 반면에, 전자석들4630, 4640)은 음의 D.C. 전류 흐름을 가지며, 결과적인 평균 자기장 방향은 도면의 상부 좌측 코너로부터 도면의 하부 우측 코너로 향한다. 도 47B에서, 그룹핑들은 전자석들(4620, 4630)이 양의 전류 흐름을 가지고 전자석들(4640, 4610)이 음의 전류 흐름을 가지며 평균 자기장이 90도 정도 시계방향으로 회전되도록 스위칭된다. 도 47C 및 도 47D는 사이클은 완료한다. 자기장 라인의 강도는 공급된 양 및 음의 D.C. 전류들의 진폭차에 의하여 결정되며 원하는 대로 제어기(4650)를 프로그래밍함으로서 조절될 수 있다.
도 9의 방법은 비균일 에칭비 또는 플라즈마 이온 밀도 분배에 대하여 최상의 보상을 제공하기 위하여 4개의 전자석들(4610, 4620, 4630, 4640)의 D.C. 전류들을 정확하게 선택하도록 형성된 CMF에서 사용될 수 있다. 도 9의 방법을 도 47A-D의 CMF 모드에 적용할때, 각각의 전자석들 또는 코일들(4610, 4620, 4630, 4640)의 코일들은 오버헤드 코일들(60, 65)로 대체되며, 도 9의 모든 단계들은 대체에 따라 수행된다. 단지 차이점은 각각의 코일로부터의 자기장이 도 47A-D에 대응하는 4개의 시간주기들위에서 평균으로서 계산된다는 점이다.
도 48은 펌핑 고리위에 삽입된 특정 격자(4810)를 포함하는 반응기를 기술한다. 격자(4810)는 실리콘 카바이드와 같은 반도전 재료 또는 알루미늄과 같은 도전 재료로 형성되며, 챔버로부터 펌핑 고리를 통해 가스가 배기되도록 하는 개방부들(4820)를 가진다. 특정 격자(4810)는 펌핑 고리로부터 플라즈마를 제외하며 이에 따라 필요한 보호 및 처리 제어가 제공된다. 이를 위하여, 방사상 평면에서 각각의 개방부(4820)의 내부를 가로지르는 거리는 거의 플라즈마 외장 두께의 두배이다. 이러한 방식에서, 상기는 격자(4810)를 통해 플라즈마가 관통하는 것이 불가능하지 않은 경우에 곤란할 수 있다. 이는 펌핑 고리내의 챔버 표면들과 플라즈마의 상호작용을 감소 또는 제거한다.
도 49 및 도 50은 도 48의 플라즈마 제한 격자(4810)를 통합하는 일체형으로 형성된 제거가능 챔버 라이너(4910)를 기술한다. 라이너(4910)는 전극(125) 위 및 웨이퍼(110) 아래 놓인 영역의 외부에 방사상으로 배치된 챔버의 부분들을 커버한다. 따라서, 라이너(4910)는 챔버 천장의 외부 주변을 덮는 상부 수평 섹션(4920), 챔버 측벽을 덮는 수직 섹션(4930), 및 플라즈마 한정 격자(4810)를 포함하고 펌핑 고리 뿐만아니라 웨이퍼(110)에 인접한 환상 표면을 덮는 하부 수평 섹션(4940)을 포함한다. 일 경우에, 섹션들(4920, 4930, 4940)의 각각은 모놀리식 실리콘 카바이드 피스(4950)로서 함께 형성된다. 라이너(4910)는 실리콘 카바이드 피스(4950)의 하부 수평 섹션(4940) 아래에 있는 알루미늄 베이스(4960)를 더 포함하며 이에 결합된다. 알루미늄 베이스(4960)는 비교적 길고 얇은 하향 연장 환상 레일들(4962, 4964)를 포함하며, 웨이퍼 지지 페데스탈(105) 아래에 접지된 챔버의 구조적 엘리먼트들에 양호한 전기적 도전성을 제공한다.
반응기는 하향 연장 환상 레일들(4962, 4964)와의 열적 접촉하는 온도 제어 엘리먼트들(4972, 4974) 뿐만아니라 수신측 섹션4930)과의 열적 접촉하는 오도 제어 엘리먼트(4976)를 가질 수 있다. 열적 제어 엘리먼트들(4972, 4974, 4976)의 각각은 냉각제 통로들을 포함하는 냉각 장치 및 전기 히터를 포함하는 가열장치를 포함할 수 있다. 라이너(4910)의 내부 표면들상에 중합체 또는 플루오르탄소 화합물들의 증착을 최소화 또는 방지하기 위하여 충분하 높은 온도(예컨대, 120 도 F 만큼 높은)로 라이너(4910)을 유지하는 것이 바람직할 수 있다.
라이너(4910)는 그것이 양호한 접지 리턴 경로를 제공하기 때문에 처리 안정성을 강화한다. 이는 전기 전위가 실리콘 카바이드 피스(4950)(상부 수평 섹션(4920), 수직 섹션(4930) 및 하부 수평 섹션(4940)의 내부 대면 표면들을 포함함)의 내부 표면을 따라 균일한 사실 때문이다. 결과로서, 라이너(4910)는 오버헤드 전극(125) 또는 웨이퍼 페데스탈(105)로부터 전달된 전력에 대한 내부 대면 표면들의 모두에서 균일한 RF 리턴 경로를 제공한다. 일 장점은 플라즈마 변동들이 라이너(4910)의 내부 표면의 다른 부분들에 집중하도록 RF 리턴 전류 분배를 이동시킨다는 점이며, 임피던스는 전류가 일정하게 유지된다는 것을 제시한다. 이러한 특징은 처리 안정성을 촉진한다.
도 51은 오버헤드 솔레노이드들(60, 65)가 MERIE 자석들(92, 94, 96, 98)의 정사각형 패턴과 대칭인 정사각형 패턴을 한정하는 도 7의 경우에 대한 수정을 기술하며 포토리소그라픽 마스크와 같은 정사각형 반도체 또는 유전체 워크피스(4910)를 균일하게 처리하는데 특히 적합하다.
도 52는 웨이퍼 지지 페데스탈(105)이 위 및 아래로 이동될 수 있는 도 24의 반응기에 대한 버전을 기술한다. 플라즈마 이온 방사상 분배를 제어하는 두개의 오버헤드 코일들(60, 65)외에, 웨이퍼 지지 페데스탈(105)의 평면 아래에 있는 바닥 코일(5210)이 존재한다. 더욱이, 챔버의 주변에서 외부 코일(5220)이 존재한다. 외부 오버헤드 코일(65) 및 바닥 코일(5210)은 챔버내에 완전한 첨단 자기장을 형성하기 위하여 대향 D.C. 전류들을 가질 수 있다.
오버헤드 코일들(60, 65)이 오버헤드 소스 전력 전극 및 가스 분배 플레이트로서 사용되는 오버헤드 천장을 가진 반응기와 관련하여 기술되었을지라도, 천장은 가스 분배 플레이트가 아닌 타입일 수 있으며, 처리 가스들은 다른 종래의 방식(예컨대, 측벽을 통해) 도입된다. 더욱이, 코일들960, 65)은 소스 전력이 천장 전극에 의하여 용량적으로 결합되지 않는 반응기에서 사용될 수 있다. 또한, 오버헤드 전극의 임피던스 매칭 엘리먼트는 동축 튜닝 스터브와 같은 고정 엘리먼트인 것으로 기술되었다. 그러나, 임피던스 매칭 엘리먼트는 종래의 동적 임피던스 매칭 회로와 같은 임의의 적절한 또는 종래의 임피던스 매칭 장치일 수 있다.
3개의 자석 3개의 모드 플라즈마 분배 제어:
플라즈마 강화 반응 이온 에칭과 같은 플라즈마 공정들에서, 자기장들은 반 도체 장치 전반에 걸쳐 에칭비의 방사상 분배에 대한 균일성을 개선하기 위하여 사용된다. 대부분의 경우에, 플라즈마 이온 밀도는 웨이퍼 중심에서 크고 중심이외의 웨이퍼 부분에서 낮으며, 이에 따라 에칭비는 웨이퍼 중심에서 높고 웨이퍼 주변에서 낮은 경향이 있다. 자기장들은 플라즈마 이온 밀도의 방사상 분배를 변화시키기 위하여 내부 및 외부 자석들(60, 65)에 의하여 생성될 수 있다. 전형적으로, 적정 효과는 웨이퍼 중심에서 플라즈마 이온 밀도를 감소시키고 웨이퍼 주변에서 플라즈마 이온 밀도를 증가시키는 것이다. 내부 및 외부 전자석들(도 1B)은 플라즈마 이온 밀도 분배 균일성을 개선하기 위하여 사용될 수 있다. 함께 생성되는 자기장은 방사상 성분 B r(이의 플럭스 라인은 평면 웨이퍼 표면과 평행하며) 및 축 성분 B z(이의 플럭스 라인은 평면 웨이퍼 표면에 수직하다)과 같은 두개의 성분들로 분해됨으로서 분석될 수 있다. 자기장의 방사상 성분 B r 은 (예컨대, CVD 공정에서 에칭 공정 또는 증착시에 에칭비의 균일한 방사상 분배를 달성하기 위하여) 플라즈마 이온밀도의 방사상 분배를 변화 또는 보상할때 가장 효율적이다. 그러나, 단지 내부 및 외부 전자석들(60, 65)을 사용하면, 방사상 성분 B r의 변화에 의하여 지시된 방식으로 자기장의 축 성분 B z을 필연적으로 변화시킨다. 예컨대, B r의 증가는 B z 불가피한 증가를 야기한다. 이러한 Bz의 증가는 바람직하지 않을 수 있다. 축 성분 Bz를 제어하면 웨이퍼상의 장치 손상의 감소와 밀접하게 관련되어 있다는 것이 발견되었다(예컨대, 전하누적, 고전기장, 고유도전류 또는 전압으로 인하여). 많은 경우에 축 성분 Bz를 동시에 감소시키면서 방사 성분 Br를 적절한 정도로 최적화(예컨대, 증가)시키는 것이 바람직하다.
도 53A를 참조하면, 도 1B의 내부 및 외부 전자석들(60, 65)은 웨이퍼 평면 아래에 있는 바닥 전자석(401)에 의하여 증대된다. 제어기(90)에 의하여 관리되는 D.C. 전류 공급원(403)는 바닥 전자석(401)에 D.C. 전류를 공급하는 반면에, 제어기(90)에 의하여 관리되는 전류 공급원들(70, 75)은 내부 및 외부 전자석들960, 65)에 전류를 공급한다. 도 53A의 각각의 전자석(60, 65, 401)은 단일 도체 권선으로 구성되는 반면에 도 53B에 기술된 바와같이 수직으로 배열된 다수의 권선들로 구성될 수 있다. 도 54는 3개의 전자석들(60, 65, 401)이 도 24의 반응기에 배치될 수 있는 방법을 기술한다. 전술한 바와같이, 도 24의 반응기는 VHF 플라즈마 소스 전력에 의하여 고정 임피던스 매칭 엘리먼트를 통하여 전극 및 플라즈마가 공진하는 주파수로 구동되는 오버헤드 VHF 전극을 가진다.
자석들(60, 65, 401)은 3가지 형태의 자기장들중 어느 하나(또는 결합), 즉 Br 및 Bz이 웨이퍼(20)의 표면에서 강한 솔레노이드 자기장(도 55A)을 생성하기 위하여 사용될 수 있다. 이러한 솔레노이드 자기장은 3개의 전자석들(60, 65, 401)중 단지 하나에 전류를 공급함으로서 생성될 수 있다. 도 56A는 전류가 솔레노이드 자기장을 생성하기 위하여 외부 전자석(65)에 공급되는 예를 기술한다. 또한, 자석들(60, 65, 401)은 웨이퍼의 표면에서 단지 BR이 강하고 BZ이 약하거나 또는 없을 수 있는 첨단 자기장(도 55B)을 생성하기 위하여 사용될 수 있다. 이러한 첨단 자기장은 바닥 전자석(401) 및 내부 또는 외부 전자석(60, 65)중 하나로부터 동일하고 반대인 자기장들을 생성함으로서 생성될 수 있다. 도 56B는 첨단 자기장을 생성하기 위하여 바닥 및 외부 전자석(401, 65)에 반대 전류들이 공급되는 예를 기술한다. 이러한 예에서는 각 자석(65, 401)의 코일 권선(들)이 동일하게(시계방향 또는 시계 반대방향으로) 감기는 것이 가정된다. 그러나, 바람직한 실시예에서, 권선들은 반대 방향으로 감길 수 있으며, 이 경우에 공급된 전류들의 극성들은 도 5에 기술된 것으로부터 개략적으로 수정될 수 있다. 자석들(60, 65, 401)은 바닥 전자석(401) 및 내부 또는 외부 전자석(60, 65)중 하나에서 동일한 방향의 자기장들을 생성함으로서 생성될 수 있는 미러 자기장(도 55C)를 생성하기 위하여 사용될 수 있다. 도 56C는 미리 자기장을 생성하기 위하여 바닥 및 외부 전자석들(401, 65)에 동일한 전류가 공급되는 예를 기술한다.
도 57A, 도 57B 및 도 58A, 도 58B는 도 53A의 반응기내에서 웨이퍼(20)의 평면에서 측정되는 바와같이 솔레노이드 자기장의 방사 및 축 자기 성분들 Br(r) 및 Bz(r)과 첨단 및 미러 자기장들의 방사 및 축 자기 성분들 Br(r) 및 Bz(r)를 비교한다. 도 57A는 솔레노이드 및 첨단 자기장들의 방사 성분들 Br(r)을 비교하며, 도 58B는 솔레노이드 및 첨단 자기장들의 축 성분들 Bz(r)를 비교한다. 도 58B는 솔레노이드 및 미러 자기장들의 방사 성분들 Br(r)를 비교하며, 도 58B는 솔레노이드 및 미러 자기장들의 축 성분들 Bz(r)을 비교한다. 솔레노이드 및 첨단 자기장들의 방 사 성분들은 필요한 경우에 거의 동일하게 만들어질 수 있으며(도 57A), 첨단 자기장의 축 성분은 거의 0이거나(그러나, 정확하지 않음) 또는 솔레노이드 자기장의 축 성분보다 훨씬 작다(도 57B). 미러 자기장의 방사 성분은 필요한 경우에 거의 0으로 만들어질 수 있거나(도 58A) 또는 솔레노이드 자기장의 축 성분보다 훨씬 작다. 미러 자기장의 축 성분은 솔레노이드 자기장의 축 성분과 거의 동일하게 만들어질 수 있다(도 58B).
도 57A 및 도 58B로부터, 방사 자기장이 웨이퍼 중심으로부터 웨이퍼 주변에서 최대 강도로 증가하기 때문에 방사 자기장이 중심에서 높은 플라즈마 이온 분배를 보상하는데 이상적인 것으로 보이는 것을 알 수 있다. 이는 도 59의 그래프에서 도시된 데이터에서 확인되며, 도 59에서는 플라즈마 이온 포화 전류(수직축)에 의하여 지시된 플라즈마 이온 밀도가 웨이퍼 표면상의 반경(수평축)의 함수로서 작도된다. "제로 전류"로 라벨링된 곡선은 제로 자기장에 대응하며 중심에서 높은 플라즈마 이온 분배에 대한 적어도 최적 배치를 도시한다. 중심에서 높은 플라즈마 이온 분배에 대한 최상의 보상은 외부 전자석(65)에서 5암페어 또는 10암페어에 의하여 생성된 두개의 솔레노이드 자기장("5A 솔레노이드" 및 "10A 솔레노이드"로 각각 라벨링됨)이다. 이는 도 59의 그래프에 따라 웨이퍼의 중심으로부터 웨이퍼의 에지로 최고로 증가하는 솔레노이드 자기장들이다.
도 60은 다른 자기장들에 대하여 200mm 실리콘 웨이퍼의 웨이퍼 표면상에서의 방사 위치의 함수로서 에칭비를 측정함으로서 획득된 데이터의 그래프이다. 미러 자기장은 에칭비 분배의 최상의 균일성 또는 낮은 편차(약 1.7% 부분 편차)를 생성하며, 여기서 부분 편차는 웨이퍼 전반에 걸친 평균 에칭비에 의하여 나누어진 표준편차로서 한정된다. 다음의 최상의 균일성은 약 2%의 부분 편차를 생성하는 솔레노이드 자기장으로 획득된다. 첨단 자기장("100% 첨단"으로 라벨링됨)은 단지 7.9%의 부분 편차를 가지고 단지 세번째로 양호하다. 그러나, 웨이퍼상의 장치 손상(전하 축적, 방전 또는 로컬 고전류 또는 전압 조건들로 인하여)의 측정은 반대 결과들을 생성하며, 여기에서 가장 균일한 경우(미러 자기장)는 다음으로 최상의 장치 손상을 가지며 첨단 자기장은 거의 손상되지 않는다. 이들 결과들은 도 61과 관련하여 이하에서 논의될 것이다.
전술한 결과들은 방사 성분 Br(r)에 대한 자기장 축 성분 Bz(r)의 제어가 웨이퍼상의 장치 손상을 개선하기 위하여 근접하게 링크되는다는 것을 제시한다. 첨단 자기장은 장치의 손상이 작거나 또는 제거된다. 그러나, 반경을 증가시킴으로서 도 57A 및 도 58A에 도시된 방사 성분 Br(r)의 작용이 축 성분 Bz(r)보다 균일성을 달성하는 더 양호한 특성들로 유지된다는 것을 느낄 수 있다. 따라서, 이하의 방법은 다음과 같이 수행된다. 첨단 자기장은 웨이퍼 에지에서의 방사 성분 Br이 양호한 결과를(즉, 웨이퍼 에지에서 22 가우스)를 산출한 도 60의 솔레노이드 자기장에서와 동일하게 조절된다. 그 다음에, 첨단 자기자의 크기는 균일한 결과들이 솔레노이드 자기장에서 획득된 이상적인 결과들에 근접하게 획득될때까지 (Bz를 최소화하거나 또는 제거하면서 Br(r)을 증가시켜서) 증가된다. 이는 웨이퍼 에지에서 의 Br가 22가우스로부터 32 가우스로(또는 약 160%) 증가될때까지 첨단 자기장 크기를 증가시킬 것을 필요로한다는 것을 발견하였다. 이는 도 60의 그래프에서 "첨단 160%"로 라벨링된 에칭비 분배 곡선을 생성한다. 이 지점에서, 에칭비에서 부분 편차는 2.4%로 감소된다. 첨단 자기장의 강도를 증가시킴에도 불구하고 첨단 자기장은 장치의 손상을 계속해서 감소시키거나 또는 제거한다.
전술한 것은 도 61의 테이블에 요약된다. 좌측 컬럼은 자기장 형태를 기술하며 자기장에 대하여 웨이퍼 중심에서 Bz 및 웨이퍼 에지에서 Br를 가우스로 리스트한다. 중앙 컬럼은 에칭비의 대응 부분 편차(비균일성)를 리스트하며 우측 컬럼은 장치 손상의 평가(양호한지 또는 불량한지)를 제공하며 유도된 장지 전류(밀리암페어) 및 전압(볼트)을 리스트한다. 도 61의 테이블은 솔레노이드 자기장의 에지와 매칭되는 웨이퍼 에지에서 Br를 가진 첨단 자기장으로 획득된 불량한 균일성 및 양호한 장치 손상 결과들 및 솔레노이드 및 미러 자기장들로 획득된 양호한 균일성 및 불량한 장치 손상 결과들을 도시한다. 테이블의 마지막 로우는 첨단 자기장(다른 자기장들이 가상으로 존재하지 않을때)이 이전 레벨의 160%로 증가될때 획득된 양호한 균일성 및 양호한 장치 손상을 도시한다.
전술한 방법은 도 62에 기술된 방법에서 용이하게 된다. 제 1단계(도 62의 블록(415))는 에칭비 방사 분배 비균일성이 최소화되는 솔레노이드 자기장 강도를 발견하는 것이다. 이는 웨이퍼 에지에서 22 가우스의 방사 성분 강도를 가진 도 60의 솔레노이드 자기장에 대응한다. 정확한 값은 특정 프로세스에 따라 변화할 수 있다. 선택된 반경(예컨대, 웨이퍼 에지)에서 방사 성분값이 주목된다(블록 417). 그 다음에, 블록(417)의 단계에서 주목되는 바와같이 선택된 반경(블록 419)에서 동일한 방사 성분 자기장 강도를 가진 첨단 자기장이 형성되며, 다른 자기장들은 존재하지 않거나 무시가능하다. 최종적으로, 첨단 자기장 강도는 에칭비 방사 분배 비균일성이 최소화될때까지 증가된다(블록 421). 이러한 단계는 비록 값들이 수행되는 프로세스에 따라 변화할수 있을지라도 22가우스로부터 32가우스로의 첨단 방사 성분의 증가에 대응한다.
도 62의 방법에 기술된 첨단 자기장은 외부 전자석(65) 및 바닥 전자석(401)를 사용하여 형성된다. 일단 적정 방사 성분 Br(r)이 형성되면, 도 62의 방법에 따라 추가 트리밍 또는 보상이 비교적 작은 전류를 내부 전자석(60)에 공급함으로서 이루어질 수 있다. 내부 전자석 전류는 장치 손상 결과들을 개선하기 위하여(즉, 장치 손상을 감소시키기 위하여) 축 성분 Bz(r)를 제어 또는 감소시키거나 또는 균일성을 더 강화하도록 선택될 수 있다. 이러한 방법은 제 1단계(블록 423)가 예컨대 도 62의 방법을 사용하여 적정 방사 성분 강도 Br(r)를 형성하는 도 63의 방법에서 구현된다. 그 다음에, 내부 전자석(60)에 비교적 작은 전류를 공급함으로서 조건들이 최적화된다(균일성을 개선시키거나 또는 Bz를 제거하기 위하여).
도 64에 기술된 이러한 프로세스의 수정시에, 적정 자기장(예컨대, 이상적으로 Br(r))은 내부 및 외부 자석들(60, 65)에 형성되는 반면에, 하부 자석은 비활성 화된다(도 64의 블록 431). 그 다음에, 자기장은 적정 결과들이 확득될때까지 내부 전자석(401)를 통해 전류의 흐름을 증가시킴으로서 도 64의 블록(433)에서 트리밍된다(예컨대 필요한 경우에 Br를 증가시키기 위하여). 일부 실시예들에서, 플라즈마 이온 밀도 분배의 증가된 균일성이 장치 손상의 허용가능하지 않은 증가를 초래하지 않고 플라즈마 이온 밀도 분배의 개선된 균일성이 내부 자석(60)으로부터 매우 작은 축 자기장 Bz를 추가함으로서 획득된다.
도 64의 프로세스를 수행할때, 내부 및 외부 전자석들(60, 65)에 대한 D.C. 전류값들의 적정 세트는 에칭비 분배의 최소 비균일성을 위하여 발견될 수 있다. 이는 다른 것이 제로 전류를 가질때 내부 및 외부 전자석들(60, 65)중 하나에서 전류의 각각의 값에 대하여 획득된 에칭비 방사 분배를 측정함으로서 달성될 수 있다. 예컨대, 도 65는 선택된 범위(0 암페어 내지 25 암페어)내에서 내부 자석(60)에 공급된 D.C. 전류의 다른 값들에 대한 에칭비 방사 분배를 나타내는 곡선들을 포함하는 그래프이다. 도 66는 선택된 범위(0 암페어 내지 25 암페어)내에서 외부 자석(65)에 공급된 D.C. 전류의 다른 값들에 대한 에칭비 방사 분배 데이터를 나타내는 곡선들을 포함하는 그래프이다. 도 65 및 도 66로부터 에칭비 분배 곡선들의 다른 쌍들은 많은 또는 모든 가능한 쌍들이 나란하게 놓이고 대응 에칭비 방사 분배 E(r)Ii,Io가 중첩에 의하여 획득될때까지 내부 및 외부 자석 전류값 Ii, Io의 주어진 쌍에 대한 결과적인 에칭비 분배를 시뮬레이트하기 위하여 중첩될 수 있다. 그 다음에, 각각의 에칭비 분배는 대응 비균일성(예컨대, 여기에서 이전에 정의된 부 분 편차 D)을 계산하기 위하여 처리된다. 이는 도 67에 기술되는 단일 표면으로서 표현될 수 있는 편차들 D(Ii, Io)의 세트를 생성한다. 이러한 표면 또는 함수는 부분 편차 D(도 67의 수직 축)를 최소화하는 Ii, Io의 값 또는 값의 세트를 찾는 종래의 기술들을 사용하여 검사될 수 있다. 이들은 내부 및 외부 자석 전류들에 대한 제어기(90)에 의하여 선택된다.
전술한 방법은 도 68에 기술된 방법에서 구현된다. 첫째, 하부 자석 전류는 0으로 세팅된다(블록 435). 에칭비 방사 분배는 분배들 E(r)Ii의 세트를 획득하기 위하여 다른 내부 저석 전류들을 위하여 측정되고(블록 437) E(r)Io의 세트를 획득하기 위하여 다른 외부 자석 전류들을 위하여 측정된다. 두개의 분배들의 대응 쌍은 대응 편차들 D(Ii, Io)가 계산되는 (블록 443) 다른 에칭비 분배 E(r)Ii,Io가 계산되는 (블록 443)다른 에칭비 분배들 E(r)Ii,Io를 형성하기 위하여 중첩된다(블록 441). 편차들 D(Ii, Io)의 세트는 최소 부분 편차들 D를 산출하는(블록 445) (Ii, Io)의 값들의 세트를 위하여 탐색되는 표면(도 67)에 의하여 표현된다.
도 67의 3차원 표면 D(Ii, Io)의 검사는 D(수직 축)가 최소로하는 연속 최적 쌍들(Ii, Io)의 시리즈들 또는 리스트에 대응하는 연장 스로우(점선으로 강조됨)를 나타낸다. 이러한 스로우는 종래의 탐색에 의하여 발견될 수 있다. 제 3자석(즉, 하부 자석(401))의 사용을 최적화하기 위하여, 최적쌍 (Ii, Io)의 각각은 미리 결정 된 범위에 놓인 하부 자석 전류 Ib의 연속값들과 결합될 수 있으며, 3개의 전류들(Ii, Io, Ib)의 각각의 결합은 반응기에 공급되고 에칭비 편차는 측정될 수 있다. 이러한 마지막 동작은 도 68의 블록(447)의 단계이다. 결과치들은 편차값들 D(Ii, Io, Ib)의 세트를 생성하기 위하여 보간될 수 있다(블록 49). 이들 값들의 세트는 D를 최소화하는 값들(Ii, Io, Ib)의 세트에 대한 종래의 기술들을 사용하여 선택되는(블록 451) 4차원 표면에 의하여 표현될 수 있다. 이러한 최소화는 두개의 자석만을 사용한 블록(445)의 단계에서 획득된 촤소화에 대한 개선점을 제공할 수 있다. (Ii, Io, Ib)의 최종 최적 값 또는 값들은 최적 프로세스 균일성에 대한 제조 웨이퍼의 처리동안 각각의 전자석들(60, 65, 401)에 공급된다.
도 69의 프로세스는 다음과 같이 요약될 수 있다. 초기에, 3개의 자석들이 쌍만이 예컨대 내부 및 외부 자석들(60, 65)이 특징화된다. 그 다음에, 이러한 자석쌍은 단일 엔티티로서 처리되며 3개의 자석, 예컨대 하부 자석(401)로 특징화되어, 3개의 자석의 동시 사용이 최적화된다. 그러나, 3개의 자석들이 특징화되는 3개의 가능한 순서들이 존재한다. 한 순서는 도 68에 기술된 예이다. 제 2 순서에서, 특징화된 자석들의 초기 쌍은 외부 자석(65) 및 하부 자석(401)이며, 제 3 자석은 내부 자석(60)이다. 제 3순서에서, 특징화된 자석들의 초기 쌍은 내부 자석(60) 및 하부 자석(410)이며, 제 3자석은 외부 자석(65)이다.
도 69는 초기 자석쌍이 외부 자석(65) 및 하부 자석(401)이며 제 3자석이 내 부 자석(60)인 프로세스의 제 2버전을 기술한다. 도 69의 제 1단계에서, 내부 자석 전류는 0으로 세팅된다(블록 435-1). 에칭비 방사 분배는 분배들 E(r)Ib의 세트를 획득하기 위하여 다른 하부 자석 전류들을 위하여 측정되며(블록 43701) 분배들 E(r)Io의 세트를 획득하기 위하여 다른 외부 자석 전류들을 위하여 측정된다(블록 439-1). 두개의 분배들의 대응 쌍들은 대응 편차들 D(Ib, Io)가 계산되는(블록 443-1) 다른 에칭비 분배들 E(r)Ib,Io 를 형성하기 위하여 중첩된다. 편차들 D(Ib, Io)의 세트는 최소 편차 또는 최소 부분 편차 D를 산출하는(블록 445-1) (Ib, Io)의 최적값들의 세트를 위하여 탐색되는 표면(도 67의 표면과 유사함)에 의하여 표현된다.
제 3자석(즉, 하부 자석(401))의 사용을 최적화하기 위하여, 최적 쌍(Ii, Io)의 각각은 미리 결정된 범위내에 놓인 하부 자석 전류 Ib의 연속값들과 결합되며, 3개의 전류(Ii, Io, Ib)는 반응기에 공급되며 에칭비 편차가 측정된다. 이러한 마지막 동작은 도 69의 블록(447-1)의 단계이다. 이 결과는 편차값들 D(Ii, Io, Ib)의 세트를 생성하기 위하여 중첩될 수 있다(블록 449-1). 값들의 이들 세트는 편차를 최소화하고 부분 편차 D를 최소화하는 값들(Ii, Io, Ib)의 세트에 대한 종래의 기술을 사용하여 탐색되는(블록 451-1) 매트릭스(또는 4차원 표면)에 의하여 표현될 수 있다. 3개의 자석들60, 65, 401)에 공급된 D.C. 전류들은 값들의 이러한 최종 세트에 따라 설정된다.
도 70은 3개의 자석들(60, 65, 401)을 사용하여 균일한 플라즈마 또는 에칭비 분배를 달성하기 위한 다른 방법을 기술한 흐름도이다. 첫째, 공치(비보상) 에칭비 분배 ER(r)는 전자석들(60, 65, 401)에 공급되지 않는 동안 측정된다(블록 461). 그 다음에, 내부 코일 전류들 Ii에 의하여 야기된 에칭비 방사 분배의 변화, 즉ΔER(r, Ii)는 Ii의 많은 다른 값들에 대하여 측정된다(블록 463). 외부 코일 전류들 Io에 의하여 야기된 에칭비 방사 분배의 변화, 즉 ΔER(r, Io)는 Io의 많은 다른 값들에 대하여 측정된다(블록 465). 외부 코일 전류들 Ib에 의하여 야기된 에칭비 방사 분배의 변화, 즉 ΔER(r, Ib)는 Ib의 많은 다른 값들에 대하여 측정된다(블록 467). Ii, Io, Ib의 다른 값들의 각각의 결합에 대하여, 에칭비 분배는 다음과 같이 계산된다. ER=(r, Ii, Io, Ib) = ER(r) + ΔER(r, Ii) + ΔER(r, Io) + ΔER(r, Ib). 이들 분배들의 각각의 분배에 대한 비균일성 또는 편차 또는 부분 편차 D(Ii, Io, Ib)가 계산된다(블록 471). 매트릭스 D(Ii, Io, Ib)는 D가 최소화되는 값들 (Ii, Io, Ib)의 세트 또는 세트들에 대하여 탐색되는(블록 473) 평활 함수를 제공하기 위하여 보간될 수 있다. 따라서, 발견된 D.C. (Ii, Io, Ib)의 최적 세트는 이들 자석들(60, 65, 401)에 공급된다(블록 475).
도 71A 내지 도 71E는 에칭비 분배들 ER(r, Ii, Io, Ib)의 단일 분배를 계산하기 위한 지침 예를 그래프로 기술한다. 공칭 에칭비 분배 ER(r)는 도 71A의 그래프로 기술된다. 내부 전자석(60)에 D.C. 전류의 5암페어를 공급함으로서 야기된 공칭 분배로부터의 변화 ΔER(r, Ii)는 도 71B에 기술된다. 외부 전자석(65)에 D.C. 전류의 1암페어를 공급함으로서 야기된 공칭 분배로부터의 변화 ΔER(r, Io)는 도 71C에 기술된다. 하부 전자석에 D.C. 전류의 2암페어를 공급함으로서 야기된 공칭 분배로부터의 변화 ΔER(r, Ib)는 도 71D에 기술된다. 도 71A 내지 도 71D의 에칭비 분배들의 합은 도 71E에 기술되며 에칭비 분배 ER(r, Ii=5, Io=1, Ib=2)이다.
3개의 자석들의 최적 전류들 (Ii, Io, Ib)을 결정하기 위한 다른 방법은 (Ii, Io, Ib)의 많은 다른 결합들에 대한 에칭비 분배들 ER(r, Ii, Io, Ib)를 직접 측정하는 것이다. 이러한 방법은 다수의 측정치들을 필요로하며 도 70의 블록들(461-469)의 단계들을 대체한다. 일단 충분한 수의 다른 ER(r, Ii, Io, Ib)이 측정되면, 도 70의 블록들(471, 473, 475)의 단계들이 수행된다.
전술한 프로세스에서, 균일성은 반응기에서 에칭되는 웨이퍼 전반에 걸친 에칭비의 방사 분배와 관련하여 정의된다. 그러나, 더 상세하게, 처리 균일성은 체잉 처리 또는 증착 처리를 포함하는 임의의 처리동안 웨이퍼 표면 전반에 걸친 플 라즈마 이온 밀도의 방사 분배에 대한 균일성으로서 정의될 수 있다. 에칭 반응기에서, 플라즈마 이온 밀도 분배는 반응기에서 수행되는 플라즈마 강화 이온 에칭 처리에서 처리되는 웨이퍼상에서 측정되는 에칭비 방사 분배로부터 추론된다.
반응기가 바람직한 실시예와 관련하여 상세히 기술되는 반면에, 이 실시예에 대한 변형들 및 수정들이 반응기의 진정한 사상 및 범위를 벗어나지 않고 수행될 수 있다는 것이 이해되어야 한다.
본 발명은 플라즈마 이온 밀도 분포 비균일성들을 더 효율적으로 보상하고 자기장의 시간변동이 미세하게 일어나거나 또는 일어나지 않는 방법을 제공할 수 있는 효과를 가진다.

Claims (30)

  1. 워크피스를 처리하기 위한 플라즈마 반응기로서,
    측벽 및 천장을 포함하는 진공챔버;
    상기 챔버내에서 워크피스 지지면을 가지고 상기 천장과 대면하고 캐소드 전극을 포함하는 워크피스 지지 페데스탈;
    상기 캐소드 전극에 결합된 RF 전력 생성기;
    상기 워크피스 지지면위에 놓인 제 1평면에 위치하는 외부 환상 내부 전자석;
    상기 워크피스 지지면위에 놓인 제 2평면내에 위치하며 상기 내부 전자석보다 큰 직경을 가진 외부 환상 외부 전자석;
    상기 워크피스 지지면위에 놓인 제 3평면에 위치한 외부 환상 하부 전자석; 및
    상기 내부, 외부 및 하부 전자석들의 각각의 전자석에 접속된 내부, 외부 및 하부 D.C. 전류 공급원들을 포함하는 플라즈마 반응기.
  2. 제 1항에 있어서, 상기 워크피스 지지 페데스탈과 상기 내부, 외부 및 하부 자석들은 일반적으로 동축인 플라즈마 반응기.
  3. 제 2항에 있어서, 상기 제 1평면은 상기 제 2평면위에 놓이며, 상기 제 1 및 제 2 평면들은 상기 제 3평면위에 놓인 플라즈마 반응기.
  4. 제 3항에 있어서, 상기 제 1, 제 2 및 제 3평면들은 상기 워크피스 지지면과 평행한 플라즈마 반응기.
  5. 제 1항에 있어서, 상기 내부, 외부 및 하부 D.C. 전류 공급원들로부터의 D.C. 전류들을 제어하는 프로세서를 더 포함하는 플라즈마 반응기.
  6. 제 5항에 있어서, 상기 프로세서는 3가지 모드들에서 동작가능하며,
    상기 3가지 모드들은,
    (a) 상기 D.C. 전류들이 상기 워크피스 지지면에서 동일한 그리고 반대 자기장들을 생성하기 위하여 상기 내부 및 외부 전자석들중 하나 및 상기 하부 전자석을 야기하는 첨단 모드,
    (b) 상기 D.C. 전류들이 상기 워크피스 지지면에서 유사한 자기장들을 생성하기 위하여 상기 내부 및 외부 전자석들중 하나 및 상기 하부 전자석을 야기하는 미러 모드, 및
    (c) 상기 D.C. 전류가 상기 워크피스 지지면에서 방사 및 축 자기장들을 생성하기 위하여 상기 전자석들중 적어도 하나를 야기하는 솔레노이드 모드를 포함하는 플라즈마 반응기.
  7. 제 6항에 있어서, 상기 프로세서는 동시에 상기 3개의 모드들중 단지 하나에서 동작가능한 플라즈마 반응기.
  8. 제 6항에 있어서, 상기 프로세서는 상기 3개의 모드들중 선택된 모드에서 동작가능한 플라즈마 반응기.
  9. 제 5항에 있어서, 상기 프로세서는 3개의 모드들에서 동작가능하며;
    상기 3개의 모드들은,
    (a) 상기 내부 및 외부 전자석들중 하나 및 상기 하부 자석이 주요 방사 D.C. 자기장을 생성하는 첨단 모드,
    (b) 상기 내부 및 외부 전자석들중 하나 및 상기 하부 전자석이 주요 축 자기장을 생성하는 미러 모드, 및
    (c) 상기 전자석들중 적어도 하나가 축 자기장 및 방사 자기장을 생성하는 솔레노이드 모드를 포함하는 플라즈마 반응기.
  10. 제 9항에 있어서, 상기 프로세서는 상기 3개의 모드들중 선택된 모드에서 동작가능한 플라즈마 반응기.
  11. 제 9항에 있어서, 상기 프로세서는 동시에 상기 3개의 모드들의 성분들을 생성하기 위하여 동작가능한 플라즈마 반응기.
  12. 워크피스 지지면위에 있는 제 1평면에 위치한 외부 환상 내부 전자석, 상기 워크피스 지지면위에 놓인 제 2평면에 위치하고 상기 내부 전자석보다 큰 직경을 가진 외부 환상 외부 전자석, 상기 워크피스 지지면 아래에 놓인 제 3평면에 위치하는 외부 환상 하부 전자석을 가지는 플라즈마 반응기에서, 플라즈마 이온 밀도 분배의 균일성을 개선하기 위한 방법으로서,
    상기 내부 및 외부 전자석들중 하나 및 상기 하부 전자석으로부터, 상기 워크피스 지지면의 중심에서의 플라즈마 이온 밀도에 비례하여 상기 워크피스 지지면의 주변 근처에서 플라즈마 이온 밀도를 증가시키기 위하여 충분한 자기장 강도를 가진 방사 자기장을 상기 워크피스 지지면에서 생성하는 단계를 포함하는, 플라즈마 이온 밀도 분배 균일성 개선 방법.
  13. 제 12항에 있어서, 상기 내부 및 외부 전자석들의 다른 전자석을 사용하여 추가 자기장 성분을 생성함으로서 상기 주변에서 플라즈마 이온 밀도를 추가로 증가시키는 단계를 더 포함하는, 플라즈마 이온 밀도 분배 균일성 개선 방법.
  14. 제 13항에 있어서, 상기 추가 자기장 성분은 상기 워크피스 지지면에서 축 자기장을 포함하는, 플라즈마 이온 밀도 분배 균일성 개선 방법.
  15. 제 14항에 있어서, 상기 축 자기장은 상기 워크피스 지지면에서 상기 방사 자기장보다 낮은 자기장 강도를 가지는, 플라즈마 이온 밀도 분배 균일성 개선 방법.
  16. 제 12항에 있어서, 제조 워크피스를 처리하기전에, 플라즈마 이온 밀도 방사 분배의 적정 균일성을 생성하는 솔레노이드 자기장을 찾아서 상기 솔레노이드 자기장의 방사 성분을 결정하는 단계를 더 포함하며;
    상기 방사 자기장 생성 단계는 플라즈마 이온 밀도 방사 분배 균일성이 솔레노이드 자기장에 의하여 생성된 상기 적정 균일성에 적어도 거의 도달할때까지 상기 솔레노이드 자기장의 방사 성분의 강도 이상으로 상기 방사 자기장을 증가시키는 단계를 포함하는, 플라즈마 이온 밀도 분배 균일성 개선 방법.
  17. 워크피스 지지면위에 있는 제 1평면에 위치한 외부 환상 내부 전자석, 상기 워크피스 지지면위에 놓인 제 2평면에 위치하고 상기 내부 전자석보다 큰 직경을 가진 외부 환상 외부 전자석, 상기 워크피스 지지면 아래에 놓인 제 3평면에서 외부 환상 하부 전자석을 가지는 플라즈마 반응기에서, 플라즈마 이온 밀도 분배를 제어하기 위한 방법으로서,
    상기 내부 및 외부 전자석들중 하나 및 상기 하부 전자석으로부터, 상기 워크피스 지지면의 중심에서의 플라즈마 이온 밀도에 비례하여 상기 워크피스 지지면의 주변 근처에서 플라즈마 이온 밀도를 증가시키기 위하여 충분한 자기장 강도를 가진 상기 워크피스 지지면에서의 방사 자기장을 생성하는 단계; 및
    상기 플라즈마 이온 밀도의 더 균일한 방사 분배를 달성하는데 최소 강도를 가진, 상기 워크피스 지지면에서의 축 자기장을 상기 내부 및 외부 전자석들중 다른 전자석으로부터 생성하는 단계를 포함하는, 플라즈마 이온 밀도 분배 제어 방법.
  18. 제 17항에 있어서, 상기 플라즈마 이온 밀도는 상기 워크피스 지지면에서 처리되는 제조 웨이퍼상의 에칭비 방사 분배들로부터 결정되는, 플라즈마 이온 밀도 분배 제어 방법.
  19. 워크피스 지지면위에 있는 제 1평면에 위치한 외부 환상 내부 전자석, 상기 워크피스 지지면위에 놓인 제 2평면내에 위치하고 상기 내부 전자석보다 큰 직경을 가진 외부 환상 외부 전자석, 상기 워크피스 지지면 아래에 놓인 제 3평면에 위치한 외부 환상 하부 전자석을 가지는 플라즈마 반응기에서, 플라즈마 이온 밀도 분배를 제어하기 위한 방법으로서,
    상기 내부, 외부 및 하부 자석들의 쌍에 공급되며 플라즈마 이온 밀도 분배 비균일성을 최소화하는 D.C. 전류 쌍들의 세트를 찾는 단계;
    상기 세트의 상기 D.C. 전류 쌍들의 각각의 전류에 대하여, 상기 내부, 외부 및 하부 전자석들중 다른 하나에 공급되고 플라즈마 이온 밀도 분배 비균일성을 최소화하여 상기 내부, 외부 및 하부 자석들에 대응하는 D.C. 전류 삼중항(triplet)들의 세트를 생성하는 D.C. 전류를 찾는 단계; 및
    상기 내부, 외부 및 하부 자석들에 상기 D.C. 전류 삼중항중 하나를 공급하는 단계를 포함하는, 플라즈마 이온 밀도 분배 제어 방법.
  20. 제 19항에 있어서, 상기 내부, 외부 및 하부 전자석들의 쌍은 상기 내부 및 외부 전자석들중 하나 및 상기 하부 전자석을 포함하며;
    상기 전자석들의 쌍은 상기 워크피스 지지면에서 주요 방사 자기장을 형성하며, 상기 다른 자석은 작은 축 자기장을 형성하는, 플라즈마 이온 밀도 분배 제어 방법.
  21. 제 20항에 있어서, 상기 내부, 외부 및 하부 전자석들의 쌍은 상기 하부 전자석 및 상기 외부 전자석을 포함하며, 상기 다른 전자석은 상기 내부 전자석을 포함하는, 플라즈마 이온 밀도 분배 제어 방법.
  22. 제 19항에 있어서, 상기 플라즈마 이온 밀도 분배는 상기 워크피스 지지면에서 처리되는 반도체 웨이퍼들상에서 측정된 에칭비의 방사 분배로부터 추론되는, 플라즈마 이온 밀도 분배 제어 방법.
  23. 워크피스 지지면위에 있는 제 1평면에 위치한 외부 환상 내부 전자석, 상기 워크피스 지지면위에 놓인 제 2평면내에 위치하고 상기 내부 전자석보다 큰 직경을 가진 외부 환상 외부 전자석, 상기 워크피스 지지면 아래에 놓인 제 3평면에 위치 한 외부 환상 하부 전자석을 가지는 플라즈마 반응기에서, 플라즈마 이온 밀도 분배를 제어하기 위한 방법으로서,
    상기 워크피스 지지면에서 비보상 플라즈마 이온 밀도 분배를 결정하는 단계;
    상기 내부, 외부 및 하부 전자석들중 각각의 개별 전자석들에 단독으로 공급된 D.C. 전류의 함수들로서 플라즈마 이온 밀도 분배의 변화를 결정하는 단계;
    다수의 시험 플라즈마 이온 밀도 분배를 획득하기 위하여 상기 내부, 외부 및 하부 전자석들에 공급된 D.C. 전류들의 다른 결합들을 위하여 상기 비보상 플라즈마 분배에 대한 함수들을 중첩하는 단계;
    적어도 하나가 플라즈마 이온 밀도 분배의 높은 균일성을 가지는 상기 시험 플라즈마 이온 밀도 분배들을 탐색하고 이에 대응하는 전류들의 최적 세트를 결정하는 단계; 및
    상기 내부, 외부 및 하부 전자석들중 각각의 전자석들에 상기 전류들의 최적 세트를 공급하는 단계를 포함하는, 플라즈마 이온 밀도 분배를 제어하기 위한 방법.
  24. 제 23항에 있어서, 상기 플라즈마 이온 밀도 분배들 결정 단계는 상기 워크피스 지지면에서 처리된 반도체 웨이퍼들상에서 측정된 에칭비 분배들로부터 상기 플라즈마 이온 밀도 분배들을 추론하는 단계를 포함하는, 플라즈마 이온 밀도 분배를 제어하기 위한 방법
  25. 반응기 챔버내에서 워크피스 지지면상의 워크피스를 처리하기 위한 플라즈마 반응기로서,
    상기 워크피스 지지면위에 놓인 제 1평면에 위치한 외부 환상 내부 전자석;
    상기 워크피스 지지면위에 놓인 제 2평면에 위치하고 상기 내부 전자석보다 큰 직경을 가진 외부 환상 외부 전자석;
    상기 워크피스 지지면 아래에 놓인 제 3평면에 위치한 외부 환상 하부 전자석;
    상기 내부, 외부 및 하부 전자석들의 각각의 전자석들에 공급되는 D.C. 전류들을 제어하는 프로세서; 및
    상기 프로세서에 대하여 액세스가능하며, 상기 내부, 외부 및 하부 전자석들의 각각의 전자석에 대한 D.C. 전류들의 값을을 저장하는 메모리를 포함하며,
    상기 전류들은, 다음과 같은 프로세스, 즉
    상기 내부, 외부 및 하부 자석들의 쌍에 공급되며 플라즈마 이온 밀도 분배 비균일성을 최소화하는 D.C. 전류 쌍들의 세트를 찾는 단계, 및
    상기 세트의 상기 D.C. 전류 쌍들의 각각의 전류에 대하여, 상기 내부, 외부 및 하부 전자석들중 다른 하나에 공급되고 플라즈마 이온 밀도 분배 비균일성을 최소화하여 상기 내부, 외부 및 하부 자석들에 대응하는 D.C. 전류 삼중항들의 세트를 생성하는 D.C. 전류를 찾는 단계를 포함하는 프로세스에 의하여 결정되는, 플라즈마 반응기.
  26. 제 25항에 있어서, 상기 플라즈마 이온 밀도 분배는 상기 워크피스 지지면에서 처리되는 웨이퍼들상에서 측정된 에칭비 분배로부터 추론되는, 플라즈마 반응기.
  27. 반응기 챔버내에서 워크피스 지지면상의 워크피스를 처리하기 위한 플라즈마 반응기로서,
    상기 워크피스 지지면위에 놓인 제 1평면에 위치한 외부 환상 내부 전자석;
    상기 워크피스 지지면위에 놓인 제 2평면에 위치하고 상기 내부 전자석보다 큰 직경을 가진 외부 환상 외부 전자석;
    상기 워크피스 지지면 아래에 놓인 제 2평면에 위치한 외부 환상 하부 전자석;
    상기 내부, 외부 및 하부 전자석들의 각각의 전자석들에 공급되는 D.C. 전류들을 제어하는 프로세서; 및
    상기 프로세서에 대하여 액세스가능하며, 상기 내부, 외부 및 하부 전자석들의 각각의 전자석에 대한 D.C. 전류들의 값을을 저장하는 메모리를 포함하며,
    상기 전류들은 다음과 같은 프로세스, 즉
    상기 워크피스 지지면에서 비보상 플라즈마 이온 밀도 분배를 결정하는 단계,
    상기 내부, 외부 및 하부 전자석들중 각각의 개별 전자석들에 단독으 로 공급된 D.C. 전류의 함수들로서 플라즈마 이온 밀도 분배의 변화를 결정하는 단계,
    다수의 시험 플라즈마 이온 밀도 분배를 획득하기 위하여 상기 내부, 외부 및 하부 전자석들에 공급된 D.C. 전류들의 다른 결합들을 위하여 상기 비보상 플라즈마 분배에 대한 함수들을 중첩하는 단계;
    적어도 하나가 플라즈마 이온 밀도 분배의 높은 균일성을 가지는 상기 시험 플라즈마 이온 밀도 분배들을 탐색하고 이에 대응하는 전류들의 최적 세트를 결정하는 단계를 포함하는 프로세스에 의하여 결정되는, 플라즈마 반응기.
  28. 제 27항에 있어서, 상기 플라즈마 이온 밀도 분배는 상기 워크피스 지지면에서 처리되는 웨이퍼들상에서 측정된 에칭비 분배로부터 추론되는, 플라즈마 반응기.
  29. 제 1항에 있어서, 상기 천장은 용량성 결합 오버헤드 전극을 포함하며,
    상기 반응기는,
    VHF 플라즈마 소스 전력 생성기;
    상기 VHF 플라즈마 소스 전력 생성기를 상기 오버헤드 전극에 접속하는 고정 튜닝 엘리먼트; 및
    상기 VHF 플라즈마 소스 전력 생성기의 주파수에서 또는 이 근처에서 공진 주파수를 가진 챔버에서 플라즈마를 사용하여 공진을 형성하는 단계를 더 포함하 는 플라즈마 반응기.
  30. 제 29항에 있어서, 상기 고정 튜닝 엘리먼트는 상기 공진 주파수에서 또는 이 근처에서 스터브 공진 주파수를 가진 동축 튜닝 스터브를 포함하는, 플라즈마 반응기.
KR1020060008686A 2005-01-28 2006-01-27 플라즈마 균일성 및 장치 손상 감소를 위해 첨단, 솔레노이드 및 미러 자기장들을 위한 최소 d.c. 코일들을 구비한 플라즈마 반응기 KR100853577B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/046,656 US8617351B2 (en) 2002-07-09 2005-01-28 Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US11/046,656 2005-01-28

Publications (2)

Publication Number Publication Date
KR20060087451A true KR20060087451A (ko) 2006-08-02
KR100853577B1 KR100853577B1 (ko) 2008-08-21

Family

ID=36282827

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060008686A KR100853577B1 (ko) 2005-01-28 2006-01-27 플라즈마 균일성 및 장치 손상 감소를 위해 첨단, 솔레노이드 및 미러 자기장들을 위한 최소 d.c. 코일들을 구비한 플라즈마 반응기

Country Status (6)

Country Link
US (1) US8617351B2 (ko)
EP (1) EP1686612A1 (ko)
JP (1) JP4769586B2 (ko)
KR (1) KR100853577B1 (ko)
CN (1) CN1812683B (ko)
TW (1) TWI388243B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150037621A (ko) * 2013-09-30 2015-04-08 어플라이드 머티어리얼스, 인코포레이티드 고 주파수 무선 주파수에 대한 전극 임피던스를 튜닝하고 저 주파수 무선 주파수를 접지로 종단하기 위한 장치 및 방법

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
JP4009087B2 (ja) * 2001-07-06 2007-11-14 アプライド マテリアルズ インコーポレイテッド 半導体製造装置における磁気発生装置、半導体製造装置および磁場強度制御方法
US7374636B2 (en) * 2001-07-06 2008-05-20 Applied Materials, Inc. Method and apparatus for providing uniform plasma in a magnetic field enhanced plasma reactor
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7458335B1 (en) 2002-10-10 2008-12-02 Applied Materials, Inc. Uniform magnetically enhanced reactive ion etching using nested electromagnetic coils
US8048328B2 (en) * 2003-02-14 2011-11-01 Applied Materials, Inc. Method for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US7883633B2 (en) * 2003-02-14 2011-02-08 Applied Materials, Inc. Method for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US7422654B2 (en) * 2003-02-14 2008-09-09 Applied Materials, Inc. Method and apparatus for shaping a magnetic field in a magnetic field-enhanced plasma reactor
CN100362619C (zh) 2005-08-05 2008-01-16 中微半导体设备(上海)有限公司 真空反应室的射频匹配耦合网络及其配置方法
US7432210B2 (en) * 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
US20080110567A1 (en) * 2006-11-15 2008-05-15 Miller Matthew L Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
KR100978754B1 (ko) * 2008-04-03 2010-08-30 주식회사 테스 플라즈마 처리 장치
KR100823302B1 (ko) * 2006-12-08 2008-04-17 주식회사 테스 플라즈마 처리 장치
US20080277064A1 (en) * 2006-12-08 2008-11-13 Tes Co., Ltd. Plasma processing apparatus
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
US8123902B2 (en) * 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
KR100941070B1 (ko) * 2007-05-10 2010-02-09 세메스 주식회사 플라즈마를 이용하여 기판을 처리하는 장치
KR101115273B1 (ko) * 2007-12-20 2012-03-05 가부시키가이샤 알박 플라즈마 소스 기구 및 성막 장치
KR101541576B1 (ko) 2009-02-04 2015-08-03 제너럴 퓨전 아이엔씨. 플라스마를 압축하기 위한 시스템 및 방법
JP2010232476A (ja) * 2009-03-27 2010-10-14 Tokyo Electron Ltd プラズマ処理装置
SG176566A1 (en) * 2009-06-30 2012-01-30 Lam Res Corp Methods for constructing an optimal endpoint algorithm
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
JP5723130B2 (ja) * 2010-09-28 2015-05-27 東京エレクトロン株式会社 プラズマ処理装置
TWI743509B (zh) 2011-05-05 2021-10-21 日商半導體能源研究所股份有限公司 半導體裝置及其製造方法
CN103163438A (zh) * 2011-12-12 2013-06-19 中国科学技术大学 一种微放电器性能测试装置及方法
US9111722B2 (en) * 2012-04-24 2015-08-18 Applied Materials, Inc. Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator
US9082591B2 (en) * 2012-04-24 2015-07-14 Applied Materials, Inc. Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator
WO2014036155A1 (en) * 2012-08-28 2014-03-06 Jh Quantum Tehcnology, Inc. Material processor with plasma generator
US9082589B2 (en) * 2012-10-09 2015-07-14 Novellus Systems, Inc. Hybrid impedance matching for inductively coupled plasma system
CN103972012A (zh) * 2013-01-25 2014-08-06 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室及具有它的等离子体设备
CN103151235B (zh) * 2013-02-20 2016-01-27 上海华力微电子有限公司 一种提高刻蚀均匀性的装置
US9328420B2 (en) * 2013-03-14 2016-05-03 Sunedison Semiconductor Limited (Uen201334164H) Gas distribution plate for chemical vapor deposition systems and methods of using same
US10410889B2 (en) 2014-07-25 2019-09-10 Applied Materials, Inc. Systems and methods for electrical and magnetic uniformity and skew tuning in plasma processing reactors
BR112017003327B1 (pt) * 2014-08-19 2021-01-19 General Fusion Inc. sistema e método para controlar campo magnético de plasma
US10249479B2 (en) * 2015-01-30 2019-04-02 Applied Materials, Inc. Magnet configurations for radial uniformity tuning of ICP plasmas
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
CN105161411B (zh) * 2015-07-09 2018-01-05 江苏德尔森传感器科技有限公司 可实现定位加工的传感器单晶硅刻蚀装置
US10553411B2 (en) * 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
KR102487342B1 (ko) 2016-06-14 2023-01-13 삼성전자주식회사 정전척 어셈블리 및 이를 구비하는 플라즈마 처리장치
US10811144B2 (en) 2017-11-06 2020-10-20 General Fusion Inc. System and method for plasma generation and compression
CN109994355B (zh) 2017-12-29 2021-11-02 中微半导体设备(上海)股份有限公司 一种具有低频射频功率分布调节功能的等离子反应器
JP2019145397A (ja) 2018-02-22 2019-08-29 東芝メモリ株式会社 半導体製造装置および半導体装置の製造方法
CN111613513A (zh) * 2020-07-07 2020-09-01 大连理工大学 一种等离子体刻蚀装置及方法
JP2022049494A (ja) * 2020-09-16 2022-03-29 キオクシア株式会社 半導体製造装置
US11515150B2 (en) * 2020-10-22 2022-11-29 Applied Materials, Inc. Hardmask tuning by electrode adjustment
US11955322B2 (en) * 2021-06-25 2024-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Device for adjusting position of chamber and plasma process chamber including the same for semiconductor manufacturing
JP7417569B2 (ja) * 2021-10-29 2024-01-18 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム

Family Cites Families (242)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US76482A (en) * 1868-04-07 John j
US2967926A (en) * 1958-03-10 1961-01-10 Union Carbide Corp Testing process and apparatus
US2951960A (en) 1959-03-24 1960-09-06 Tung Sol Electric Inc Gaseous discharge device
FR1402020A (fr) 1964-04-27 1965-06-11 Csf Perfectionnements aux sources d'ions
US3555615A (en) * 1968-08-08 1971-01-19 Usm Corp Rotational moulding machines
US3610986A (en) 1970-05-01 1971-10-05 Union Carbide Corp Electron beam source including a pilot nonthermionic, electron source
US4293794A (en) 1980-04-01 1981-10-06 Kapetanakos Christos A Generation of intense, high-energy ion pulses by magnetic compression of ion rings
CA1159012A (en) 1980-05-02 1983-12-20 Seitaro Matsuo Plasma deposition apparatus
JPS5779621A (en) 1980-11-05 1982-05-18 Mitsubishi Electric Corp Plasma processing device
US4570106A (en) * 1982-02-18 1986-02-11 Elscint, Inc. Plasma electron source for cold-cathode discharge device or the like
US4458180A (en) * 1982-02-18 1984-07-03 Elscint Ltd. Plasma electron source for cold-cathode discharge device or the like
JPS59175125A (ja) * 1983-03-24 1984-10-03 Toshiba Corp ドライエツチング装置
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4665489A (en) 1984-03-15 1987-05-12 Kabushiki Kaisha Meidensha Unmanned vehicle control system and method
US4665487A (en) 1984-05-25 1987-05-12 Kabushiki Kaisha Meidensha Unmanned vehicle control system and method
US5120466A (en) * 1984-07-13 1992-06-09 Canon Kabushiki Kaisha Fluid crystal device
US4552639A (en) 1984-07-20 1985-11-12 Varian Associates, Inc. Magnetron sputter etching system
JPS6134177A (ja) * 1984-07-25 1986-02-18 Tokuda Seisakusho Ltd マグネツト駆動装置
KR910000508B1 (ko) * 1984-08-31 1991-01-26 니찌덴 아넬바 가부시끼가이샤 동적자계를 이용한 방전 반응장치
KR900005347B1 (ko) 1984-09-19 1990-07-27 가부시기가이샤 히다찌세이사꾸쇼 플라즈마 처리장치
US4668365A (en) * 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
US4668338A (en) * 1985-12-30 1987-05-26 Applied Materials, Inc. Magnetron-enhanced plasma etching process
US4859908A (en) 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
JPS6393881A (ja) 1986-10-08 1988-04-25 Anelva Corp プラズマ処理装置
US5215619A (en) * 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5006760A (en) * 1987-01-09 1991-04-09 Motorola, Inc. Capacitive feed for plasma reactor
DE3708716C2 (de) * 1987-03-18 1993-11-04 Hans Prof Dr Rer Nat Oechsner Hochfrequenz-ionenquelle
DE3810197A1 (de) 1987-03-27 1988-10-13 Mitsubishi Electric Corp Plasma-bearbeitungseinrichtung
US4973883A (en) 1987-05-01 1990-11-27 Semiconductor Energy Laborator Co., Ltd. Plasma processing apparatus with a lisitano coil
US4740268A (en) * 1987-05-04 1988-04-26 Motorola Inc. Magnetically enhanced plasma system
US4888518A (en) 1987-11-16 1989-12-19 Itt Corporation Gas circulation apparatus for ceramic electron tubes
DE68926923T2 (de) 1988-03-16 1996-12-19 Hitachi Ltd Mikrowellenionenquelle
US5115167A (en) * 1988-04-05 1992-05-19 Mitsubishi Denki Kabushiki Kaisha Plasma processor
DE68912400T2 (de) * 1988-05-23 1994-08-18 Nippon Telegraph & Telephone Plasmaätzvorrichtung.
JP2566648B2 (ja) * 1988-05-23 1996-12-25 日本電信電話株式会社 プラズマエッチング装置
US5055853A (en) 1988-10-03 1991-10-08 Garnier Robert C Magnetic frill generator
US5107170A (en) * 1988-10-18 1992-04-21 Nissin Electric Co., Ltd. Ion source having auxillary ion chamber
US5089083A (en) * 1989-04-25 1992-02-18 Tokyo Electron Limited Plasma etching method
US5225024A (en) * 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
JPH02298024A (ja) 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
DE3923661A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung fuer die anpassung der impedanz einer plasmastrecke an einen hochfrequenzgenerator
US5210466A (en) 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
US5300460A (en) * 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US5223457A (en) * 1989-10-03 1993-06-29 Applied Materials, Inc. High-frequency semiconductor wafer processing method using a negative self-bias
US5032202A (en) * 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing
US5081398A (en) * 1989-10-20 1992-01-14 Board Of Trustees Operating Michigan State University Resonant radio frequency wave coupler apparatus using higher modes
US5252194A (en) 1990-01-26 1993-10-12 Varian Associates, Inc. Rotating sputtering apparatus for selected erosion
JPH04901A (ja) 1990-04-18 1992-01-06 Mitsubishi Electric Corp プラズマ装置の高周波給電方法及び装置
KR930004713B1 (ko) * 1990-06-18 1993-06-03 삼성전자 주식회사 변조방식을 이용한 플라즈마 발생장치 및 방법
EP0463408A3 (en) * 1990-06-22 1992-07-08 Hauzer Techno Coating Europe Bv Plasma accelerator with closed electron drift
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5079481A (en) * 1990-08-02 1992-01-07 Texas Instruments Incorporated Plasma-assisted processing magneton with magnetic field adjustment
US5304279A (en) * 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5274306A (en) 1990-08-31 1993-12-28 Kaufman & Robinson, Inc. Capacitively coupled radiofrequency plasma source
JP2598336B2 (ja) * 1990-09-21 1997-04-09 株式会社日立製作所 プラズマ処理装置
US5376211A (en) 1990-09-29 1994-12-27 Tokyo Electron Limited Magnetron plasma processing apparatus and processing method
FR2667980A1 (fr) 1990-10-12 1992-04-17 Sodern Source d'electrons presentant un dispositif de retention de matieres.
US5208512A (en) * 1990-10-16 1993-05-04 International Business Machines Corporation Scanned electron cyclotron resonance plasma source
JP2501948B2 (ja) * 1990-10-26 1996-05-29 三菱電機株式会社 プラズマ処理方法及びプラズマ処理装置
US5246532A (en) 1990-10-26 1993-09-21 Mitsubishi Denki Kabushiki Kaisha Plasma processing apparatus
US5195045A (en) * 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
JPH06508235A (ja) * 1991-03-25 1994-09-14 コモンウエルス サイエンティフィック アンド インダストリアル リサーチ オーガニゼイション アークソース用大粒子フィルター
EP0585229B1 (en) * 1991-05-21 1995-09-06 Materials Research Corporation Cluster tool soft etch module and ecr plasma generator therefor
US5432315A (en) * 1991-05-31 1995-07-11 Hitachi, Ltd. Plasma process apparatus including ground electrode with protection film
DE4118973C2 (de) 1991-06-08 1999-02-04 Fraunhofer Ges Forschung Vorrichtung zur plasmaunterstützten Bearbeitung von Substraten und Verwendung dieser Vorrichtung
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
JPH0521391A (ja) * 1991-07-12 1993-01-29 Sumitomo Metal Ind Ltd マイクロ波プラズマ装置
US5198725A (en) * 1991-07-12 1993-03-30 Lam Research Corporation Method of producing flat ecr layer in microwave plasma device and apparatus therefor
KR0184675B1 (ko) * 1991-07-24 1999-04-15 이노우에 쥰이치 챔버내의 전극에 있어서의 실제의 rf파워를 검출 및 제어 가능한 플라즈마 처리장치
US5308417A (en) * 1991-09-12 1994-05-03 Applied Materials, Inc. Uniformity for magnetically enhanced plasma chambers
US5849136A (en) 1991-10-11 1998-12-15 Applied Materials, Inc. High frequency semiconductor wafer processing apparatus and method
US5279669A (en) * 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5175472A (en) 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
JP2621728B2 (ja) * 1992-02-21 1997-06-18 株式会社日立製作所 スパッタリング方法及びその装置
US5444207A (en) 1992-03-26 1995-08-22 Kabushiki Kaisha Toshiba Plasma generating device and surface processing device and method for processing wafers in a uniform magnetic field
KR930021034A (ko) 1992-03-31 1993-10-20 다니이 아끼오 플라즈마발생방법 및 그 발생장치
DE69327069T2 (de) 1992-04-17 2000-04-06 Matsushita Electric Ind Co Ltd Vorrichtung und Verfahren zur Plasmaerzeugung
US5273610A (en) 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5325019A (en) * 1992-08-21 1994-06-28 Sematech, Inc. Control of plasma process by use of harmonic frequency components of voltage and current
JP2972477B2 (ja) * 1993-01-27 1999-11-08 日本電気株式会社 Rf・ecrプラズマエッチング装置
FR2701797B1 (fr) * 1993-02-18 1995-03-31 Commissariat Energie Atomique Coupleur de transfert d'une puissance micro-onde vers une nappe de plasma et source micro-onde linéaire pour le traitement de surfaces par plasma .
TW249313B (ko) 1993-03-06 1995-06-11 Tokyo Electron Co
US5537004A (en) * 1993-03-06 1996-07-16 Tokyo Electron Limited Low frequency electron cyclotron resonance plasma processor
JP3236111B2 (ja) * 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5534108A (en) * 1993-05-28 1996-07-09 Applied Materials, Inc. Method and apparatus for altering magnetic coil current to produce etch uniformity in a magnetic field-enhanced plasma reactor
CA2126731A1 (en) * 1993-07-12 1995-01-13 Frank Jansen Hollow cathode array and method of cleaning sheet stock therewith
KR0170456B1 (ko) * 1993-07-16 1999-03-30 세끼사와 다까시 반도체 장치 및 그 제조방법
US5849372A (en) 1993-09-17 1998-12-15 Isis Innovation Limited RF plasma reactor and methods of generating RF plasma
WO1995008182A1 (en) 1993-09-17 1995-03-23 Isis Innovation Limited Rf plasma reactor
US5565382A (en) 1993-10-12 1996-10-15 Applied Materials, Inc. Process for forming tungsten silicide on semiconductor wafer using dichlorosilane gas
KR100276736B1 (ko) 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5467013A (en) 1993-12-07 1995-11-14 Sematech, Inc. Radio frequency monitor for semiconductor process control
US5463525A (en) 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
EP0661728B1 (en) * 1993-12-28 1997-06-11 Shin-Etsu Chemical Co., Ltd. Dipole ring magnet for use in magnetron sputtering or magnetron etching
JP3279038B2 (ja) 1994-01-31 2002-04-30 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
JP3365067B2 (ja) 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
JP3124204B2 (ja) * 1994-02-28 2001-01-15 株式会社東芝 プラズマ処理装置
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
US5506475A (en) * 1994-03-22 1996-04-09 Martin Marietta Energy Systems, Inc. Microwave electron cyclotron electron resonance (ECR) ion source with a large, uniformly distributed, axially symmetric, ECR plasma volume
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5685914A (en) 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US5798029A (en) 1994-04-22 1998-08-25 Applied Materials, Inc. Target for sputtering equipment
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
KR100327086B1 (ko) 1994-06-15 2002-03-06 구사마 사부로 박막 반도체 장치의 제조방법, 박막 반도체 장치,액정표시장치 및 전자기기
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
AU2003195A (en) 1994-06-21 1996-01-04 Boc Group, Inc., The Improved power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5503676A (en) 1994-09-19 1996-04-02 Lam Research Corporation Apparatus and method for magnetron in-situ cleaning of plasma reaction chamber
US5945008A (en) 1994-09-29 1999-08-31 Sony Corporation Method and apparatus for plasma control
IT1269413B (it) * 1994-10-21 1997-04-01 Proel Tecnologie Spa Sorgente di plasma a radiofrequenza
US5576629A (en) 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
JP2956494B2 (ja) * 1994-10-26 1999-10-04 住友金属工業株式会社 プラズマ処理装置
JPH08167588A (ja) * 1994-12-12 1996-06-25 Sony Corp プラズマ処理装置及びプラズマモニタリング装置
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5576600A (en) 1994-12-23 1996-11-19 Dynatenn, Inc. Broad high current ion source
US5792376A (en) 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
JP3778299B2 (ja) * 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5674321A (en) * 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
JP3595608B2 (ja) * 1995-05-30 2004-12-02 アネルバ株式会社 真空処理装置、真空処理装置における真空容器内面堆積膜除去方法及び真空処理装置における真空容器内面膜堆積均一化方法
JP3169337B2 (ja) * 1995-05-30 2001-05-21 キヤノン株式会社 光起電力素子及びその製造方法
JP3208044B2 (ja) 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US5997962A (en) 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5659276A (en) 1995-07-12 1997-08-19 Shin-Etsu Chemical Co., Ltd. Magnetic field generator for magnetron plasma
JPH0945624A (ja) 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5907221A (en) * 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
US6089182A (en) 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
US5718795A (en) * 1995-08-21 1998-02-17 Applied Materials, Inc. Radial magnetic field enhancement for plasma processing
KR100226366B1 (ko) 1995-08-23 1999-10-15 아끼구사 나오유끼 플라즈마장치 및 플라즈마 처리방법
JPH09106898A (ja) 1995-10-09 1997-04-22 Anelva Corp プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法
US5965034A (en) 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
TW303480B (en) * 1996-01-24 1997-04-21 Applied Materials Inc Magnetically confined plasma reactor for processing a semiconductor wafer
US6095084A (en) 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5783102A (en) * 1996-02-05 1998-07-21 International Business Machines Corporation Negative ion deductive source for etching high aspect ratio structures
US5907220A (en) * 1996-03-13 1999-05-25 Applied Materials, Inc. Magnetron for low pressure full face erosion
US5942074A (en) 1996-03-29 1999-08-24 Lam Research Corporation Single-piece gas director for plasma reactors
JP3238082B2 (ja) 1996-05-16 2001-12-10 シャープ株式会社 電子デバイス製造装置
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US6000360A (en) 1996-07-03 1999-12-14 Tokyo Electron Limited Plasma processing apparatus
US5885358A (en) 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5770922A (en) * 1996-07-22 1998-06-23 Eni Technologies, Inc. Baseband V-I probe
US5866986A (en) 1996-08-05 1999-02-02 Integrated Electronic Innovations, Inc. Microwave gas phase plasma source
US6014943A (en) * 1996-09-12 2000-01-18 Tokyo Electron Limited Plasma process device
JPH10134996A (ja) 1996-10-31 1998-05-22 Nec Corp プラズマ処理装置
US6294026B1 (en) 1996-11-26 2001-09-25 Siemens Aktiengesellschaft Distribution plate for a reaction chamber with multiple gas inlets and separate mass flow control loops
US6113731A (en) 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6152071A (en) 1996-12-11 2000-11-28 Canon Kabushiki Kaisha High-frequency introducing means, plasma treatment apparatus, and plasma treatment method
US5824607A (en) 1997-02-06 1998-10-20 Applied Materials, Inc. Plasma confinement for an inductively coupled plasma reactor
US6599399B2 (en) 1997-03-07 2003-07-29 Applied Materials, Inc. Sputtering method to generate ionized metal plasma using electron beams and magnetic field
ATE308337T1 (de) 1997-03-15 2005-11-15 Nakamura Toshikazu Hgf zur behandlung von akutem nierenversagen
JP3650248B2 (ja) 1997-03-19 2005-05-18 東京エレクトロン株式会社 プラズマ処理装置
JP3582287B2 (ja) 1997-03-26 2004-10-27 株式会社日立製作所 エッチング装置
TW376547B (en) 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US5880034A (en) 1997-04-29 1999-03-09 Princeton University Reduction of semiconductor structure damage during reactive ion etching
JPH1116893A (ja) * 1997-06-25 1999-01-22 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
US6155200A (en) 1997-07-08 2000-12-05 Tokyo Electron Limited ECR plasma generator and an ECR system using the generator
US6110395A (en) 1997-08-26 2000-08-29 Trikon Technologies, Inc. Method and structure for controlling plasma uniformity
US5902461A (en) * 1997-09-03 1999-05-11 Applied Materials, Inc. Apparatus and method for enhancing uniformity of a metal film formed on a substrate with the aid of an inductively coupled plasma
JP2929284B2 (ja) 1997-09-10 1999-08-03 株式会社アドテック 高周波プラズマ処理装置のためのインピーダンス整合及び電力制御システム
US6076482A (en) * 1997-09-20 2000-06-20 Applied Materials, Inc. Thin film processing plasma reactor chamber with radially upward sloping ceiling for promoting radially outward diffusion
US6291999B1 (en) 1997-09-30 2001-09-18 Daihen Corp. Plasma monitoring apparatus
US5971591A (en) 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
US5876576A (en) * 1997-10-27 1999-03-02 Applied Materials, Inc. Apparatus for sputtering magnetic target materials
US6051151A (en) * 1997-11-12 2000-04-18 International Business Machines Corporation Apparatus and method of producing a negative ion plasma
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6251216B1 (en) 1997-12-17 2001-06-26 Matsushita Electronics Corporation Apparatus and method for plasma processing
US5929717A (en) 1998-01-09 1999-07-27 Lam Research Corporation Method of and apparatus for minimizing plasma instability in an RF processor
US6015476A (en) * 1998-02-05 2000-01-18 Applied Materials, Inc. Plasma reactor magnet with independently controllable parallel axial current-carrying elements
US6449568B1 (en) 1998-02-27 2002-09-10 Eni Technology, Inc. Voltage-current sensor with high matching directivity
US6164240A (en) * 1998-03-24 2000-12-26 Applied Materials, Inc. Semiconductor wafer processor, plasma generating apparatus, magnetic field generator, and method of generating a magnetic field
US6085688A (en) 1998-03-27 2000-07-11 Applied Materials, Inc. Method and apparatus for improving processing and reducing charge damage in an inductively coupled plasma reactor
US6123862A (en) 1998-04-24 2000-09-26 Micron Technology, Inc. Method of forming high aspect ratio apertures
US6106663A (en) 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
JP2000021871A (ja) 1998-06-30 2000-01-21 Tokyo Electron Ltd プラズマ処理方法
JP3375302B2 (ja) * 1998-07-29 2003-02-10 東京エレクトロン株式会社 マグネトロンプラズマ処理装置および処理方法
US6545580B2 (en) * 1998-09-09 2003-04-08 Veeco Instruments, Inc. Electromagnetic field generator and method of operation
US7218503B2 (en) 1998-09-30 2007-05-15 Lam Research Corporation Method of determining the correct average bias compensation voltage during a plasma process
FI105612B (fi) 1998-10-23 2000-09-15 Nokia Networks Oy Menetelmä ja kytkentä vaihevirheen korjaamiseksi tehovahvistimen linearisointisilmukassa
JP3818561B2 (ja) 1998-10-29 2006-09-06 エルジー フィリップス エルシーディー カンパニー リミテッド シリコン酸化膜の成膜方法および薄膜トランジスタの製造方法
US6300227B1 (en) 1998-12-01 2001-10-09 Silicon Genesis Corporation Enhanced plasma mode and system for plasma immersion ion implantation
US6213050B1 (en) 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
US6579421B1 (en) * 1999-01-07 2003-06-17 Applied Materials, Inc. Transverse magnetic field for ionized sputter deposition
JP2000245005A (ja) 1999-02-18 2000-09-08 Toshiba Corp 車両駆動制御装置
US6188564B1 (en) 1999-03-31 2001-02-13 Lam Research Corporation Method and apparatus for compensating non-uniform wafer processing in plasma processing chamber
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP3374796B2 (ja) 1999-08-06 2003-02-10 松下電器産業株式会社 プラズマ処理方法及び装置
US6262538B1 (en) 1999-08-26 2001-07-17 International Business Machines Corporation High density plasma tool with adjustable uniformity and stochastic electron heating for reduced gas cracking
JP3892996B2 (ja) 1999-09-02 2007-03-14 東京エレクトロン株式会社 マグネトロンプラズマ処理装置
JP2001074049A (ja) 1999-09-07 2001-03-23 Ebara Corp 磁気軸受装置
US6610184B2 (en) 2001-11-14 2003-08-26 Applied Materials, Inc. Magnet array in conjunction with rotating magnetron for plasma sputtering
US6341574B1 (en) * 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
JP2003514388A (ja) * 1999-11-15 2003-04-15 ラム リサーチ コーポレーション 処理システム用の材料およびガス化学剤
JP2001156044A (ja) 1999-11-26 2001-06-08 Tokyo Electron Ltd 処理装置及び処理方法
US6432259B1 (en) 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
JP3996771B2 (ja) 2000-01-12 2007-10-24 東京エレクトロン株式会社 真空処理装置及び真空処理方法
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6451177B1 (en) 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6451703B1 (en) 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US7030335B2 (en) 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US6853141B2 (en) * 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US6528751B1 (en) * 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
WO2001075188A2 (en) 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
US6507155B1 (en) * 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US6296747B1 (en) 2000-06-22 2001-10-02 Applied Materials, Inc. Baffled perforated shield in a plasma sputtering reactor
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6627050B2 (en) 2000-07-28 2003-09-30 Applied Materials, Inc. Method and apparatus for depositing a tantalum-containing layer on a substrate
JP3565774B2 (ja) 2000-09-12 2004-09-15 株式会社日立製作所 プラズマ処理装置及び処理方法
US6797639B2 (en) 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
JP3946641B2 (ja) * 2001-01-22 2007-07-18 東京エレクトロン株式会社 処理装置
JP3987686B2 (ja) 2001-02-02 2007-10-10 ジーイー・メディカル・システムズ・グローバル・テクノロジー・カンパニー・エルエルシー 静磁界補正方法およびmri装置
US6673199B1 (en) * 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
US20020139477A1 (en) 2001-03-30 2002-10-03 Lam Research Corporation Plasma processing method and apparatus with control of plasma excitation power
US6663754B2 (en) 2001-04-13 2003-12-16 Applied Materials, Inc. Tubular magnet as center pole in unbalanced sputtering magnetron
JP3843880B2 (ja) 2001-05-31 2006-11-08 株式会社デンソー ガス濃度センサのヒータ制御装置
JP4009087B2 (ja) * 2001-07-06 2007-11-14 アプライド マテリアルズ インコーポレイテッド 半導体製造装置における磁気発生装置、半導体製造装置および磁場強度制御方法
US6674241B2 (en) 2001-07-24 2004-01-06 Tokyo Electron Limited Plasma processing apparatus and method of controlling chemistry
US6491801B1 (en) 2001-08-07 2002-12-10 Applied Materials, Inc. Auxiliary vertical magnet outside a nested unbalanced magnetron
US6495009B1 (en) 2001-08-07 2002-12-17 Applied Materials, Inc. Auxiliary in-plane magnet inside a nested unbalanced magnetron
US6805770B1 (en) 2001-08-30 2004-10-19 Oster Magnetics, Inc. Technique for improving uniformity of magnetic fields that rotate or oscillate about an axis
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US6652712B2 (en) 2001-12-19 2003-11-25 Applied Materials, Inc Inductive antenna for a plasma reactor producing reduced fluorine dissociation
US6761804B2 (en) 2002-02-11 2004-07-13 Applied Materials, Inc. Inverted magnetron
US6521082B1 (en) * 2002-04-16 2003-02-18 Applied Materials Inc. Magnetically enhanced plasma apparatus and method with enhanced plasma uniformity and enhanced ion energy control
US6715900B2 (en) 2002-05-17 2004-04-06 A L Lightech, Inc. Light source arrangement
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6937127B2 (en) 2002-09-09 2005-08-30 Oster Magnetics, Inc. Apparatus for manipulating magnetic fields
US7458335B1 (en) 2002-10-10 2008-12-02 Applied Materials, Inc. Uniform magnetically enhanced reactive ion etching using nested electromagnetic coils
US7422654B2 (en) * 2003-02-14 2008-09-09 Applied Materials, Inc. Method and apparatus for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US20090250432A1 (en) 2008-04-07 2009-10-08 Hoffman Daniel J Method of controlling plasma distribution uniformity by time-weighted superposition of different solenoid fields

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150037621A (ko) * 2013-09-30 2015-04-08 어플라이드 머티어리얼스, 인코포레이티드 고 주파수 무선 주파수에 대한 전극 임피던스를 튜닝하고 저 주파수 무선 주파수를 접지로 종단하기 위한 장치 및 방법

Also Published As

Publication number Publication date
EP1686612A1 (en) 2006-08-02
CN1812683B (zh) 2013-07-17
CN1812683A (zh) 2006-08-02
TWI388243B (zh) 2013-03-01
KR100853577B1 (ko) 2008-08-21
US8617351B2 (en) 2013-12-31
US20050167051A1 (en) 2005-08-04
JP2006237590A (ja) 2006-09-07
JP4769586B2 (ja) 2011-09-07
TW200628022A (en) 2006-08-01

Similar Documents

Publication Publication Date Title
KR100853577B1 (ko) 플라즈마 균일성 및 장치 손상 감소를 위해 첨단, 솔레노이드 및 미러 자기장들을 위한 최소 d.c. 코일들을 구비한 플라즈마 반응기
US6900596B2 (en) Capacitively coupled plasma reactor with uniform radial distribution of plasma
KR100883875B1 (ko) 자기 플라즈마 제어되는 용량 결합형 플라즈마 반응기
US7955986B2 (en) Capacitively coupled plasma reactor with magnetic plasma control
US6095084A (en) High density plasma process chamber
JP4236294B2 (ja) 上部にソレノイドアンテナを有する電磁結合rfプラズマリアクター
US5903106A (en) Plasma generating apparatus having an electrostatic shield
KR100938784B1 (ko) 복수의 권선들을 갖는 코일을 구비하는 유도성 플라즈마프로세서 및 플라즈마 밀도의 제어방법
US6568346B2 (en) Distributed inductively-coupled plasma source and circuit for coupling induction coils to RF power supply
US20080179011A1 (en) Plasma reactor with wide process window employing plural vhf sources
US20080178803A1 (en) Plasma reactor with ion distribution uniformity controller employing plural vhf sources
JP2008187181A (ja) プラズマイオン密度均一性を制御するため可変高さ接地リターンパスを備えたプラズマリアクタにおいてワークピースを処理する方法
JP2008187179A (ja) 複数のvhf源の電力を配分することによる、ウエハ全体のプラズマプロセス均一性の改善
KR20220143947A (ko) 플라즈마 프로세싱 디바이스의 에지 링에서의 전력을 조작하기 위한 장치 및 방법들

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120727

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130729

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140730

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170629

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 11