TWI596646B - 離子收集器、電漿系統的控制方法以及使用電漿處理基板的方法 - Google Patents

離子收集器、電漿系統的控制方法以及使用電漿處理基板的方法 Download PDF

Info

Publication number
TWI596646B
TWI596646B TW104139455A TW104139455A TWI596646B TW I596646 B TWI596646 B TW I596646B TW 104139455 A TW104139455 A TW 104139455A TW 104139455 A TW104139455 A TW 104139455A TW I596646 B TWI596646 B TW I596646B
Authority
TW
Taiwan
Prior art keywords
plasma
ion
wafer
conductive element
substrate
Prior art date
Application number
TW104139455A
Other languages
English (en)
Other versions
TW201711078A (zh
Inventor
陳嘉任
吳奇穎
陳嘉直
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201711078A publication Critical patent/TW201711078A/zh
Application granted granted Critical
Publication of TWI596646B publication Critical patent/TWI596646B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/244Detectors; Associated components or circuits therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/244Detection characterized by the detecting means
    • H01J2237/24405Faraday cages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/244Detection characterized by the detecting means
    • H01J2237/2446Position sensitive detectors
    • H01J2237/24465Sectored detectors, e.g. quadrants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24507Intensity, dose or other characteristics of particle beams or electromagnetic radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24564Measurements of electric or magnetic variables, e.g. voltage, current, frequency

Description

離子收集器、電漿系統的控制方法以 及使用電漿處理基板的方法
本揭露是關於一種電漿系統。
電漿系統常用於工業中執行多種半導體製程。 例如,電漿系統已經用以清理晶圓表面之污染、沉積材料層、用於蝕刻、用於離子佈植,及用於電漿摻雜。數百個晶圓可在給定一日內經受給定的製程步驟。因此,電漿系統之任何狀況或是問題皆會對每一晶圓之良好晶粒數目具有顯著影響。
測量電漿中離子數目之特殊偵測器(有時被稱作劑量計)可用以測量製程期間之電漿離子分佈。可監測離子分佈以決定是否需要令電漿系統離線以便進行重新調整。
本揭露之一實施方式為一種電漿系統之控制方法,包含決定在一基板支撐件周圍的複數個可單獨測量位置 處之離子分佈;比較在至少兩個可單獨測量位置測得的離子分佈;以及若至少兩個可單獨測量位置測得的離子分佈之間的差異大於臨限值,則調整電漿系統的參數。
本揭露之另一實施方式為一種使用電漿處理基板之方法,包含將基板設置於電漿腔室內之支撐件上;向基板施加一偏壓;使用電漿系統以在電漿腔室內產生電漿;引導來自電漿之離子前往基板;在彼此實體相隔離且圍繞支撐件間隔設置之複數個區段處收集離子中之至少一些離子,其中每一區段包含一導電元件,導電元件配置以傳導因接收自電漿的離子而產生之電流;以及至少根據在對應之導電元件傳導之電流而決定所對應之導電元件的離子分佈。
本揭露之又一實施方式為一種離子收集器,包含複數個區段,彼此實體相隔離且圍繞基板支撐件間隔設置,其中每一區段包含導電元件,導電元件配置以傳導因接收自電漿之離子而產生之電流;以及複數個積分器,每一積分器耦接至對應之導電元件,其中每一積分器配置以至少根據在對應之導電元件處之傳導電流來決定所對應之導電元件的離子分佈。
100‧‧‧載物台系統
102‧‧‧支撐件
104‧‧‧晶圓
106a‧‧‧區段
106b‧‧‧區段
106c‧‧‧區段
106d‧‧‧區段
106e‧‧‧區段
106f‧‧‧區段
106g‧‧‧區段
106h‧‧‧區段
112‧‧‧導電元件
114‧‧‧電流
116‧‧‧磁性元件
202‧‧‧積分器
202a‧‧‧積分器
202b‧‧‧積分器
202c‧‧‧積分器
202d‧‧‧積分器
202e‧‧‧積分器
202f‧‧‧積分器
202g‧‧‧積分器
202h‧‧‧積分器
300‧‧‧控制系統
302‧‧‧控制器
304‧‧‧晶圓偏壓模組
306‧‧‧電漿控制模組
308‧‧‧區段
310‧‧‧積分器
400‧‧‧載物台系統
401‧‧‧導電元件
402‧‧‧覆蓋物
404‧‧‧窗口
500‧‧‧控制系統
502‧‧‧控制器
504‧‧‧步驟
506‧‧‧步驟
510‧‧‧積分器
512‧‧‧位置資料
600‧‧‧方法
602‧‧‧步驟
604‧‧‧步驟
606‧‧‧步驟
608‧‧‧步驟
610‧‧‧步驟
612‧‧‧步驟
700‧‧‧反應器
702‧‧‧高頻產生器
704‧‧‧低頻產生器
706‧‧‧阻抗匹配網路
708‧‧‧歧管
710‧‧‧源氣體管線
712‧‧‧入口
714‧‧‧噴淋頭
716‧‧‧基板
718‧‧‧晶圓載物台
720‧‧‧加熱器組件
722‧‧‧出口
724‧‧‧處理腔室
726‧‧‧真空泵
728‧‧‧系統控制器
800‧‧‧方法
802‧‧‧步驟
804‧‧‧步驟
806‧‧‧步驟
808‧‧‧步驟
810‧‧‧步驟/圓盤
812‧‧‧步驟
901‧‧‧介面
902‧‧‧基板
903‧‧‧介面
904‧‧‧鰭片
905‧‧‧絕緣區域
906‧‧‧介電層
906'‧‧‧介電層
907‧‧‧功函數層
908‧‧‧閘極層
908'‧‧‧閘極層
910‧‧‧閘極結構
910'‧‧‧閘極結構
911‧‧‧介面
913‧‧‧介面
1002‧‧‧電漿
1004‧‧‧區域
1102‧‧‧圖案化間隔物
1202‧‧‧電漿
1204‧‧‧摻雜區域
為讓本發明之上述和其他目的、特徵、優點與實施例能更明顯易懂,所附圖式之詳細說明如下。應注意,依據工業中之標準實務,多個特徵並未按比例繪製。實際上,多個特徵之尺寸可任意增大或縮小,以便使論述明晰。
第1A圖及第1B圖分別為依據一些實施例之晶圓載物台上視示意圖與剖面示意圖,此載物台具有整合的離子收集器。
第2圖為依據一些實施例對離子收集器之區段進行之連接的電路示意圖。
第3圖為依據一些實施例之控制系統的示意圖。
第4A圖至第4C圖分別為依據一些實施例之另一晶圓載物台的上視示意圖與剖面示意圖,此載物台具有整合的離子收集器。
第5圖為依據一些實施例之控制系統的示意圖。
第6圖為依據一些實施例之範例方法的流程圖。
第7圖為於一些實施例之範例電漿腔室的示意圖。
第8圖為依據一些實施例之範例方法的流程圖。
第9A圖與第9B圖為依據一實施例之鰭式場效電晶體裝置於一製程之不同視角的橫剖面視圖。
第10A圖與第10B圖為依據一實施例之鰭式場效電晶體裝置於另一製程之不同視角的橫剖面視圖。
第11圖為依據一實施例之鰭式場效電晶體裝置於另一製程的橫剖面視圖。
第12圖為依據一實施例之鰭式場效電晶體裝置於另一製程的橫剖面視圖。
第13圖為依據另一實施例之鰭式場效電晶體裝置的橫剖面視圖。
以下揭示內容提供眾多不同的實施例或範例以用於實施本揭露提供之標的物之不同特徵。下文中描述組件及排列之特定範例以簡化本揭露。此些組件及排列僅為範例,及不意欲進行限制。例如,在下文之描述中,第一特徵在第二特徵上方或之上的形成可包含其中第一特徵與第二特徵以直接接觸方式形成的實施例,及亦可包含其中在第一特徵與第二特徵之間形成額外特徵以使得第一特徵與第二特徵無法直接接觸之實施例。此外,本揭露在多個範例中可重複元件符號及/或字母。此重複用於實現簡化與明晰之目的,及其自身並不規定所論述之多個實施例及/或配置之間的關係。
此外,本揭露中可使用諸如「下方(beneath)」、「以下(below)」、「下部(lower)」、「上方(above)」、「上部(upper)」等等之空間相對術語在以便於描述,以描述一個元件或特徵與另一或更多個元件或特徵之關係,如圖式中所圖示。空間相對術語意欲包含在使用或操作中之裝置除圖式中繪示之定向以外的不同定向。或者,設備可經定向(旋轉90度或其他定向),及本揭露中使用之空間相對描述詞同樣可相應地進行解釋。
應注意,本說明書中對「一個實施例(one embodiment)」、「一實施例(an embodiment)」、「一範例實施例(an example embodiment)」等等之引用指示所述實施例可包含特定特徵、結構或特性,但每一實施例可 並非必須包含此特定特徵、結構,或特性。此外,此些短語未必係指相同實施例。此外,當結合一實施例描述特定特徵、結構或特性時,將符合熟習此項技術者的知識範圍,以結合無論明確描述與否的其他實施例而使此種特徵、結構或特性產生效用。
第1A圖為根據一實施例之載物台系統100的上視示意圖。第1B圖為沿線A'-A'截取之載物台系統100之橫剖面。載物台系統100包含支撐件102,此支撐件102設計以支撐晶圓104。支撐件102可包含用於微影系統中之任一材料,如熟悉此項技術之人員所知曉。例如,用於支撐件102之常用材料是鋼。支撐件102可包含真空系統以用於將晶圓104固持定位。在另一實施例中,支撐件102包含靜電卡盤,以經由施加電勢而將晶圓104挾持定位。
根據一實施例,支撐件102包含圍繞晶圓104外側之溝槽狀開口。每一溝槽狀開口包含離子收集器之區段106a-106h。應理解,本揭露中區段106a之描述亦可適用於任一其他區段。每一區段106a-106h可藉由壁108而實體隔離。每一區段106a-106h亦可藉由置於區段之間的絕緣材料或高介電常數介電材料而彼此電絕緣。區段之總數目及排列可改變。例如,任何數目之區段可如圖所示以圓形圖案而排列,每一區段藉由壁108而彼此隔離。區段排列並非限定於圖示之圓形圖案。例如,區段可以任一形狀或配置排列,只要此些區段排列在晶圓104之邊緣外側。此些區段可經排列以儘可能接近晶圓104之邊緣。在一實施例中,區段 106a-106h經排列以使得給定區段與其最鄰近之兩個相鄰區段之間的距離對每一區段而言是相同的。
在電漿製程期間,利用電漿產生之離子轟擊晶圓104之整個表面,且離子將貫穿每一溝槽狀開口及衝擊離子收集器之每一區段106a-106h。藉由測量與貫穿每一溝槽狀開口的離子關連之總電荷,可決定關於晶圓104處(或至少附近)之電漿離子分佈之資訊。本揭露中所使用的術語「離子分佈」係關於由於衝擊整個給定區域的離子而在整個給定區域(如給定區段)中積聚之總電荷。如若整個給定區域之離子總數目增大,則離子分佈增大。此外,離子分佈隨著每一離子所帶的之電荷增大而增大。
因為離子收集器包含多個彼此實體隔離及/或電隔離的區段106a-106h,因此亦可決定關於電漿均勻性之資訊。例如,區段106a與最鄰近之晶圓104的A部分相關連,而區段106b與最鄰近之晶圓104的B部分相關連,以此類推。由於離子分佈在每一區段106a-106h處皆可單獨測量,因此可監測整個晶圓表面之電漿製程之均勻性。隨著晶圓104之尺寸增大,監測此均勻性之能力變得更重要。例如,當藉由使用450毫米或18英吋直徑晶圓時,電漿均勻性之任何變更可對此較大佔用面積中之裝置產率具有顯著影響。此外,劃分區段之離子收集器設計,如第1A圖中所繪示的設計,可用於單個晶圓系統或多個晶圓系統,以監測電漿均勻性。電漿可用以使晶圓104摻雜多種元素,如硼、磷、砷,或鍺。
在第1B圖之剖面圖中,晶圓104之邊緣可見於支撐件102上方。根據一實施例,支撐件102具有溝槽狀開口,區段106a位於穿過此溝槽狀開口之處。開口允許來自電漿108之離子穿過及衝擊導電元件112。開口寬度可基於應用及預期將產生之離子總數目而定製。例如,開口寬度可在1毫米與3毫米之間、在3毫米與5毫米之間,或在5毫米與10毫米之間。電漿108可利用將廣泛系列的源氣體進行離子化而產生,此些源氣體如氬、氟氯化碳、氦,等等,具體情況依據應用而定。本揭露中不再進一步詳細論述電漿之產生及物理特性。
導電元件112可為能夠傳導電流之任何金屬材料。範例性的導電材料包含銅、鋁、不銹鋼、碳,及石墨。導電元件112可為法拉第杯,此種導電元件112之形狀如同杯子,此杯之側壁設計為可利用多個角度捕獲離子以及捕獲在離子擊中導電元件112及被中和時產生之逸散電荷。杯壁可為直角,如圖所示,或可為彎曲。導電元件112可包含開放區域,寬度為w之「杯」位於此開放區域上方,如第1B圖中所圖示,此寬度w類似於此開口之寬度。在一些實施例中,杯壁可具有第一深度d 1 ,此深度在導電元件112總深度d 2 之約25%至約50%之間延伸。在一些其他實施例中,杯壁可具有第一深度d 1 ,此深度在導電元件112總深度d 2 之約50%至約75%之間延伸。導電元件112之總深度d 2 之範圍在任何情況下可在約10毫米與100毫米之間。請注意,本揭露 中所提供之全部尺寸僅為範例,在不脫離本揭露中所描述之實施例的範疇或精神之情況下亦可使用其他尺寸。
導電元件112作為電路中之元件,以便可直接利用導電元件112測量電流114。測得之電流與在區段106a中衝擊導電元件112之離子數目及離子電荷相關。根據一實施例,載物台系統100包含磁性元件116。利用磁性元件116產生之磁場可有助於阻止二次電子逸散離開導電元件112。
導電元件112與支撐件102之間的間距可利用材料充填以將導電元件112固持定位。充填材料理想中可是非導電的,如聚合物或環氧樹脂。在另一實施例中,導電元件112與支撐件102之間的間距大部分為開放空間,但在導電元件112與支撐件102之間為將導電元件112固持定位而製作的附接點則除外。
導電元件112與晶圓104相隔一段距離d 3 ,但導電元件112可配置接近晶圓104以更準確地測量整個晶圓104表面之電漿離子均勻性。在一些實施例中,導電元件112與晶圓104相隔之距離d 3 的範圍自約2毫米至約5毫米。在一些其他實施例中,導電元件112與晶圓104相隔之距離d 3 的範圍自約5毫米至約10毫米。在一些其他實施例中,導電元件112與晶圓104相隔之距離d 3 的範圍自約10毫米至約20毫米。
本揭露中描述之離子收集器的實施例可在任何電漿製程期間用於製作多種半導體裝置。一些範例性的電漿製程包含離子佈植、電漿蝕刻、電漿增強化學氣相沉積 (plasma enhanced chemical vapor deposition;PECVD)、電漿增強原子層沉積(plasma enhanced atomic layer deposition;PEALD),及磊晶生長。
第2圖為根據一實施例之並聯電路排列,此排列連接至每一離子收集器區段106a-106h。每一區段106a-106h分別與積分器202a-202h電耦接。每一積分器202a-202h可包含基於對應區段中積聚之電荷而測量電流所需之多個被動及/或主動電組件。例如,每一積分器202a-202h可包含安培計或電流計,此安培計或此電流計之操作將為熟習此項技術者所理解。根據接收的電流,每一積分器202a-202h基於接收的電流及對應導電元件曝露於離子之面積而決定離子分佈。由此,每一積分器202a-202h可包含設計以進行此種決定的處理電路系統及/或邏輯。此外,離子分佈資訊可儲存在與每一積分器202a-202h關連之暫存器或可定址記憶體中。
經由使用者介面可向使用者提供從每一積分器202a-202h所決定之離子分佈資訊。例如,安裝至或電耦接電漿系統之顯示器可以圖形方式或數值方式顯示在電流電漿製程中來自晶圓周圍多個位置的離子分佈資訊。在另一實施例中,離子分佈資訊傳送至控制器,此控制器提供對電漿系統的多個參數之自動控制以補償任何所決定之整個晶圓的離子分佈不均勻性。
在另一實施例中,單個積分器用於複數個輸入以接收來自每一區段106a-106h之電流。單個積分器可包含 用以決定每一接收電流之離子分佈的處理電路系統及/或邏輯電路。單個積分器可在複數個輸出上提供離子分佈資訊。
在一實施例中,單個積分器可使用單個輸入及單個輸出,以及使用多種調時或調頻技術以接收不同的電流值。單個積分器可提供多個區段106a-106h之離子分佈資訊。例如,可執行頻分多路複用(frequency division multiplexing)以指定對接收到的來自每一區段106a-106h之每一信號的不同頻率(或相位)調變,以便此些信號能夠在一個積分器輸入中被接收。然後,信號可經解調變以匹配來自個別區段之信號。
第3圖為根據一實施例之控制系統300的示意圖,此控制系統用於校正整個晶圓之離子分佈之不均勻性。根據一實施例,控制系統300可用於第1A圖中所繪示之劃分區段之離子收集器設計。控制系統300包含控制器302,此控制器302包含用以接收來自每一積分器310之離子分佈資訊及使用此資訊以控制電漿系統參數以實時補償任何不均勻的測量結果的處理電路系統及/或邏輯電路。多個區段308可排列在晶圓載物台周圍以收集自電漿產生之離子。在一實施例中,區段308以圓形圖案排列在固持晶圓的卡盤周圍,如第1A圖中所繪示之圖案。雖然圖式中僅以三個區段308進行說明,本技術領域人員應可理解,可使用任何數目之區段308及對應積分器310。
若是離子分佈的不均勻性大於給定臨限值,則控制器302可關閉電漿源。此舉可基於任何數目之可能的比 較。例如,參考第1A圖與第3圖,若區段106a測得一離子分佈,此離子分佈為次一最高離子分佈測量結果之兩倍高,則控制器302將關閉電漿源並向使用者發出系統需要維護之警戒或警告。控制器302亦可向使用者提供包含具體是哪些區段超出範圍的資訊。在另一實施例中,若全部離子分佈測量結果之標準差高於給定臨限值,則控制器302亦會關閉電漿源及發出警戒或警告。熟習此項技術者當可理解其他可用比較測量結果以決定均勻程度的方法亦可使用。
在其他實施例中,控制器302可僅發出關於電漿均勻性之警戒,但繼續執行電漿製程。測試晶圓可在測量電漿離子分佈之製程期間用以確保在使用產出晶圓之前的均勻分佈。在此情境下,在測試晶圓上執行製程時將無需關閉電漿系統。
在一實施例中,在接收到來自多個區段308之離子分佈資訊之後,控制器302可調整施加至正在處理的一或更多個晶圓中之全部(或部分)晶圓的偏壓,以試圖實時糾正電漿均勻性。晶圓偏壓模組304對晶圓偏壓進行調整。晶圓偏壓的變化改變了晶圓周圍之電場強度,進而影響離子與晶圓表面之互動。
可選地或可替代地,在接收到來自多個區段308之離子分佈資訊之後,控制器302可調整電漿源參數以影響電漿特性。電漿控制模組306對電漿源進行調整。待控制之電漿源參數例如包含氣體濃度、氣流速率,及電場強度。多區域電漿系統可經控制以影響晶圓特定區域上之電 漿。例如,同時參考第1A圖,若區段106a所測得的離子分佈高於相鄰區段之離子分佈測量結果,則多區域電漿系統可由控制器302調整以便離子濃度(或總離子電荷)將主要在晶圓104之區段A上變更。在另一實施例中,多區域電漿系統可由控制器302調整以影響晶圓104之每一區段上之離子濃度。
控制器302可比較接收到的離子分佈測量結果並決定無需對任何電漿系統參數進行變更。例如,若離子分佈測量結果全部在給定的臨限值內,則控制器302可繼續監測而不採取任何操作變更晶圓偏壓電勢或電漿源參數。
第4A圖繪示根據另一實施例之載物台系統400的上視示意圖。載物台系統400包含支撐件102,此支撐件設計以類似於載物台系統100之方式支撐晶圓104。然而,載物台系統400包含位於導電元件401中的單個連續溝槽狀開口。導電元件401具有連續封閉形狀,如圍繞支撐件102上之晶圓卡盤而排列之圓形,如圖所示。根據一實施例,導電元件401大部分由覆蓋物402保護以隔絕電漿離子,但窗口404則除外。如雙頭箭頭所指示,覆蓋物402可旋轉以便窗口404相應地在導電元件401之整個封閉形狀順時針或逆時針移動。透過此方式,可控制導電元件401中用以接收來自電漿之離子之區域。覆蓋物402的材料為可阻止離子穿透覆蓋物402之厚度的任何材料。儘管圖中僅繪示一個窗口404,但應理解,可使用任何數目的窗口於覆蓋物402中。多個窗口可以等距地圍繞覆蓋物402設置。窗口404的尺寸 設計為,當窗口404以給定速度旋轉時,窗口404可提供對晶圓104邊緣周圍的電漿離子分佈的完整測量。在一些實施例中,窗口404在自覆蓋物402總周長的約1%至約5%的範圍中延伸。在一些其他實施例中,窗口404在自覆蓋物402總周長的約5%至約10%的範圍中延伸。在一些其他實施例中,窗口404在自覆蓋物402總周長的約10%至約15%的範圍中延伸。
覆蓋物402之轉速是可調整的。在一個實施例中,轉速可基於導電元件401直徑而調整。對於用於更大晶圓尺寸的更大離子收集器,可增大覆蓋物402的轉速以經由窗口404更快測量晶圓周圍區域。窗口404尺寸亦可增大以允許收集更多離子,對應的解析度將會下降。熟習此項技術者將理解如何設計窗口404之尺寸及設定覆蓋物402之速度,以充分地測量給定應用中之離子分佈。
沿線段A’-A’及線段B’-B’截取之橫剖面分別繪示於第4B圖及第4C圖。沿線段A’-A’的橫剖面為離子可穿過窗口404衝擊導電元件401之處。沿線段B’-B’的橫剖面為導電元件401中由覆蓋物402保護之一部分,由此處阻止離子到達導電元件401之此區域中。因為導電元件401是一個連續形狀,導電元件401僅需要進行單個電連接便可接收經由導電元件401傳導之電流。此電流是因為離子穿過窗口404衝擊導電元件401而產生。儘管圖中未繪示,但應理解,導電元件401亦可包含磁性元件,如藉由參考第1B圖而描述之磁性元件116。
藉由沿導電元件401之封閉形狀上移動窗口404,可決定晶圓104周圍多個區域的離子分佈。因此,監測窗口404位置及將此位置與在給定時間內測得電流相比較,以決定哪個區域對應於所決定之離子分佈。可藉由利用如紅外線感測器之位置感測器執行窗口404位置之監測。亦可藉由在覆蓋物402及支撐件102上圖案化電極而使用電容式或靜電感測器。
第5圖為根據一實施例之控制系統500的適意圖,此控制系統用於校正整個晶圓之離子分佈不均勻性。控制系統500可結合第4A圖中所示之連續離子收集器使用。控制系統500包含控制器502,此控制器502包含用以接收來自積分器510之離子分佈資訊的處理電路系統及/或邏輯電路,控制器502更使用此資訊以控制電漿系統參數以實時補償任一不均勻的測量結果。根據一實施例,控制器502亦接收位置資料512。位置資料512包含關於窗口404在移動經過連續離子收集器之導電元件401上方時的位置資訊。位置資料512可藉由使用用於監測先前提及之窗口404位置的多種技術中的任一者收集。然後,控制器502接收到位置資料512後,接著使位置資料512與從積分器510接收到之離子分佈資訊相關聯,以決定一大致位置,在此位置處測量離子分佈。或者,若覆蓋物402之轉速可被控制且為已知,則控制器502亦可使從積分器510接收到的離子分佈資訊與接收此資訊的時間相關聯,以決定一大致位置,在此位置處測量離子分佈。
若量測到的離子分佈不均勻性大於給定臨限值,則控制器502可關閉電漿源。此舉可基於任何可能的比較。例如,若接收到的離子分佈資訊在窗口404進行一次完整旋轉的時間中變更大於臨限量,則控制器502將關閉電漿源及向使用者發出系統需要維護之警戒或警告。控制器502亦可向使用者提供資訊,此資訊包含具體是哪一區域記錄最高或最低的離子分佈。熟習此項技術者將理解比較測量結果以決定均勻程度之其他方法亦可使用。
在一實施例中,在從積分器510處接收到離子分佈資訊之後,控制器502可調整施加至正經處理的一或更多個晶圓中之全部(或部分)晶圓的偏壓,以試圖實時糾正電漿均勻性。步驟504表示對晶圓偏壓電勢進行調整。晶圓偏壓之變更改變了晶圓周圍之電場強度,進而影響離子與晶圓表面之互動。
可選地或可替代地,在接收到來自積分器510之離子分佈資訊之後,控制器502可調整電漿源參數以影響電漿特徵。步驟506表示正在對電漿源進行之調整。待控制之電漿源參數範例包含氣體濃度、氣流速率,及電場強度。多區域電漿系統可經控制以影響晶圓特定區域上之電漿。例如,若離子分佈測量結果顯示圍繞晶圓104外側之一給定區域的讀數異常(例如過高或過低),則多區域電漿系統可藉由控制器502調整,以使得離子濃度(或總離子電荷)主要在晶圓104中最鄰近於異常讀數所來源於之區域的區段上 方變更。在另一實施例中,多區域電漿系統可由控制器502調整以影響晶圓104之多個區段上之離子濃度。
控制器502可連續地監測接收到的離子分佈測量結果並決定無需對任何電漿系統參數進行變更。例如,若離子分佈測量結果隨時間經過而繼續維持在給定臨限值內,則控制器502可繼續監測,而非採取任一操作變更晶圓偏壓電勢(步驟504)或電漿源參數(步驟506)。
第6圖繪示根據一實施例之一方法600的流程圖。方法600可藉由第1A圖或第4A圖中繪示之離子收集器執行。方法600可為由控制器302/502結合積分器310/510而執行之演算法步驟。應理解,亦可在不脫離本揭露所述之實施例之範疇或精神的情況下執行未被繪示之其他步驟。
方法600始於步驟602,根據一實施例,在此步驟中,決定來自晶圓周圍(或晶圓群周圍)不同位置的離子分佈。可基於對多個區段的電流測量結果或基於對離子收集器之連續導電元件之電流測量結果(其中離子僅衝擊連續導電元件之受控部分)而決定不同位置之離子分佈。可由積分器決定離子分佈,此積分器接收來自離子收集器之導電元件之電流測量結果。
在步驟604中,根據一實施例,可比較/對照離子分佈測量結果以估計整個晶圓之離子分佈均勻程度。此比較可由控制器302/502執行。此比較可涉及用以比較不同測量結果的任一數學技巧,如熟習此項技術者將理解。測量結 果比較之一些範例可參照上文中對應於第3圖及第5圖的內容。
在步驟606中,根據一實施例,根據比較在整個晶圓中的離子分佈量測結果是否過於不均勻的結果作出判定。例如,若經比較之測量結果顯示多個離子分佈測量結果之間的差異大於給定臨限值,則可在步驟608中關閉電漿系統。此臨限值可設定至一數值,此數值代表電漿系統與其正常操作狀態差距過大以至於無法進行實時調整,且必須關閉此電漿系統以進行維修。
根據一實施例,如若離子分佈並未被判定為過於不均勻(例如測量結果均在給定臨限值內),則方法600繼續進行至步驟610,在此步驟中,決定是否需要對系統參數進行微調。此決定可基於第二臨限值進行,第二臨限值不同於用以決定是否需要關閉電漿系統的臨限值。例如,離子分佈測量結果可展現一些不均勻特性,在步驟606中,此特性不會超出於決定是否需要關閉電漿系統的臨限值,但在步驟610中,此特性超出第二臨限值。如若離子分佈測量結果之間的差異大於第二臨限值,則方法繼續進行至步驟612,在此步驟中,可實時執行微調,同時電漿系統仍在操作。微調可涉及變更施加至晶圓或固持晶圓之晶圓卡盤之偏壓。微調可涉及調整電漿源參數以影響電漿特性。
如若在步驟610中決定不需要進行微調(例如離子分佈測量結果展現整個晶圓之良好均勻性),則方法600返回步驟602,在此步驟中,連續監測不同位置之離子 分佈,並重複進行方法600。此外,在步驟612中執行微調之後,方法600返回至步驟602以繼續監測不同位置之離子分佈。
第7圖為根據本揭露之多個實施例的範例電漿腔室的示意圖。如圖所示,反應器700包含處理腔室724,處理腔室724圍封反應器700之其他組件及用以容置電漿。電漿可由電容器類型系統產生,電容器類型系統包含結合接地加熱器組件720作業之噴淋頭714。高頻(high-frequency;HF)射頻產生器702及低頻(low-frequency;LF)射頻產生器704可連接至噴淋頭714。反應器700可包含阻抗匹配網路706,阻抗匹配網路706具有高頻產生器702及低頻產生器704中之一者或兩者。在一替代性實施例中,低頻產生器704連接至晶圓載物台718或定位於晶圓載物台718下方。由高頻產生器702供應之功率及頻率可足以使處理氣體/蒸氣產生電漿。在一製程中,高頻產生器702一般在範圍自約2MHz至約60MHz的頻率下操作。功率輸出可為約3.3kW。低頻產生器704一般在範圍自約100kHz至約800kHz的頻率下操作。
在反應器700內,晶圓載物台718支撐基板716。晶圓載物台718可包含卡盤、叉子,或升舉銷以在沉積及/或電漿製程反應期間及反應之間固持及轉移基板716。卡盤可為靜電卡盤、機械卡盤或多種其他類型之卡盤,如可用於工業及/或研究中之卡盤。晶圓載物台718可功能性地與接地加熱器組件720耦接以用於加熱基板716至 所需溫度。一般而言,基板716維持在自約25℃至約500℃之溫度範圍內。
晶圓載物台718可經排列以在電漿製程期間將高壓脈衝傳遞至基板716。脈衝之電壓範圍可自約-0.2kV至約-10kV(在將正離子引導向基板716之情況下,負離子將使用正脈衝)、時長自約20微秒至約100微秒,及頻率範圍自約0.5kHz至約10kHz。
根據一實施例,晶圓載物台718包含經排列在基板716周圍之離子收集器區段(如第1A圖中圖示之區段106a-106h)。根據另一實施例,晶圓載物台718包含離子收集器,此離子收集器具有經排列在基板716周圍之覆蓋物(如第4A圖中繪示之導電元件401及覆蓋物402)。
可經由入口712而引入處理氣體/蒸氣。多個源氣體管線710連接至歧管708。氣體/蒸氣可在歧管708中預混合或是不在歧管708中預混合。採用適當的閥控及流量控制機構以確保在製程之沉積及電漿製程階段期間傳遞正確的氣體。倘若以液態形式傳送化學前驅物,則採用液流控制機構。液體經汽化後在到達沉積腔室之前,在其運輸期間,在經加熱至液體汽化點以上的歧管708中與其他處理氣體混合。
處理氣體經由出口722離開腔室724。真空泵726(例如一級或兩級機械烘乾泵及/或渦輪分子泵)通常藉由如節流閥或擺式閥之閉環控制限流裝置抽出處理氣體 以及維持反應器700內之適當低壓。反應腔室724中之壓力可維持在自約0.1托至約30托之範圍中。
在某些實施例中,使用系統控制器728以控制反應器700之製程條件及其他製程操作。控制器728通常包含一或多個記憶體裝置及一或多個處理器。處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接裝置、步進馬達、控制板等等。
控制器728可控制反應器700之全部操作。控制器728可執行系統控制軟體,軟體包含指令集,指令集用於控制處理操作時序、低頻產生器702及高頻產生器704的操作頻率及功率、前驅物及惰性氣體及此兩者相對混合物之流速及溫度、加熱器組件720之溫度、腔室壓力,及特定製程之其他參數。根據一實施例,控制器728亦可接收來自排列在基板716周圍之離子收集器區段的信號以作為輸入,及使用接收信號以控制反應器700之多個製程。
第8圖為根據一實施例之方法800之流程圖。方法800可藉由使用電漿系統(如第7圖中之反應器700)而執行,此電漿系統包含第1A圖或第4A圖中所繪示之離子收集器。應理解,亦可在不脫離本揭露所述之實施例之範疇或精神的情況下執行未被繪示的其他步驟。
方法800始於步驟802,在此步驟中,將基板設置於電漿腔室內的支撐件上。例如,可將基板置於第7圖中之晶圓載物台718上。
在步驟804中,經由基板所置於其上的支撐件將偏壓施加於基板。此偏壓可為直流電壓,此直流電壓在電漿腔室內形成電場,以引導離子前往基板。可經由控制器施加偏壓,此控制器接收來自使用者之輸入或自動產生偏壓。
在步驟806中,在電漿腔室內產生電漿。可利用熟習此項技術者所理解之任何方式產生電漿。例如,可藉由使一或多種氣體流動而產生電漿,此些氣體藉由射頻能量而經離子化。射頻能量之頻率及振幅可調整以影響電漿特性。類似地,所使用之氣體,或進入電漿腔室之氣體流率可調整以影響電漿特性。
在步驟808中,來自電漿之離子被引導前往支撐件上之基板。離子被驅動前往基板之速度可依據施加至基板的偏壓而定。
在步驟810中,至少部分的離子被在支撐件周圍之複數個區段處收集。例如,複數個區段中的每一區段包含導電元件,此導電元件用以傳導因從電漿接收的離子而產生的電流。複數個區段設置在支撐件上且位於基板的周圍,區段之間彼此相隔一定距離(如第1A圖所示)。在另一實施例中,離子經由圍繞導電元件旋轉之窗口而被收集在單個導電元件處,細節可參照如第4A圖與其說明。
在步驟812中,根據從複數個導電元件中之每一者接收到的電流而決定離子分佈。根據一實施例,可比較/對照離子分佈測量結果以估計整個晶圓上離子分佈均勻程度。此比較可例如由控制器302/502執行。如熟習此項技術 者當可理解,此比較可涉及用以比較不同測量結果的任何數學技巧。測量結果比較之一些範例可參照第3圖及第5圖之說明。
在製作鰭式場效電晶體的多個製造步驟期間可監測電漿離子分佈。鰭式場效電晶體裝置之一些範例製程步驟在第9-12圖中繪示。根據一實施例,此些步驟提供輕摻雜汲極(lightly doped drain;LDD)電漿製程。應理解,第9-12圖中繪示之鰭式場效電晶體裝置僅為此種裝置之範例,為了明晰起見,忽略裝置中某些特徵。其他製造步驟及材料層可包含在鰭式場效電晶體裝置中。
第9A圖是依據一些實施例之基板902上的鰭式場效電晶體裝置之三個鰭片904的X-Y平面橫剖面視圖。第9B圖提供依據一些實施例沿第9A圖中圖示的虛線截取的一個鰭片之Y-Z平面橫剖面視圖。每一鰭式場效電晶體裝置包含半導體鰭片904,其具有介電層906及閘極層908。半導體鰭片904及基板902通常為矽,但亦可使用諸如砷化鎵或磷化銦之其他半導電的材料。介電層906包含二氧化矽、氮化物,及低介電常數介電材料。介電層906可包含絕緣材料層堆疊。閘極層908通常為摻雜多晶矽,但閘極層908亦可為諸如銅、金、鋁,或金屬合金之金屬。閘極層908可包含導電性材料層堆疊。在一些實施例中,每一鰭片904包含絕緣區域905以隔離相鄰鰭片904之源極/汲極區域。絕緣區域905可為使用絕緣材料之矽溝槽絕緣(silicon trench isolation;STI),此絕緣材料例如二氧化矽。
根據一實施例,閘極層908為多晶矽。多晶矽層之圖案化可藉由使用硬式遮罩而執行,此硬式遮罩包含氮化矽層及氧化層。介電層906可為藉由CVD、PVD、ALD、電子束蒸發或其他適合之製程形成之氧化矽。在一些實施例中,介電層906可包含一或更多層氧化矽、氮化矽、氧氮化矽,或高介電常數介電材料。高介電常數介電材料可包含金屬氧化物。用於高介電常數介電質之金屬氧化物範例包含Li、Be、Mg、Ca、Sr、Sc、Y、Zr、Hf、Al、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu,及/或上述各者之混合物之氧化物。在一些實施例中,介電層906之厚度處於約1奈米至約5奈米之範圍中。在一些實施例中,介電層906可包含由二氧化矽製成之介面層。在一些實施例中,介電層906可包含單層或多層結構。閘極層908可利用均勻或不均勻摻雜來摻雜多晶矽。在一些替代性的實施例中,閘極層908包含金屬,如Al、Cu、W、Ti、Ta、TiN、TiAl、TiAlN、TaN、NiSi、CoSi、具有與基板材料相容之功函數的其他導電材料,或上述各者之組合。閘極層908可使用適合之製程而形成,如ALD、CVD、PVD、電鍍,或上述各者之組合。在一些實施例中,閘極層908之寬度(在Z方向上)處於約30奈米至約60奈米之範圍中。
鰭式場效電晶體裝置包含鰭片904與基板902之間的介面903、介電層906與基板902之間的介面901、介電層906與鰭片904之間的介面911(位於鰭片904之頂表面 上),及沿鰭片904頂表面之介面913。在一實施例中,介面903與介面901共平面。在其他實施例中,介面903在介面901上方或下方。在一實施例中,介面911與介面913共平面。在其他實施例中,介面911在介面913上方或下方。
第10A圖與第10B圖為依據一些實施例之鰭式場效電晶體裝置於第一電漿摻雜製程之不同視角的橫剖面視圖。電漿摻雜能夠深入到達鰭片之間以更易於提供等形摻雜分佈。在一實施例中,電漿包含含砷氣體(AsH3)以向鰭片904提供N型摻雜劑。另一摻雜氣體例如是B2H6(用於提供P型摻雜劑)。電漿亦通常與惰性氣體混合,如氦、氙,或氬。此第一摻雜步驟提供第一摻雜劑濃度,此濃度由半導體鰭片904中之區域1004表示。如第10B圖所示,摻雜區域1004延伸進入鰭片904至深度d 4 。在一實施例中,深度d 4 可處於自約1奈米至約10奈米之範圍中。在另一實施例中,深度d 4 可處於自約10奈米至約50奈米之範圍中。此摻雜步驟在整個晶圓之均勻性對減少裝置效能差異而言相當重要。電漿摻雜步驟均勻性可使用本揭露所述之任何離子收集器實施例進行監測。
第11圖為根據一實施例之鰭式場效電晶體裝置之橫剖面視圖,其中圖案化的間隔物1102在第一摻雜步驟之後形成。間隔物1102可由氮化物或二氧化矽形成,但亦可使用其他材料。間隔物1102可經由回蝕技術而形成,此技術中,先沉積材料層,然後蝕刻材料層,以使得材料僅保留在結構側壁上。
閘極結構910包含在介電層906上經圖案化之閘極層908,間隔物1102沿包含閘極層908及介電層906之堆疊的側壁圖案化。在一些實施例中,閘極層908用作硬式遮罩以用於蝕刻介電層906。
第12圖為根據一實施例之鰭式場效電晶體裝置於另一製程的的橫剖面視圖,此鰭式場效電晶體裝置在使用電漿1202進行之第二電漿摻雜步驟期間具有圖案化間隔物1102。第二電漿氣體向摻雜區域1204提供更高摻雜劑濃度。在第二電漿摻雜步驟期間,間隔物1102保護輕摻雜區域1004。第二電漿摻雜步驟使摻雜劑以深度d 5 延伸進入鰭片904。在一實施例中,深度d 5 可處於自約5奈米至約15奈米之範圍中。在另一實施例中,深度d 5 可處於自約15奈米至約55奈米之範圍中。此摻雜步驟在整個晶圓上之均勻性對減少裝置效能差異而言相當重要。電漿摻雜步驟均勻性可使用本揭露所述之任何離子收集器實施例進行監測。
第13圖為根據另一實施例之鰭式場效電晶體裝置的橫剖面視圖,此鰭式場效電晶體裝置具有不同於前的閘極結構910'。閘極結構910'包含閘極層908'及介電層906',此些閘極層及介電層可參照第9B圖中所繪示的閘極層908及介電層906。閘極結構910'亦包含設置於閘極層908'與介電層906'之間的一或更多個功函數層907。
如第13圖所繪示之鰭式場效電晶體裝置之一實施例中,位於鰭片904之頂表面處但不位在介電層906'以下之介面913,會高於介電層906'與鰭片904之間的介面 903。根據一實施例,絕緣區域905與基板902之間的介面901,可高於鰭片904與基板902之間的介面903。
在多個實施例中,本揭露所述之離子收集器可在電漿摻雜製程期間使用,如第9-12圖中所示的電漿摻雜製程。在此些電漿摻雜步驟期間,一或多個晶圓的均勻性可藉由決定在離子收集器之多個區段處收集之離子分佈而經測量,此些區段圍繞此一或多個晶圓而相隔開。本揭露所述之離子收集器的多個實施例可用以提高一或多個晶圓的均勻性測量結果之準確度,從而產生更佳的系統控制及更高的裝置產率。
雖然本發明已以一較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作各種之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
102‧‧‧支撐件
104‧‧‧晶圓
400‧‧‧載物台系統
401‧‧‧導電元件
402‧‧‧覆蓋物
404‧‧‧窗口
A-A’‧‧‧線
B-B’‧‧‧線

Claims (10)

  1. 一種電漿系統之控制方法,包含:決定在一基板支撐件周圍的複數個可單獨測量位置處之離子分佈;比較在至少兩個該些可單獨測量位置測得的離子分佈;以及若至少兩個該些可單獨測量位置測得的離子分佈之間的差異大於一臨限值,則調整該電漿系統的參數。
  2. 如請求項1所述之方法,其中該決定之步驟包含:在每一該些可單獨測量位置處傳導一電流;以及至少根據該傳導電流而決定每一該些可單獨測量位置之離子分佈。
  3. 如請求項1所述之方法,其中該調整之步驟包含調整該基板支撐件之一偏壓。
  4. 如請求項1所述之方法,其中該調整之步驟包含調整一電漿源之一或多個設定,該電漿源產生一電漿。
  5. 如請求項1所述之方法,更包含若至少兩個該些可單獨測量位置測得的離子分佈之間的差異大於一第二臨限值,則關閉該電漿系統。
  6. 一種使用電漿處理基板之方法,包含:將該基板設置於一電漿腔室內之一支撐件上;向該基板施加一偏壓;使用一電漿系統以在該電漿腔室內產生一電漿;引導來自該電漿之離子前往該基板;在彼此實體相隔離且圍繞該支撐件間隔設置之複數個區段處收集該些離子中之至少一些離子,其中每一該些區段包含一導電元件,該導電元件配置以傳導因接收自該電漿的該些離子而產生之一電流;至少根據在對應之該導電元件傳導之該電流而決定所對應之該導電元件的離子分佈;以及若至少兩個該些導電元件所測得的離子分佈之間的差異大於一臨限值,則調整該電漿系統的參數。
  7. 一種離子收集器,包含:複數個區段,彼此實體相隔離且圍繞一基板支撐件間隔設置,其中每一該些區段包含一導電元件,該導電元件配置以傳導因接收自一電漿之離子而產生之一電流;以及複數個積分器,每一該些積分器耦接至對應之該導電元件,其中每一該些積分器配置以至少根據在對應之該導電元件處之該傳導電流來決定所對應之該導電元件的離子分佈。
  8. 如請求項7所述之離子收集器,其中該些區段經排列以使得該些導電元件中之一者與最鄰近之相鄰兩個該些導電元件之間的距離是相同的。
  9. 如請求項7所述之離子收集器,更包含複數個磁性元件,其中每一該些磁性元件耦接至對應之該導電元件。
  10. 如請求項7所述之離子收集器,其中該些積分器耦接至一控制器,該控制器配置以從每一該些積分器中接收離子分佈決定。
TW104139455A 2015-09-10 2015-11-26 離子收集器、電漿系統的控制方法以及使用電漿處理基板的方法 TWI596646B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/850,623 US10553411B2 (en) 2015-09-10 2015-09-10 Ion collector for use in plasma systems

Publications (2)

Publication Number Publication Date
TW201711078A TW201711078A (zh) 2017-03-16
TWI596646B true TWI596646B (zh) 2017-08-21

Family

ID=58258365

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104139455A TWI596646B (zh) 2015-09-10 2015-11-26 離子收集器、電漿系統的控制方法以及使用電漿處理基板的方法

Country Status (3)

Country Link
US (3) US10553411B2 (zh)
CN (1) CN106531603B (zh)
TW (1) TWI596646B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10553411B2 (en) 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems
TWI643261B (zh) * 2017-06-02 2018-12-01 台灣積體電路製造股份有限公司 電漿處理晶圓、電漿控制的方法及電漿反應系統
CN108987227B (zh) * 2017-06-02 2022-02-18 台湾积体电路制造股份有限公司 等离子体处理晶片的方法、等离子体控制方法及反应系统
CN109811326A (zh) * 2019-01-17 2019-05-28 上海大学 利用hipims方法结合镀膜智能监控加气系统制备化合物薄膜材料的方法
JP7292115B2 (ja) * 2019-06-07 2023-06-16 東京エレクトロン株式会社 温度調整装置及び温度制御方法。

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200601437A (en) * 2004-06-02 2006-01-01 Varian Semiconductor Equipment Monitoring plasma ion implantation systems for fault detection and process control
TW200828390A (en) * 2006-10-31 2008-07-01 Nissin Ion Equipment Co Ltd Ion implanter
TW201137965A (en) * 2010-04-19 2011-11-01 Hitachi High Tech Corp Plasma processing apparatus and plasma processing method
JP2012212894A (ja) * 2007-02-13 2012-11-01 Hitachi High-Technologies Corp プラズマ処理方法およびプラズマ処理装置
TWI388243B (zh) * 2005-01-28 2013-03-01 Applied Materials Inc 用於電漿均勻性與減少元件損壞之對於尖端、螺線管與鏡像場具有最少直流電線圈的電漿反應器
US8698107B2 (en) * 2011-01-10 2014-04-15 Varian Semiconductor Equipment Associates, Inc. Technique and apparatus for monitoring ion mass, energy, and angle in processing systems

Family Cites Families (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4234797A (en) * 1979-05-23 1980-11-18 Nova Associates, Inc. Treating workpieces with beams
US4228358A (en) * 1979-05-23 1980-10-14 Nova Associates, Inc. Wafer loading apparatus for beam treatment
US4587433A (en) * 1984-06-27 1986-05-06 Eaton Corporation Dose control apparatus
US4539217A (en) * 1984-06-27 1985-09-03 Eaton Corporation Dose control method
US4628209A (en) * 1985-06-07 1986-12-09 Eaton Corporation Particle implantation apparatus and method
US4751393A (en) * 1986-05-16 1988-06-14 Varian Associates, Inc. Dose measurement and uniformity monitoring system for ion implantation
US5319212A (en) * 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
US5525807A (en) * 1995-06-02 1996-06-11 Eaton Corporation Ion implantation device
JP3003088B2 (ja) * 1994-06-10 2000-01-24 住友イートンノバ株式会社 イオン注入装置
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5760409A (en) * 1996-06-14 1998-06-02 Eaton Corporation Dose control for use in an ion implanter
US5989349A (en) * 1997-06-24 1999-11-23 Applied Materials, Inc. Diagnostic pedestal assembly for a semiconductor wafer processing system
US5814823A (en) * 1997-07-12 1998-09-29 Eaton Corporation System and method for setecing neutral particles in an ion bean
US6217724B1 (en) * 1998-02-11 2001-04-17 Silicon General Corporation Coated platen design for plasma immersion ion implantation
US6120660A (en) * 1998-02-11 2000-09-19 Silicon Genesis Corporation Removable liner design for plasma immersion ion implantation
US6186091B1 (en) * 1998-02-11 2001-02-13 Silicon Genesis Corporation Shielded platen design for plasma immersion ion implantation
US6051073A (en) * 1998-02-11 2000-04-18 Silicon Genesis Corporation Perforated shield for plasma immersion ion implantation
US6269765B1 (en) * 1998-02-11 2001-08-07 Silicon Genesis Corporation Collection devices for plasma immersion ion implantation
US6228176B1 (en) * 1998-02-11 2001-05-08 Silicon Genesis Corporation Contoured platen design for plasma immerson ion implantation
US6101971A (en) * 1998-05-13 2000-08-15 Axcelis Technologies, Inc. Ion implantation control using charge collection, optical emission spectroscopy and mass analysis
US6300643B1 (en) * 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6020592A (en) * 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6300227B1 (en) * 1998-12-01 2001-10-09 Silicon Genesis Corporation Enhanced plasma mode and system for plasma immersion ion implantation
US6213050B1 (en) * 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
US20010002584A1 (en) * 1998-12-01 2001-06-07 Wei Liu Enhanced plasma mode and system for plasma immersion ion implantation
US20010017109A1 (en) * 1998-12-01 2001-08-30 Wei Liu Enhanced plasma mode and system for plasma immersion ion implantation
US6237527B1 (en) * 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
US6433553B1 (en) * 1999-10-27 2002-08-13 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for eliminating displacement current from current measurements in a plasma processing system
US6182604B1 (en) * 1999-10-27 2001-02-06 Varian Semiconductor Equipment Associates, Inc. Hollow cathode for plasma doping system
US6335536B1 (en) * 1999-10-27 2002-01-01 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for low voltage plasma doping using dual pulses
US6347919B1 (en) * 1999-12-17 2002-02-19 Eaton Corporation Wafer processing chamber having separable upper and lower halves
US6458430B1 (en) * 1999-12-22 2002-10-01 Axcelis Technologies, Inc. Pretreatment process for plasma immersion ion implantation
US6323497B1 (en) * 2000-06-02 2001-11-27 Varian Semiconductor Equipment Assoc. Method and apparatus for controlling ion implantation during vacuum fluctuation
WO2002013227A1 (fr) * 2000-07-27 2002-02-14 Ebara Corporation Appareil d'analyse a faisceau plan
JPWO2002037527A1 (ja) * 2000-11-02 2004-03-11 株式会社荏原製作所 電子線装置及びその装置を用いたデバイス製造方法
JP3943022B2 (ja) * 2000-12-01 2007-07-11 株式会社荏原製作所 基板検査装置
WO2002049065A1 (fr) * 2000-12-12 2002-06-20 Ebara Corporation Dispositif a faisceau d'electrons et procede de production de dispositifs a semi-conducteur utilisant ledit dispositif a faisceau d'electrons
US6600163B2 (en) * 2000-12-22 2003-07-29 Alfred M. Halling In-process wafer charge monitor and control system for ion implanter
WO2002097855A1 (en) * 2001-05-29 2002-12-05 Tokyo Electron Limited Plasma processing apparatus and method
US20030101935A1 (en) * 2001-12-04 2003-06-05 Walther Steven R. Dose uniformity control for plasma doping systems
US7163901B2 (en) * 2002-03-13 2007-01-16 Varian Semiconductor Equipment Associates, Inc. Methods for forming thin film layers by simultaneous doping and sintering
US6956223B2 (en) * 2002-04-10 2005-10-18 Applied Materials, Inc. Multi-directional scanning of movable member and ion beam monitoring arrangement therefor
US20040016402A1 (en) * 2002-07-26 2004-01-29 Walther Steven R. Methods and apparatus for monitoring plasma parameters in plasma doping systems
US6922463B2 (en) * 2002-11-14 2005-07-26 Ge Medical Systems Global Technology Company, Llc Thermally high conductive HV connector for a mono-polar CT tube
US8158016B2 (en) * 2004-02-04 2012-04-17 Veeco Instruments, Inc. Methods of operating an electromagnet of an ion source
US6828572B2 (en) * 2003-04-01 2004-12-07 Axcelis Technologies, Inc. Ion beam incident angle detector for ion implant systems
US20050031796A1 (en) * 2003-08-07 2005-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for controlling spatial distribution of RF power and plasma density
US6902646B2 (en) * 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
US7009193B2 (en) * 2003-10-31 2006-03-07 Infineon Technologies Richmond, Lp Utilization of an ion gauge in the process chamber of a semiconductor ion implanter
US20050133736A1 (en) * 2003-12-17 2005-06-23 Otto Chen Ion implantation apparatus and partical collection structure thereof
GB2409926B (en) * 2004-01-06 2006-11-29 Applied Materials Inc Ion beam monitoring arrangement
US7132672B2 (en) 2004-04-02 2006-11-07 Varian Semiconductor Equipment Associates, Inc. Faraday dose and uniformity monitor for plasma based ion implantation
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US7396746B2 (en) * 2004-05-24 2008-07-08 Varian Semiconductor Equipment Associates, Inc. Methods for stable and repeatable ion implantation
US6965116B1 (en) * 2004-07-23 2005-11-15 Applied Materials, Inc. Method of determining dose uniformity of a scanning ion implanter
US20060043063A1 (en) * 2004-09-02 2006-03-02 Mahoney Leonard J Electrically floating diagnostic plasma probe with ion property sensors
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US7531469B2 (en) * 2004-10-23 2009-05-12 Applied Materials, Inc. Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
US20060099830A1 (en) * 2004-11-05 2006-05-11 Varian Semiconductor Equipment Associates, Inc. Plasma implantation using halogenated dopant species to limit deposition of surface layers
US20060121704A1 (en) * 2004-12-07 2006-06-08 Varian Semiconductor Equipment Associates, Inc. Plasma ion implantation system with axial electrostatic confinement
US7326937B2 (en) * 2005-03-09 2008-02-05 Verian Semiconductor Equipment Associates, Inc. Plasma ion implantation systems and methods using solid source of dopant material
US7691226B2 (en) * 2005-03-24 2010-04-06 Tokyo Electron Limited Electron temperature measurement method, electron temperature measurement program for implementing the method, and storage medium storing the electron temperature measurement program
JP5102615B2 (ja) * 2005-04-04 2012-12-19 パナソニック株式会社 プラズマ処理方法及び装置
US20070069157A1 (en) * 2005-09-28 2007-03-29 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for plasma implantation with improved dopant profile
US7476849B2 (en) * 2006-03-10 2009-01-13 Varian Semiconductor Equipment Associates, Inc. Technique for monitoring and controlling a plasma process
US7453059B2 (en) * 2006-03-10 2008-11-18 Varian Semiconductor Equipment Associates, Inc. Technique for monitoring and controlling a plasma process
US7286948B1 (en) * 2006-06-16 2007-10-23 Applied Materials, Inc. Method for determining plasma characteristics
KR100782370B1 (ko) * 2006-08-04 2007-12-07 삼성전자주식회사 지연 전기장을 이용한 이온 에너지 분포 분석기에 근거한이온 분석 시스템
US20080160170A1 (en) * 2006-12-28 2008-07-03 Varian Semiconductor Equipment Assoicates, Inc. Technique for using an improved shield ring in plasma-based ion implantation
US20080178803A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Plasma reactor with ion distribution uniformity controller employing plural vhf sources
US7871828B2 (en) * 2007-02-06 2011-01-18 Applied Materials, Inc. In-situ dose monitoring using optical emission spectroscopy
KR100855002B1 (ko) * 2007-05-23 2008-08-28 삼성전자주식회사 플라즈마 이온 주입시스템
US20080318345A1 (en) * 2007-06-22 2008-12-25 Persing Harold M Plasma ion implantation process control using reflectometry
US7675730B2 (en) * 2007-06-25 2010-03-09 Varian Semiconductor Equipment Associates, Inc. Techniques for detecting wafer charging in a plasma processing system
US20090084987A1 (en) * 2007-09-28 2009-04-02 Varian Semiconductor Equipment Associates, Inc. Charge neutralization in a plasma processing apparatus
US20090104761A1 (en) * 2007-10-19 2009-04-23 Varian Semiconductor Equipment Associates, Inc. Plasma Doping System With Charge Control
US7638781B2 (en) * 2007-10-22 2009-12-29 Varian Semiconductor Equipment Associates, Inc. Local pressure sensing in a plasma processing system
US7586100B2 (en) * 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
US7777179B2 (en) * 2008-03-31 2010-08-17 Tokyo Electron Limited Two-grid ion energy analyzer and methods of manufacturing and operating
US7875859B2 (en) * 2008-03-31 2011-01-25 Tokyo Electron Limited Ion energy analyzer and methods of manufacturing and operating
JP5097632B2 (ja) * 2008-07-11 2012-12-12 株式会社日立ハイテクノロジーズ プラズマエッチング処理装置
US8344318B2 (en) * 2008-09-11 2013-01-01 Varian Semiconductor Equipment Associates, Inc. Technique for monitoring and controlling a plasma process with an ion mobility spectrometer
SG162642A1 (en) * 2009-01-06 2010-07-29 Frontken Singapore Pte Ltd Techniques for maintaining a substrate processing system
JP4769900B2 (ja) * 2010-01-20 2011-09-07 シャープ株式会社 イオン発生装置及びその装置におけるイオン検出方法
DE102010024625A1 (de) * 2010-06-22 2011-12-22 Carl Zeiss Nts Gmbh Verfahren zum Bearbeiten eines Objekts
US8501499B2 (en) * 2011-03-28 2013-08-06 Tokyo Electron Limited Adaptive recipe selector
KR101967490B1 (ko) * 2011-03-28 2019-04-09 도쿄엘렉트론가부시키가이샤 이온 에너지 분석기, 그 내부에서의 전기 신호화 방법, 그 제작 방법 및 작동 방법
US8532796B2 (en) * 2011-03-31 2013-09-10 Tokyo Electron Limited Contact processing using multi-input/multi-output (MIMO) models
JP5876248B2 (ja) * 2011-08-09 2016-03-02 東京エレクトロン株式会社 パーティクルモニタ方法、パーティクルモニタ装置
US9210790B2 (en) * 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
KR102053720B1 (ko) * 2013-03-11 2019-12-09 삼성전자주식회사 플라즈마 진단방법 및 장치
US9666417B2 (en) * 2013-08-28 2017-05-30 Sakai Display Products Corporation Plasma processing apparatus and method for monitoring plasma processing apparatus
US9536749B2 (en) * 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US10395895B2 (en) * 2015-08-27 2019-08-27 Mks Instruments, Inc. Feedback control by RF waveform tailoring for ion energy distribution
US10553411B2 (en) * 2015-09-10 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Ion collector for use in plasma systems

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200601437A (en) * 2004-06-02 2006-01-01 Varian Semiconductor Equipment Monitoring plasma ion implantation systems for fault detection and process control
TWI388243B (zh) * 2005-01-28 2013-03-01 Applied Materials Inc 用於電漿均勻性與減少元件損壞之對於尖端、螺線管與鏡像場具有最少直流電線圈的電漿反應器
TW200828390A (en) * 2006-10-31 2008-07-01 Nissin Ion Equipment Co Ltd Ion implanter
JP2012212894A (ja) * 2007-02-13 2012-11-01 Hitachi High-Technologies Corp プラズマ処理方法およびプラズマ処理装置
TW201137965A (en) * 2010-04-19 2011-11-01 Hitachi High Tech Corp Plasma processing apparatus and plasma processing method
US8698107B2 (en) * 2011-01-10 2014-04-15 Varian Semiconductor Equipment Associates, Inc. Technique and apparatus for monitoring ion mass, energy, and angle in processing systems

Also Published As

Publication number Publication date
US20200111651A1 (en) 2020-04-09
TW201711078A (zh) 2017-03-16
CN106531603A (zh) 2017-03-22
US10553411B2 (en) 2020-02-04
CN106531603B (zh) 2019-08-20
US20170076920A1 (en) 2017-03-16
US11581169B2 (en) 2023-02-14
US20230197424A1 (en) 2023-06-22

Similar Documents

Publication Publication Date Title
US11581169B2 (en) Ion collector for use in plasma systems
US10927449B2 (en) Extension of PVD chamber with multiple reaction gases, high bias power, and high power impulse source for deposition, implantation, and treatment
US8038834B2 (en) Method and system for controlling radical distribution
US9613859B2 (en) Direct deposition of nickel silicide nanowire
US6585851B1 (en) Plasma etching device
TWI253687B (en) Methods and apparatus for optimizing a substrate in a plasma processing system
US9336998B2 (en) Apparatus and method for dynamic control of ion beam energy and angle
US20030121609A1 (en) Plasma etching device
US20170253972A1 (en) Substrate processing apparatus
TW201041455A (en) Plasma generation device, plasma control method, and substrate manufacturing method
KR20140121367A (ko) 반도체 제조를 위한 내부 플라즈마 그리드
US20090242385A1 (en) Method of depositing metal-containing films by inductively coupled physical vapor deposition
CN110634726A (zh) 利用低温晶片温度的离子束蚀刻
KR102250213B1 (ko) 자가 정렬 다중 패터닝 방법들 및 시스템들에 대한 인시츄 스페이서 재성형
US20090233427A1 (en) Plasma doping method
KR20200141518A (ko) 낮은 종횡비 적층물의 패터닝을 위한 방법 및 시스템
US9209001B2 (en) Sputtering apparatus and sputtering method
JP7446335B2 (ja) 接地用ストラップアセンブリ
JP4123428B2 (ja) エッチング方法
US20060177996A1 (en) Doping method and method of manufacturing field effect transistor
JP4880033B2 (ja) 半導体装置の製造方法
JPH11288798A (ja) プラズマ生成装置
TWI643261B (zh) 電漿處理晶圓、電漿控制的方法及電漿反應系統
US11961706B2 (en) Grid structures of ion beam etching (IBE) systems
Lee et al. Study on defect reduction for high aspect ratio etch process