KR100786887B1 - 챔버로부터의 배출물을 모니터링하는 방법 및 장치와, 챔버 클리닝 장치 - Google Patents

챔버로부터의 배출물을 모니터링하는 방법 및 장치와, 챔버 클리닝 장치 Download PDF

Info

Publication number
KR100786887B1
KR100786887B1 KR1020010017140A KR20010017140A KR100786887B1 KR 100786887 B1 KR100786887 B1 KR 100786887B1 KR 1020010017140 A KR1020010017140 A KR 1020010017140A KR 20010017140 A KR20010017140 A KR 20010017140A KR 100786887 B1 KR100786887 B1 KR 100786887B1
Authority
KR
South Korea
Prior art keywords
gas
cell
chamber
radiation
monitoring device
Prior art date
Application number
KR1020010017140A
Other languages
English (en)
Other versions
KR20010095208A (ko
Inventor
케네스 츠사이
텅 바흐
퀴옌 팜
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20010095208A publication Critical patent/KR20010095208A/ko
Application granted granted Critical
Publication of KR100786887B1 publication Critical patent/KR100786887B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T436/00Chemistry: analytical and immunological testing
    • Y10T436/11Automated chemical analysis

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Optical Measuring Cells (AREA)
  • Measurement Of Radiation (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

배출물 모니터링 장치(10)는 배출물을 수용하도록 채택된 에너지화 셀(22)과, 상기 셀(22) 내의 배출물을 에너지화시켜 방사선을 방출시키는 가스 에너자이저(17)와, 윈도우(27) 상에서 에너지화된 가스로부터 배출 잔류물의 증착을 감소시키도록 충분히 큰 거리(d)만큼 셀(22)의 내부벽(36)으로부터 이격된 방사선 투과 윈도우(27)와, 방사선을 검출하는 검출기(26)로 구성된다.

Description

챔버로부터의 배출물을 모니터링하는 방법 및 장치와, 챔버 클리닝 장치{METHOD AND APPARATUS FOR MONITORING AN EFFLUENT FROM A CHAMBER, AND A CHAMBER CLEANING APPARATUS}
도 1a는 프로세스 챔버와 배출물 에너지화 셀을 갖춘 배출물 모니터링 시스템을 구비한 기판 프로세싱 장치의 개략도.
도 1b는 인-라인 배출물 모니터링 시스템을 구비한 기판 프로세싱 장치의 다른 실시예의 개략도.
도 2a는 배출물 에너지화 셀의 실시예의 개략적인 단면도.
도 2b는 도 2a의 배출물 에너지화 셀의 캐소드 조립체의 일부의 개략적인 단면도.
도 2c는 도 2a의 배출물 에너지화 셀의 개략적인 분해도.
도 2d는 본 발명에 따른 배출물 에너지화 셀의 다른 실시예의 개략적인 분해도.
도 3a 내지 도 3c는 본 발명에 따른 배출물 모니터링 시스템의 다른 범주의 검출기 렌즈의 개략도.
도 4a는 본 발명에 따른 예시적인 기판 프로세싱 장치의 다른 실시예를 도시한 개략적인 단면도.
도 4b는 프로세스 시스템 모니터와 CVD 챔버로 구성되는 기판 프로세싱 장치 의 개략도.
도 4c는 본 발명에 따른 컴퓨터 프로그램의 계통적인 제어 구조의 블록도.
* 도면의 주요부분에 대한 부호의 설명 *
10 : 기판 프로세싱 장치 12 : 프로세스 챔버
14 : 프로세스 모니터링 시스템 15 : 가스 공급원
17 : 프로세스 가스 에너자이저 19 : 마이크로파 발생원
20 : 스로틀 밸브 22 : 가스 에너지화 셀
본 발명은 프로세스 챔버로부터의 배출물의 모니터링에 관한 것이다.
기판의 프로세싱에서, 예를 들어, 폴리실리콘, 실리콘 산화물, 알루미늄 및/또는 텅스텐 실리사이드와 같은 반도체, 절전체 및 도전체 재료는 화학기상증착(CVD), 물리기상증착(PVD), 산화 및 질화 프로세스에 의해 기판 상에 형성된다. 예를 들어, CVD 프로세스에서, 반응가스는 기판 상에 재료를 증착시키도록 사용되고, PVD 프로세스에서 타켓은 기판 상에 재료를 증착시키도록 스퍼터링(sputtering)된다. 산화 및 질화 프로세스에서, 산화물 또는 질화물 재료, 전형적으로는 실리콘 산화물 또는 실리콘 질화물은 각각 기판을 적절한 기체 상태 환경에 노출시킴으로써 상기 기판상에 형성된다. 종래의 에칭 프로세스에서, 포토레지스트(photoresist)의 패턴화된 마스크 또는 산화물 하드 마스크는 리소그 래픽 방법에 의해 기판 상에 형성되고, 기판의 노출부분은 에너지화된 가스에 의해 전형적으로 에칭되어 게이트, 비아, 콘택트 홀 또는 상호접속 라인의 패턴을 형성한다. 이러한 프로세스 동안, 프로세스 잔류물(residue)은 챔버 내에서 벽, 구성부품 및 다른 표면 상에 종종 증착한다. 상기 프로세스 잔류물은 이들이 증착되는 기판을 플레이크 오프(flake off)시키거나 또는 오염시킬 수 있으므로 불필요하다. 종래 프로세스에서, 에칭 잔류물은 챔버 내의 표면으로부터 주기적으로 클리닝되었다. 예를 들어, 하나의 방법에서, 일단의 기판을 프로세싱한 후에, 건식 클리닝 프로세스는 에너지화된 클리닝가스와 함께 챔버표면을 클리닝하도록 사용된다. 다른 방법에서, 클리닝가스는 에칭 가스에 첨가되고, 최종 생성가스 조성물이 에너지화되어 기판을 에칭하고 챔버 내의 표면을 클리닝한다.
이러한 프로세스에서, 프로세싱 단계의 말기 또는 프로세스 종료시점에 소정 기간동안 프로세싱을 정지시키는 것이 요망된다. 예를 들어, 챔버 클리닝 프로세스를 수행할 때, 실질적으로 챔버표면 상에 증착된 프로세스 잔류물의 전부 또는 일부가 제거되는, 즉 이들이 제거되거나 또는 증착되는 것이 방지되는 클리닝 프로세스를 정지시키는 것이 바람직하다. 과도한 클리닝은 챔버 구성부품의 수명을 단축시키거나 또는 다른 후속 기판 프로세싱을 열화시킨다. 그러나, 프로세스 잔류물의 두께가 하나 또는 다른 기판의 프로세싱에서 변할 때, 각 클리닝 사이클의 프로세싱에서 챔버를 균일하게 클리닝하는 것이 어렵다. 또한, 챔버를 가로지르는 상이한 위치에서 클리닝 프로세스의 효율은 플라즈마 밀도 및 분배에 의존한다. 따라서, 클리닝 프로세스의 완성을 결정하는 것이 어렵다.
따라서, 미리 정해진 기간 후에 프로세싱을 정지시키거나 또는 챔버 클리닝 프로세스의 종료시점과 같은 프로세스 종료시점을 결정하는 것이 바람직하다. 또한, 챔버표면의 부식없이 챔버 벽과 표면을 클리닝하는 것이 바람직하다.
본 발명은 이러한 요구를 만족시킨다. 하나의 양상에서, 본 발명은 배출물을 수용하도록 채택된 셀과, 이러한 셀 내의 배출물을 에너지화시켜 방사선을 방출시키는 가스 에너자이저와, 윈도우 상에서 에너지화된 가스로부터 배출 잔류물의 증착을 감소시키도록 충분히 높은 소정간격(d)만큼 상기 셀의 내부벽으로부터 이격되는 방사선 투과 윈도우와, 그리고 방사선을 검출하는 검출기를 포함하는 배출물 모니터링 장치를 포함한다. 윈도우는 윈도우 상에서 에너지화된 가스로부터 배출 잔류물의 증착을 감소시키기에 충분히 높은 종횡비를 갖는 포트에 배치된다.
다른 양상에서, 본 발명은 프로세스 챔버로부터 배출물의 조성을 모니터링하는 방법으로 구성되며, 이러한 방법은, 배출물 에너지화 셀로 배출물을 도입시키는 단계와, 셀에서 이러한 배출물을 에너지화시키는 단계와, 윈도우 상에서 배출 잔류물의 증착을 감소시키도록 충분히 큰 거리(d)에 상기 셀의 내부벽으로부터 이격된 방사선 투과 윈도우를 유지하는 단계와, 그리고 윈도우를 통과하는 에너지화된 가스로부터 방출하는 방사선을 검출하는 단계를 포함한다.
본 발명의 또 다른 양상에서, 본 발명은 챔버 클리닝 장치를 포함하며, 이러한 챔버 클리닝은 챔버와 프로세스 모니터링 시스템을 포함하는데, 이러한 챔버는, 프로세싱 동안 기판을 지지할 수 있는 지지체와, 클리닝 가스(cleaning gas)를 공 급하는 가스 공급기와, 클리닝 가스를 에너지화시키는 가스 에너자이저와, 그리고 소모된 클리닝 가스를 제거하는 배기장치를 포함하며; 프로세스 모니터링 시스템은, 소모된 클리닝 가스를 수용하는 배기장치 내의 가스 에너지화 셀과, 이러한 셀에서 상기 가스를 에너지화시킬 수 있는 가스 에너자이저와, 방사선 투과부 상에 배출 잔류물의 증착을 감소시키도록 충분히 큰 거리로 셀로부터 이격된 방사선 투과부로 구성되는 윈도우 조립체와, 그리고 에너지화된 가스로부터 방출하는 방사선을 검출하는 검출기를 포함한다.
본 발명의 또 다른 양상에서, 본 발명은 배출물을 수용하는 셀과, 이러한 셀 내의 배출물로부터 플라즈마를 형성하도록 대전될 수 있는 셀 내의 하나 이상의 전극과, 방사선 투과 윈도우, 플라즈마로부터 방출하는 방사선을 검출하는 윈도우 후방의 센서와, 그리고 광학 렌즈를 포함하는 검출기 조립체를 포함하며, 이러한 검출기 조립체는 셀 내의 전극의 전방으로부터 배향하는 방사선을 선택적으로 수용하도록 설정되는 배출물 모니터링 장치를 포함한다.
본 발명의 또 다른 양상에서, 본 발명은 배출물을 모니터링하는 방법을 포함하며, 이러한 방법은 배출물을 셀로 도입시키는 단계와, 배출물의 플라즈마를 형성하도록 상기 셀 내의 하나 이상의 전극에 바이어스 전압을 인가하는 단계와, 셀 내에 방사선 투과 윈도우를 제공하는 단계와, 그리고 전극 전방으로부터 방출하는 방사선을 검출하는 단계를 포함한다.
본 발명의 또 다른 양상에서, 본 발명은 챔버 클리닝 장치를 포함하며, 이러한 챔버 클리닝 장치는 챔버와 프로세스 모니터링 시스템을 포함하는데, 이러한 챔 버 클리닝 장치는, 프로세싱 동안 기판을 지지할 수 있는 지지체와, 이러한 챔버에 클리닝 가스를 공급하는 가스 공급기와, 클리닝 가스를 에너지화시키는 가스 에너자이저와, 그리고 클리닝 가스를 배기시키는 배기장치를 포함하며; 프로세스 모니터링 시스템은 클리닝 가스를 수용하도록 채택된 셀과, 이러한 셀에서 상기 클리닝 가스를 에너지화시키도록 에너지화될 수 있는 전극과, 그리고 이러한 전극의 전방에서 에너지화된 클리닝 가스로부터 방출하는 방사선을 검출하는 검출기와 방사선 투과 윈도우를 포함하는 검출기 조립체를 포함한다.
본 발명의 이러한 특징, 관점 및 장점들은 하기 설명, 첨부된 청구범위 및 본 발명의 예시적인 특징을 나타내는 첨부도면에 관하여 양호하게 이해될 것이다. 그러나, 각각의 특징들은 단지 특정 도면의 범주 내에서가 아니라 일반적으로 본 발명에 사용될 수 있고, 본 발명은 이들 특징의 조합을 포함한다.
도 1a는 본 발명의 일실시예에 따른 기판 프로세싱 장치(10)의 개략도이고, 장치(10)는 프로세스 챔버(12)와, 예를 들어 플라즈만 방출 분석에 의해 상기 챔버 내에서 수행되는 프로세스를 모니터링할 수 있는 프로세스 모니터링 시스템(14)으로 구성된다. 프로세스 챔버(12)는 예를 들어, 실리콘, 복합 반도체 또는 반도체-온-절연체 웨이퍼와 같은 기판 상에 실리콘 산화물 또는 실리콘 질화물과 같은 절연재료의 층, 알루미늄, 구리, 티타늄, 백금 또는 텅스텐과 같은 금속층 또는 실리콘, 게르마늄 또는 복합 반도체와 같은 반도체 재료의 층을 형성하기 위한 전자 디바이스의 제작에 사용된다.
프로세스 챔버(12)는 전구체 가스(precursor gas)를 원격 마이크로파 플라즈마 발생기와 같은 프로세스 가스 에너자이저(process gas energizer; 17)에 공급하는 가스 공급원(15)을 포함한다. 마이크로파 플라즈마 발생기는 마그네트론과 같은 마이크로파 발생원(19)을 사용하여 전구체 가스를 챔버(12)로 운반되는 플루오르없는 라디칼 및 다른 플라즈마 스페시스(free fluorine radicals and other plasma species)를 포함하는 분해된 스페시스로 전환시킨다. 예를 들어, C2F6, CF 4 또는 NF3를 포함하는 프로세스 가스는 실리콘 산화물과 같은 챔버(12) 내의 프로세스 잔류물과 결합할 수 있는 플루오르 라디칼을 형성하도록 분해되어 배기에 의해 제거되는 플루오르화 실리콘(silicon-fluorides)과 같은 기화 화합물을 형성한다. 전형적인 마이크로파 플라즈마 발생기는 2.45GHz에서 작동하여 전구체를 분해시키고 화학적으로 활성인 플루오르 라디칼을 형성시키는 데 효과적이다.
진공펌프(16)는 비반응 가스 뿐만 아니라 반응 부산물을 포함하는 배출물을 챔버(12)로부터 배기시키기 위하여 진공 도관으로 작용하는 포레라인(foreline)에 의해 프로세스 챔버에 연결된다. 보조 스로틀 밸브(20)는 챔버 압력을 전반적으로 제어하여 가스 공급원(15)에 의해 공급된 가스 유동과 함께 프로세스 챔버(12) 내의 가스 압력을 유지한다.
가스 에너지화 셀(gas energizing cell; 22)은 셀(22)을 통과하는 배출물을 에너지화시키는 가스 에너자이저(gas energizer; 24)를 포함한다. 예를 들어, 가스 에너자이저(24)는 용량성 또는 유도성 에너지 커플링(capacitive or inductive energy coupling)에 의함과 같이 셀 내의 배출물에 전자기 에너지를 커플링시킴으로써 배기 내의 배출물로부터 플라즈마를 형성시킨다. 일특징에서, 도시된 바와 같이, 가스 에너자이저(24)는 셀(22) 내에서 플라즈마를 형성하도록 에너지화될 수 있는 캐소드(33)와 같은 전극을 포함한다. 전형적으로, 가스 에너지화 셀(22)은 배출물 포어라인(18)에서 스로틀 밸브(20)의 직하에 배치되고 이에 의해서 프로세스 챔버(12)로부터 거리를 감소시키는 반면에 프로세스 챔버(12)로부터 셀(22) 내의 플라즈마를 격리시킨다.
포어라인(18) 내의 윈도우(window; 27) 외부에 배치된 검출기(26)는 플라즈마에 의해 방출되고 전압신호로 변화하는 광학의, 적외선의 또는 자외선의 스펙트롬의 방사선을 검출하도록 채택된다. 제어기(28)는 검출기(26)로부터 신호를 수신한다. 제어기는 수신된 신호에 근거된 산술 및 비교를 수행하여 프로세스 매개변수를 제어한다. 예를 들어, 제어기(28)는 검출기(26)로부터의 신호가 프로세스의 종료시점인 것을 나타낼 때 가스 공급원(15)으로부터 프로세스 챔버(12)까지 가스유동을 차단한다. 검출기(26)는 에너지화된 가스에 의해 방출된 방사선을 검출하고 검출기(26) 또는 제어기(28)는 이 신호를 가스 내에서 물질의 형태 및 농도를 결정하도록 사용된다. 상이한 물질은 여기될 때 상이한 파장을 갖는 방사선을 방출하고 검출된 파장의 진폭은 배기흐름에서 특정 물질의 양 또는 농도의 지시를 제공한다.
본 발명의 한 양상에서, 가스 에너지화 셀(22)의 가스 에너자이저(24)는 표준 110V, 60Hz 동력라인의 런 오프(run off)가능한 발광과 함께 사용된 형태의 상 업적으로 입수가능한 스위칭 전력 공급원(power supply; 25)를 포함한다. 전력 공급원(25)은 예를 들어 31KHz에서 30와트의 동력을 발생시킬 수 있다. 전력 공급원(25)의 작동 주파수는 예를 들어 약 10 내지 2000kHz 또는 예를 들어 10 내지 200kHz의 임피던스를 발생시키는 매칭 로드(matching load)를 단순화시키는 (RF 주파수에 비해) 저주파수일 수 있다. 로드 매칭없이, 약 8 내지 15와트 또는 예를 들어 10와트의 동력이 플라즈마에 전송되는 것을 추정된다. 전력 공급원(25)과 가스 에너지화 셀(22) 사이의 매칭 회로(30)는 플라즈마에 인가된 동력의 효율을 개선시키도록 첨가되어 더 작은 전력 공급원의 사용을 허용하거나, 또는 포어라인 압력 및 배출물 조성과 같은 전체범위의 작동조건에 걸쳐 포어라인(18)에서 플라즈마를 형성시키도록 가스 에너지화 셀(22)을 허용한다. 더 높은 작동 주파수는 가스 에너지화 셀(22)의 이온 에칭이 그의 작동수명을 구성한다면 더욱 바람직하다. 전형적으로, 작동 주파수는 플라즈마 발생효율, 플라주마 균일성, 플라즈마 프로세싱 특징, 전자기 간섭 및 전력 공급원의 크기와 비용과 같은 여러 요소를 원래의 플라즈마 시스템에 적합하게 선택된다. 공통 작동 주파수는 13.56MHz이고, 여러 요소들 사이에서 바람직한 균형을 제공하고, 연방통신위원회(Federal Communication Commission; FCC)에 의해 상업적 사용으로 할당된다. 본 발명은 관심있는 플라즈마 특성이 플라즈마로부터 광학 방출이므로 작동 주파수에 적합한 폭넓은 선택을 갖는다. 플라즈마는 작고 희미해질 수 있고 더 작은 전력 공급원이 사용될 수 있어 불필요한 전자기 방출을 제한할 수 있다.
도 1b는 본 발명의 다른 실시예에 따라서 인-라인 가스 에너지화 셀(22)을 갖는 프로세스 모니터링 시스템(14)을 구비한 기판 프로세싱 장치(10)의 개략도이다. 가스 에너지화 셀(22)이 프로세스 챔버(12) 직하에서 수직배향으로 배치되어 있으므로, 가스 에너지화 셀(22)을 통한 더 많은 층상의 그리고 더 작은 교란의 배출물 유동이 얻어질 수 있다. 이것은 배출가스가 프로세스 챔버(12)로 다시 확산하는 가능성을 감소시킨다. 부가적으로, 층상 배출물 유동은 배출물 유동이 화살표(35)에 의해 나타낸 바와 같이, 배기유동과 실질적인 동축으로 긴 프로세스 전극 또는 캐소드(33)의 표면을 연속적이면서 균일하게 통과하므로 더 높은 강도로 배출물에 결합될 수 있는 방사선을 에너지화시킬 수 있다. 캐소드(33)는 격리기(isolator; 37)에 의해 가스 에너지화 셀(22)의 전도벽(conductive wall; 36)로부터 전기적으로 격리된다. 셀(22)의 전력 공급원(25)은 캐소드(33)에 연결되고 전도벽(36)에 연결되어 셀(22)의 애노드를 형성한다. 셀(22)에서 발생된 광학 방출은 윈도우(27)를 통과하여 하나 이상의 광학 검출기(26a, 26b)로 전송된다.
도 2a는 배출물 에너지화 셀(22)의 일실시예의 개략도이다. 배출물 유동은 몇몇 복합에서 마이크로파 에너지를 인가함으로써 예를 들어 용량성, 유도성으로 다양한 방식에서 입력 에너지를 커플림함으로써 에너지화될 수 있다. 도 2a에 도시된 셀(22)은 셀 내에서 가스에 대한 용량성 커플링 동력에 의하여 배출물을 에너지화시킨다. 캐소드(33)는 동력화된 전극이고 전기 격리기(37)에 의하여 애노드 또는 접지된 전극을 형성하는 벽(36)으로부터 분리된다. 애노드(36)와 캐소드(33)는 전형적으로 알루미늄으로 제작되지만, 다양한 다른 도전성 재료로 제작될 수 있다. 캐소드(33)는 동축부(co-axial portion; 42)와 횡단부(transverse portion; 44)을 갖는다. 격리기(37)는 상기 포어라인 내에서 예상된 분위기에 견딜 수 있는 적절한 절연 브레이크다운 강도를 갖는 재료로 제작될 수 있다. 몇몇 응용에서, 격리기(37)에 적합한 적절한 재료는 아세탈 수지, 상표명 테프론과 같은 폴리테트라플루오로에틸엔(polytetrafluoroethelyene), 또는 세라믹을 포함한다. 캐소드(33)의 표면적은 애노드(36)의 표면적에 근접하게 정합되어 가스 에너지화 셀에 동력을 제공하는 저주파수 발생기를 사용할 때 더 큰 면적의 전극을 제공하여 캐소드 또는 애노드의 가스 부식을 감소시킨다.
윈도우(27)는 플라즈마 배출물 흐름의 조성에 견딜 수 있고 관심있는 방사선 방출에 침투할 수 있도록 선택된 재료로 제작된다. 예를 들어, 윈도우(27)는 자외선, 가시 또는 적외선 방사선에 침투가능하고 예를 들어 하나 이상의 Al2O3, Si, SiO2, TiO2, ZrO2 또는 이들의 혼합물 및 복합물과 같은 세라믹으로 제작된다. 윈도우(27)의 표면은 예를 들어, 플레임 폴리싱(flame polishing), 랩핑(lapping) 또는 어블레이팅(ablating)에 의해 완만하게 연마되어 윈도우를 통과하는 방사선의 스캐터링(scattering)을 감소시킬 수 있을 정도로 충분히 작은 정점-대-정점 RMS 거칠기(즉, 거칠기의 정점 과 계곡 사이의 수직 거리)를 제공하고, 예를 들어 가시의 자외선 및 적외선 방사선의 스캐터링은 윈도우(27)가 약 1㎛ 미만의 표면 거칠기를 구비할 때 감소된다. 일실시예에서, 윈도우(27)는 보통 사파이어라 칭하는 단결정 알루미나로 제작되지만, 보통 "수정"이라 칭하는 용융 실리카로 제작된다. 필터(46, 48)는 윈도우와 검출기(26) 사이에 각각 배치되어, 가스 에너지화 셀(22) 내에서 배출물에 형성된 플라즈마로부터의 미리 정해진 주파수의 방사선을 통과시킨다. 대안으로, 검출기(26)는 필터되지 않은 광학 방출의 여러 주파수를 분석할 수 있는 광학 스펙트럼 분석기에 신호를 제공할 수 있다. 비록, 두개의 필터와 하나의 검출기가 도시되어 있지만, 더 많거나 또는 더 적은 검출기 및 필터가 사용될 수 있다.
배출물을 에너지화시킬 때, 배출가스를 에너지화시킴은 가스 에너지화 셀(22)의 윈도우(27) 및 인접하는 벽(36) 상에 배출 잔류물을 형성시킨다는 것을 알 수 있다. 이것은 프로세스 가스가 챔버(12)에서 대부분 고갈되거나 소비되었기 때무에 예측되지 않는다. 예를 들어, 프로세스 가스의 조성이 기판 상에 물질을 증착시키는 마지막일 때, 프로세스 가스는 챔버(12)에서 분해되어 증착물을 형성시킨다. 분해되지 않은 가스의 배출은 실질적으로 단지 소모된 기상 부산물을 포함하고 단지 나머지 잔류물을 발생시키는 제한된 능력을 갖는다. 유사하게, 에칭 가스가 기판 상의 재료를 에칭하도록 사용될 때, 에칭가스로부터 배출물은 감소된 에칭 능력과 감소된 에칭 스페시스(reduced etching species)를 갖는다. 따라서, 이러한 프로세스 가스가 배출물 에너지화 셀(22)에서 에너지화될 때 형성할 것이라는 것은 예측되지 않는다.
가스 에너지화 셀(22)에서 배출 잔류물의 생성은 클리닝 가스로부터 야기된 배출물이 챔버(12)를 클리닝하도록 사용될 때 더욱 예측되지 않는다. 전형적으로는, 클리닝가스는 챔버(12)에서 플루오르없는 라디칼 및 플라즈마 스페시스를 형성하도록 분해하는 플루오르 함유 스페시스로 구성된다. 플루오르 라디칼은 챔버(12)에서 실리콘 산화물과 같은 프로세스 잔류물과 결합하여 가스 에너지화 셀(22)에서 에너지화된 배출물에 존재하는 플루오르화 실리콘과 같은 기화 복합물을 형성한다. 플루오르화 실리콘 함유 가스 배출물이 에너지화될 때 배출 잔류물을 형성하지 않는다는 것은 확신된다. 그러나, 에너지화될 때 배출물은 가스 에너지화 셀(22)의 벽 상에 증착하는 대량의 배출 잔류물을 형성한다는 것이 발견되었다. 이에 부가하여, 윈도우(27)가 가스 에너지화 셀(22)의 벽일 때, 배출 잔류물은 윈도우 상에 증착되고 혼탁화시킨다. 이것은 에너지화된 가스로부터 전송되고 프로세스 모니터링 시스템(14)의 검출기(26)에 의해 검출된 방사선 신호의 희미함을 야기시킨다.
가스 에너지화 셀(22)의 내부벽(29)으로부터 거리(d) 정도로 윈도우(27)를 이격시켜 위치설정함으로써 윈도우(27) 상에 에너지화된 가스로부터의 배출 잔류물의 증착을 충분히 높게 감소된다는 것이 발견되었다. 최적 거리(d)는 에너지화된 배출 스페시스의 평균이동경로, 분자크기 및 그들의 화학적 반응성에 관련되므로, 배출가스 유동속도, 가스 압력 및 조성, 그리고 배출가스 흐름의 잔류시간에 의존한다. 일반적으로, 충돌 횟수가 높을수록, 윈도우(27) 상에 배출 잔류물의 형성속도가 증가한다. 그 경우에, 윈도우(27)를 내부벽(29)으로부터 더 먼 거리로 이격시키는 것이 바람직하다. 그러나, 윈도우는 장치(10)의 푸트프린트(footprint)를 증가시켜 고가의 클리닝 룸 프로세싱 분위기에서 너무 많은 공간을 차지하므로 너무 후방으로 설정될 수 없다. 예를 들어, 챔버 클리닝 작업에서, 윈도우 상에 에너지화된 가스에 대한 배출 잔류물의 증착을 감소시키거나 또는 실질적으로 방지할 수 있을 정도로 충분히 높은 적절한 거리(d)는 예를 들어 약 2mm 내지 약 70mm이다.
이격된 윈도우(27)는, 도 2a에 도시된 바와 같이, 가스 에너지화 셀의 외부로 연장하는 포트(40)에 바람직하게 위치되어 윈도우(27) 상에 배출 잔류물의 증착을 충분히 감소시킨다. 포트(40)는 에너지화된 배출물로부터 방사선을 윈도우(27)를 통과하여 검출기(26)까지 통과하도록 허용하는 좁은 채널을 형성하고, 그의 단면 형상은 원형, 다각형, 삼각형, 오각형, 사각형 또는 직사각형이다. 포트(40)는 윈도우(27) 상에서의 배출 잔류물의 형성을 감소시키기 위하여 윈도우(27)에 대한 에너지화된 배출 스페시스의 접근을 제한하거나 또는 제어하는 기능을 나타낸다. 포트(40)는 셀(22)의 내부벽으로부터 이격된 윈도우(27)를 유지하는 것이 바람직한 거리(d)에 설정된 깊이를 갖는다. 적절한 깊이 또는 거리(d)는 윈도우 상에서 에너지화된 가스 위에 배출 잔류물의 증착을 감소시키거나 또는 충분히 방지하도록 예를 들어 약 2mm 내지 약 70mm의 충분히 긴 적절한 깊이(d)로서, 배출가스 압력, 조성, 잔류시간 및 가스 유동속도에 의존한다. 부가적으로, 포트(40)의 폭(w)은 포트(40)를 통과하는 에너지화된 배출물로부터 방사선의 합체된 강도가 프로세스 모니터링 시스템(14)을 충분히 작동할 수 있을 정도로 충분히 커야한다. 포트(40)의 개구의 나비(breadth), 측면길이 또는 직경를 의미하는 폭(width)에 의해서, 챔버 클리닝 프로세스 경우에, 적절한 폭은 약 3mm 내지 약 15mm이다.
포트(40)의 형상 및 크기는 포트(40)의 깊이(d)에 대한 폭(w)의 비율이고, 윈도우(27) 상에서 배출 잔류물의 증착을 감소시킬 정도로 충분히 높은 종횡비를 제공한다. 챔버(12) 내의 프로세스 조건에 크게 의존하는 적절한 종횡비의 선택은 포트(40)의 말기에 윈도우(27)에 대한 에너지화된 기상 스페시스의 접근을 모니터링하도록 작용할 수 있다. 챔버 클리닝 프로세스 동안 사용하기에 적합한 일실시예에서, 가스 에너지화 셀(22)의 포트(40)는 적어도 약 1의 종횡비를 갖는다. 대부분 챔버 클리닝 프로세스에 적합한 종횡비는 약 1 내지 약 8이다. 예를 들어, 36mm의 폭과 7.6mm의 깊이를 갖고 4.75의 종횡비(폭/깊이)를 제공하는 포트(40)는, NF3로 구성되는 클리닝가스를 사용하여 약 2Torr 내지 20Torr의 압력에서 150sccm의 유속에서 챔버(12)가 클리닝되는 클리닝 프로세스에서, 포트(40)의 종단에서 윈도(27)의 표면 상에 배출 잔류물의 증착은 거의 없는 것으로 야기된다.
포트(40) 또는 검출기(26)는 예를 들어 캐소드(22) 주위의 구역의 관찰영역을 실질적으로 배제하도록 가스 에너지화 셀(22)에서 캐소드(33)의 전방을 바람직하게 가로질러 배향된 셀(22) 내에서 방사선의 관찰영역을 제공하도록 유리하게 배향될 수 었다는 것이 발견되었다. 이러한 배향은 검출기(26)가 캐소드(33)의 전방에 놓인 에너지화된 배출물로부터의 방사선만을 실질적으로 검출하도록 허용한다. 본 실시예는 캐소드(33)를 둘러싸는 구역, 예를 들어 캐소드(33) 주위의 벽이 이 구역으로부터 방출하는 방사선의 강도에 오류의 요동을 야기시킬 수 있을 때 유익하다. 예를 들어, 일실시예에서, 배출물이 에너지화될 때, 프로세스 챔버(12)의 벽(45) 상에 형성된 배출 잔류물은 벽의 특성을 변경, 예를 들어 색상에서 더 엷어지거나 또는 어두워지게 한다는 것이 발견되었다. 이것은 벽(45)으로부터 반사되 어 포트(40)를 통과하여 검출기(26)의 관찰영역으로 유입되는 방사선의 강도에 나쁜 영향을 미친다. 프로세스 전극(33) 만을 실질적으로 커버하는 관찰영역을 제공하고 벽(45)과 캐소드(33) 주위의 주연구역으로부터 반사된 방사선의 대부분을 배제하도록 (검출기 렌즈를 설정하거나 또는 검출기 자체를 배향시킴으로써 달성될 수 있는) 포트(40) 또는 검출기(26)의 배향은 캐소드(33)의 전방에서 에너지화된 가스 방사선으로부터의 실제 신호를 증가시키고 검출기(26)의 노이즈에 대한 신호의 비율을 증가시킨다.
가스 에너지화 셀(22)은 여러 장점을 갖는다. 예를 들어, 가스 에너지화 셀(22)의 형상은 프로세스 가스를 에너지화시키기 위하여, 예를 들어 배출 가스 조성의 모니터링을 허용하도록 충분한 광학 방출을 발생시키는 플라즈마를 형성하기 위하여 작고, 충분하고, 간단하고 저렴한 전력 공급원(25)의 사용을 허용한다. 챔버(12)에서 프로세싱 작동을 충분히 수행할 정도로 물리적으로 크거나 또는 밀집되어야 하는 현재의 플라즈마와 달리, 배출물 또는 포어라인 플라즈마는 배출물 흐름의 조성의 모니터링을 허용하기 위하여 충분한 방사선을 생성하기에 충분하게 크고 밀집될 필요가 있다. 가스 에너지화 셀(22)은 광범위한 압력에 걸쳐 작동할 수 있고, 작동압력은 현재 플라즈마 프로세스의 요구에 의해 제한되지 않는다. 이에 부가하여, 스로틀 밸브(20)의 하류에 가스 에너지화 셀(22)과 검출기(26)를 배치하는 것은 프로세스 챔버(12) 내에서 가스유동의 무시가능한 혼란을 야기시킨다. 결론적으로, 현재 프로세싱 시스템은 광학 검출기와 같은 검출기(26)와 가스 에너지화 셀(22)오 개장될 수 있다. 그러나, 프로세싱 시스템은 챔버(12)의 배기포트 또는 배기 플레늄(exhaust plenum)과 같은 더욱 상류위치에 검출기(26) 및 가스 에너지화 셀(22)을 구비할 수 있다.
도 2b는 도 2a에 도시된 가스 에너지화 셀(22)의 일부(50)의 상세도를 나타내고, 도 2c는 동축의 가스 에너지화 셀(22)의 개략적인 분해도이다. 이 셀에서, 캐소드(33)의 동축부(42)는 동축부(42)가 사각 절개 단부를 갖는다면 다른 방식으로 발생할 수 있는 플라즈마 부식을 감소시키도록 제공된 둥근 단부(52)를 구비한 고체이다. 캐소드(33)의 횡단부는 상대적으로 얇은 벽(56)으 갖는 부분적으로 중공형(42)이다. 얇은 벽(56)은 캐소드의 동축부(42)와 캐소드의 벽(56) 사이에 열저항을 증가시킨다. 격리기(37)는 알루미나 세라믹으로 제작되고 캐소드(62)를 가스 에너지화 셀 벽(36)으로부터 격리시킨다. 상표명 테플론과 같은 폴리테트라플루오로에틸엔으로 제작된 절연판(60)은 알루미늄 캐소드(62)를 가스 에너지화 셀(22)의 벽(36)으로부터 절연시킨다. 폴리테트라플루오로에틸엔은 배출물 및 이에 기인한 가스 에너지화 셀(22) 내에 형성된 플라즈마가 플루오르를 포함하는 시스템에 사용하기에 적합한 재료이다. 스크류(64)는 캐소드(42)의 베이스(58)를 캐소드 플레이트(62)에 기계적으로 그리고 전기적으로 연결시킨다. O-링(66, 68, 70)은 배출물 또는 플라즈마가 전기 접속부를 부식시키거나 또는 가스 에너지화 셀(22)로부터 방출하는 것을 방지하는 밀봉상태를 형성한다. 러그 커넥터(lug connector; 72)는 캐소드 플레이트(62)에 스크류로 부착된다. 와이어(76)에 부착된 슬라이드 온(slide-on) 커넥터는 러그 커넥터(72)를 BNC 커넥터(80)의 중심 컨덕터(78)에 전기적으로 연결시킨다. BNC 커넥터의 외부 컨덕터(82)는 커버 플레이 트(84)에 전기적으로 체결되고, 순차적으로 커버 스크류(88)를 통해 압력 플레이트(86)에 전기적으로 체결된 후 금속 스크류(90)를 통해 가스 에너지화 셀의 벽(36)에 체결된다. 스크류(90)는 금속 압력 플레이트(86)를 절연 스페이서(92)에 대항하여 유지시킨다. 절연 스페이서(92)는 아세틸 수지로 제작되지만, 다양한 전기적으로 절연성 재료로 제작될 수 있다. 절연 스페이성(92)는 스크류(90)를 캐소드 플레이트(62)로부터 격리시키는 견부(shoulder; 94)와 조립될 때 캐소드 플레이트의 에지를 커버하는 칼라(collar; 96)를 갖는다.
도 2d는 도 2c에 도시된 바와 같은 동일 캐소드 조립체를 갖고 프로세스 챔버(12)에서 마이크로파 생성된 클리닝 플라즈마를 검출하고 모니터링하기에 적합한 배출물 에너지화 셀(22)의 다른 실시예의 분해도이다. 이 셀에서, 검출기(26)와 검출기 렌즈(43)는 하우징(46)에 설치된다. 셀(22)에서 플라즈마로부터 방사선은 보호성 자외선(UV) 필름으로 피복된 윈도우(27)와 UV 필터(46)를 통과하고, 이들은 하우징 플레이트(63)에서 O-링(61)과 스크류 조립체(71)에 의해 밀봉된다. 이 후에, 방사선은 예를 들어 관심있는 방사선을 선택적으로 통과시키는 704nm 좁은 밴드 필터일 수 있고 다른 가스켓(75)에 의해 밀봉되는 제2필터(48)를 통과한다. 많은 수의 다른 스크류 조립체(65, 73)는 검출기(26)를 플레이트(59)의 조립체와 하우징(46)에 유지하도록 사용된다. 이에 부가하여, 안전 스위치(51)는 플라즈마가 에너지화되기 전에 셀(22)에서 진공이 있다면 검출하도록 제공되고, 예를 들어 75Torr VCR-4 클로즈 감소 Torr 스위치는 플랜지(58)를 경유하여 스크류(57), 가스켓(53) 및 O-링 밀봉체(55)에 의해 가스 셀(22)에 연결된다.
도 3a는 프로세스 모니터링 시스템에 사용될 수 있는 검출기(26)의 개략적인 대표도이다. 검출기(26)는 셀(22) 내의 에너지화된 가스의 방사선 방출 구역(303)으로부터 윈도우(27)를 통과한 미리 선택된 파장을 갖는 방사선을 수집하는 광학 대상물(301)을 포함할 수 있다. 바람직한 실시예에서, 광학 대상물(303)은 방출구역(303)에 대한 윈도우(27)의 근접에 기인하므로 필수적인 것은 아니다. 다른 실시예에서, 빛은 윈도우(27)를 통과하고 센서(307) 상의 필터(305)를 통과한다. 센서(307)는 가시 스펙트럼에서 방사선을 검출하는 포토트랜지스터 또는 포토다이오드와 같은 다수의 방사선 센서 예를 들어 광학 센서일 수 있다. 비록, 데이타 해석을 단순화하기 위하여 바람직하지만, 센서 응답이 선형일 필요는 없다. 필터(305)는 관심있는 파장에 촛점화된 밴드패드 필터일 수 있다. 검출기(26)는 포어라인(18)에서 윈도우(27)의 외부면에 직접 배치될 수 있고 또는 광학 파이프 또는 광섬유 케이블(도시하지 않음)은 방출구역(303)에서 생성된 방사선을 원거리 위치까지 전송할 수 있으며, 따라서 프로세스 챔버(12) 주위의 공간을 보호한다.
도 3b는 이중 센서로 구성되는 검출기의 개략적인 대표도이다. 빔 스플리터(beam splitter; 309)는 광학 대상물(301)에 의해 수집된 빛을 제1빔(311)과 제2빔(313)으로 분리시킨다. 빔 강도는 거의 동일하고, 각각은 입력 빔(315)의 강도의 거의 반정도이지만, 예를 들어 특히 관심있는 파장에서 센서의 감지도와 관심있는 파장에서 방출빛의 강도에 따라 상이한 강도를 가질 수 있다. 각각의 센서가 빛의 상이한 파장에 응답하도록 필터(317, 319)는 제1빔(311) 및 제1센서(321)와 제2빔(313) 및 제2센서(323) 사이에 배치된다. 예를 들어, 센서(321, 323) 중 하나는 지시기(indicator) 파장을 모니터링하도록 사용되는 반면 다른 하나는 배경 방사선의 파장특성을 측정하도록 사용되고, 또는 센서는 다른 지시기의 파장을 모니터링하도록 사용된다. 선택적으로, 배경 방사선은 예를 들어 가스 에너지화 셀의 적절한 작동을확보하거나 또는 지시기 신호 강도를 평균화하기 위하여 글로우 방전의 전체 광도를 모니터링하도록 필터없이 광대역 센서로 모니터링될 수 있다.
도 3c는 다중 센서를 갖는 검출기(26)의 개략적인 다이어그램이다. 제1빔 스플리터(309)는 입력 광 빔(315)을 다중 빔으로 분리시키고 이 후에 이들은 제2빔 스플리터(329)에 의해 개별적인 빔으로 분리된다. 각각의 빔 스플리터의 전송 및 반사특징은 모니터링되려는 피크의 파장과 강도 뿐만 아니라 센서의 감지도 특성에 따라서 재단된다.
본 발명은 예를 들어 클리닝 가스 플라즈마와 같은 플라즈마의 효율이 실질적으로 떨어지는 때를 결정하므로, 본 발명의 프로세스 모니터링 시스템(14)은 육안 검사 또는 고정시간 방식과 같은 종래의 프로세스 종료점 검출 방법 이상의 여러 장점을 갖는다. 예를 들어 플라즈마에 의해 상당히 클리닝되지 않은 챔버(12)의 일부를 모니터링하는 육안 검사방법은 필요한 것보다 더 긴 기간동안 클리닝 프로세스가 유지되도록 야기시킨다. 이것은 바람직하지 못한 산물유동 만이 아니고, 또한 사용을 증가시키며, 전구체 가스와 관련된 비용 및 잠재적인 PFC 방출을 증가시킨다. 다른 한편으로, 고정시간 클리닝은 클리닝조건 또는 하드웨어에서 변경이 있으면, 불충분한 클리닝(예를 들어, 전구체 브레이크다운 효율이 원격 마이크로파 플라즈마 발생기에 전형적으로 사용된 마그네트론의 시효에 기인하여 떨어짐)을 야 기시킨다.
클리닝 프로세스의 종료점을 결정하는 것에 부가하여, 프로세스 챔버(12)로부터 배출물을 모니터링하는 것은 다양한 상이한 방식으로 사용될 수 있다. 예를 들어, 마그네트론의 동력 출력 또는 원격 플라즈마 발생기의 효율이 변경하는 지 여부를 아는 것이 바람직하다. 이 결과는 전환되지 않은 전구체를 검출하도록 배출물 흐름을 모니터링함으로써 달성되고, 예를 들어 가스 에너지화 셀은 가스 에너지화 셀이 실질적으로 전구체를 플라즈마로 분해하지 않을지라도 방출특성을 생성하도록 자극할 수 있다. 전체 프로세스 챔버로부터 방출물은 챔버에서 조건을 평균화시키는 것에 관련된 정보를 제공하도록 측정될 수 있다. 챔버 내부의 한 지점으로부터 프로세스를 모니터링하는 방법은 다른 불확실함을 야기시키는 검출기가 배치되는 위치를 고려하여야만 한다. 전체 챔버 부피로부터 정보를 합체함으로써, 본 방법은 챔버 조건의 더욱 견고한 지시를 제공한다. 또한, 배출물 에너지화 셀(22)은 클리닝 프로세스의 형태에 적합한 챔버 윈도우를 통과하는 현재의 플라즈마를 모니터링하는 종래의 검출기를 대체할 수 있다.
도 4a에 도시된 본 발명에 따른 기판 프로세싱 장치(10)의 일실시예는 프로세스 챔버 내에서 중앙에 위치된 저항가열된 페데스탈(101) 상에 설치하는 기판(도시하지 않음)에 프로세스 가스를 공급하기 위한 가스 분배 매니폴드(11)를 포함하는 프로세싱 챔버(12)를 갖는 화학기상증착(CVD) 시스템이다. 프로세싱 동안, 기판(예를 들어, 반도체 웨이퍼)는 페데스탈(101)의 편평한 (또는 약간 볼록한) 표면(101a) 상에 위치설정된다. 바람직하게, 알루미늄 질화물과 같은 세라믹의 표면을 갖는 페데스탈(101)은 하부의 선적/하역 위치와 가스 매니폴드(11)에 근접한 상부의 프로세싱 위치 사이에서 모니터링가능하게 이동될 수 있다. 중심판(도시하지 않음)은 기판의 위치설정에 대한 정보를 제공하는 센서를 포함할 수 있다. 증착 및 캐리어 가스는 종래의 편평한, 원형의 가스 분배 페이스 플레이트(13a)의 천공구멍(13d; eh 1d)을 통해 챔버(12)로 도입된다. 특히, 증착 프로세스 가스는 가스 매니폴드(11)를 통해서 종래의 천공된 블록커 플레이트(blocker plate; 41)를 통과한 후 가스 분배 페이스 플레이트(face plate; 13a) 내의 구멍(13b)을 통과하여 챔버로 유동[도 1b에 화살표(21)로 표시됨]한다.
매니폴드에 도달하기 전에, 증착 및 캐리어 가스는 가스 공급원(7)으로부터 가스 공급라인(8; 도 1b)을 통해 이들이 결합하는 가스 혼합 블록 또는 시스템(9)으로 유입하고 이 후에 가스 매니폴드(11)로 보내진다. 몇몇 경우에, 증착 및 캐리어 가스를 공급라인(8)으로부터 매니폴드(11)로 직접 지향시키는 것이 바람직하다. 이러한 경우에, 가스 혼합 시스템(9)은 우회된다. 다른 상황에서, 가스 라인(8)은 가스 혼합 시스템(9)을 우회하여 가스를 통로(도시하지 않음)을 통해 챔버(12)의 바닥에 유입시킨다. 도 1b에 도시된 바와 같이, 특정 실시예에서 WSix를 증착시키기 위한 세개의 가스 공급라인(9)이 있다. 제1라인(8a)은 실리콘 함유 가스[가스 공급원(7a)으로부터 DCS 공급원으로부터 "DCS"로 칭해지는 디클로로실란(SiH2Cl2)]를 가스 혼합 시스템(9)으로 공급하고, 제2라인(8b)은 가스 흐름을 적절하게 안정화시키고 혼합 시스템(9)으로의 두개 라인 사이에서 가스흐름 을 평준화시키도록 프로세스에 공급될 수 있는 텅스텐 함유 가스[예를 들어 가스 공급원(7a, 7b)에서 아르곤 공급원으로부터 아르곤]를 공급한다. 챔버(12)의 상류에서의 이러한 가스(DCS 및 WF6)의 혼합은 챔버로의 더욱 균일한 가스 분배를 야기시키고, 이에 의해서 증착된 WSix 필름을 더욱 균일하게 한다. 제3공급라인(8c)은 히터(도시하지 않음) 아래의 챔버 구역으로부터 이격되게 증착 가스를 유지하도록 챔버의 바닥으로부터 불활성 퍼지가스[예를 들어, 가스 공급원(7c)으로부터 아르곤]을 도입시킨다. 몇몇 바람직한 실시예에서, 부가적인 실리콘 공급원[예를 들어, 공급원(7a)으로부터 실란(SiH4)]은 가스라인(8a)에 공급된다. 일반적으로, 각각의 프로세스 가스에 적합한 공급라인은 (i)챔버로의 프로세스 가스의 흐름을 자동으로 또는 수동으로 차단하도록 사용될 수 있는 다수의 안전 차단 밸브(도시하지 않음)와, (ii) 공급라인을 통한 가스의 흐름을 측정하는 질량 유량계(MFCs)(도시하지 않음)을 포함한다. 독성가스가 프로세스에 사용될 때, 다수의 안전 차단밸브는 종래 구조에서 각각의 공급라인에 위치 설정된다.
챔버(12)에서 수행된 증착 프로세스는 열적 프로세스 또는 플라즈마 여기된 프로세스일 수 있다. 플라즈마 여기 프로세스에서, RF 전력 공급원(102)은 가스 분배 페이스 플레이트(13a)와 페데스탈(101) 사이에 전기동력을 인가하여 페이스 플레이트(13a)와 페데스탈(101) 사이의 원통구역 내에서 플라즈마를 형성하도록 프로세스 가스 혼합물을 여기시킨다. (이 구역은 본 명세서에서 "반응구역"으로서 언급된다). 플라즈마 구성물은 페데스탈(101) 상에 지지된 반도체 웨이퍼의 표면 상에 요구된 필름을 증착시키도록 반응한다. RF 전력 공급원(102)은 챔버(12)로 도입된 반응 스페시스의 분해를 향상시키기 위하여 13.56MHz의 RF 고주파(RF1)와 360kHz의 RF 저주파(RF2)의 동력을 전형적으로 공급하는 혼합 주파수 RF 전력 공급원일 수 있다. 물론, RF 전력 공급원(102)은 챔버(12)에 도입된 반응 스페시스의 분해를 향상시키기 위하여 단일 또는 혼합된 주파수의 RF 동력(또는 다른 요구된 변동)을 매니폴드(11)에 공급할 수 있다. 열적 프로세스에서, RF 전력 공급원(102)은 유용하지 않고, 프로세스 가스 혼합은 열적으로 반응하여 요구된 필름을 반응에 요구된 열적 에너지를 제공하도록 저항가열되는 페데스탈(101) 상에 지지된 기판의 표면 상에 증착시킨다.
플라즈마 여기 증착 프로세스 동안, 플라즈마는 배기 통로(23) 및 차단밸브(103)를 둘러싸는 벽을 포함하여 전체 챔버(12)를 가열한다. 열적 증착 프로세스 동안, 가열된 페데스탈(101)은 챔버(12)의 가열을 야기시킨다. 플라즈마가 턴온되지 않을 때 또는 열적 증착 프로세스 동안, 가열된 액체는 챔버(12)의 벽을 통해 순환되어 챔버를 상승온도에 유지시킨다. 챔버벽을 가열시키도록 사용된 유체는 전형적으로 물기저 에틸렌 글리콜 또는 오일 기저 열전달 유체를 포함한다. 이러한 가열은 불필요한 반응 부산물의 농축을 유익하게 감소시키거나 또는 제거하고 다른 방식에서는 냉각의 진공 통로의 벽 상에 응축하고 가스 유동이 없는 동안 프로세싱 챔버로 다시 이동할 수 있는 프로세스 가스 및 오염물의 기화성 산물의 제거를 개선시킨다.
반응산물 및 배출 잔류 증착물을 포함하여 층에 증착되지 않은 가스 혼합물 의 잔류물은 배기펌프(16)에 의해 챔버(12)로부터 배기된다. 특히, 가스는 반응구역을 둘러싸는 환형의 슬롯형 오리피스(104)를 통해 고 환형 배기 플레늄(106)으로 배기된다. 환형 오리피스(104)와 플레늄(106)은 [벽 상의 격리기(37)를 포함하는] 챔버의 원통형 측벽의 상부와 원형 챔버 뚜껑(108)의 바닥 사이의 갭에 의해 한정된다. 슬롯 오리피스(104)와 플레늄(106)의 360°원형의 대칭 및 균일성은 더욱 균일한 필름을 증착시키기 위하여 기판 위에 프로세스 가스의 균일한 유동을 달성하는 데 중요하다. 가스는 하향 연장하는 가스통로(23)를 통해 배기 플레늄(106)의 측방 연장부(21) 아래에서 유동하고, 진공 차단밸브(103)(그의 본체는 하부 챔버벽과 일체화됨)를 통과하여 포어라인(18)을 통해 외부의 진공펌프(16)에 연결된 배기 배출구(109)로 유동한다.
저항가열된 페데스탈(101)의 웨이퍼 지지 플래터(platter)는 평행한 동심 원형의 형태로 두개의 완전 교대를 형성하도록 형상화된 히터요소를 내장하는 단일 루프를 사용하여 가열된다. 히터 요소의 외부는 지지 플래터의 주연에 인접해서 연장하는 반면, 내부는 작은 반경을 갖는 동심의 원형의 경로 상에 연장한다. 가열요소까지의 배선은 알루미늄, 세라믹 또는 이들의 복합물로 제작된 페데스탈(101)의 스템을 통과한다. 전형적으로, 몇몇 또는 모든 챔버 라이닝, 가스 유입 매니폴드 페이스 플레이트, 다양한 다른 반응 하드웨어는 알루미늄, 양극 산화처리된 알루미늄 또는 세라믹과 같은 재료로 제작된다. 이러한 CVD 장치의 예는 짜오 등에게 허여된 "CVD 프로세싱 챔버" 제하의 일반양도된 미국 특허 5,558,717호에 기재되어 있고, 그 내용은 본 명세서에 참조문헌으로 합체된다. 리 프트 기구 및 모터(도시하지 않음)는 히터 페데스탈(101)을 승하강시키도록 사용될 수 있고 기판 상승핀(도시하지 않음)은 로봇 블레이드(도시하지 않음)에 의해 챔버의 본체로 및 이로부터 챔버(12) 측면의 삽입/제거 개구(110)를 통해 전달된다. 상기 모터는 프로세싱 위치와 기판 선적위치 사이에서 페데스탈(101)을 승하강시키고 광학 센서는 예를 들어 적절한 모터에 의해 이동되는 스로틀 밸브(20)와 페데스탈(101)과 같은 이동가능한 조립체의 위치를 결정하도록 사용된다.
장치는 하드디스크 드라이브(메모리; 38)와, 플로피 디스크 드라이브와, 프로세서(137)를 포함하는 시스템 제어기(28)에 의해 작동된다. 프로세서는 단일보드 컴퓨터(SBC), 아날로그 및 디지탈 입/출력 보드, 인터페이스 보드 및 스텝 모터 제어기 보드를 포함한다. 장치(10)의 다양한 부품은 베르사 모듈러 유로피안(VME; Versa Modular European) 표준에 따른다. VME 표준은 또한 16비트 데이타 버스 및 24비트 데이타 버스를 갖는 버스 구조(bus structure)를 정의한다. 제어기(28)는 메모리(138)와 같은 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램인 시스템 제어 소프트웨어를 실행시킨다. 바람직하게는, 메모리(138)는 하드 디스크 드라이브이지만, 메모리(138)는 다른 종류의 메모리일 수 있다. 컴퓨터 프로그램은 특정 프로세스의 타이밍, 가스의 혼합, 챔버압력, 챔버온도, RF 전압 수준, 페데스탈 위치 및 다른 매개변수들을 지시하는 일련의 지시명령을 포함한다. 예를 들어, 플로피 디스크 또는 다른 적절한 드라이브를 포함하는 다른 메모리 장치에 저장된 다른 컴퓨터 프로그램은 제어기(28)를 작동시키도록 사용될 수 있다.
사용자와 제어기(28) 사이의 상호 연결은 하나 이상의 챔버를 포함하는 CVD 장치(10)의 간단한 다이어그램인 도 4b에 도시된 CRT 모니터(150a)와 발광펜(150b; light pen)을 경유한다. 바람직한 실시예에서, 두개의 모니터(150a)가 사용되며, 하나는 작업자에 적합하게 클리닝실 벽에 장착되고 다른 하나는 보수 기술자에 적합하게 벽 뒤에 장착된다. 모니터(150a)는 동시에 동일 정보를 디스플레이하지만, 단지 하나의 발광펜(150b)이 사용가능하다. 발광펜(150b)의 팁에 있는 발광센서는 CRT 디스플레이에 의해 방출된 빛을 검출한다. 특정 스크린 또는 기능을 선택하기 위하여, 작업자는 디스플레이 스크린의 예정된 영역을 터치하고 펜(150b)의 버튼을 누른다. 터치된 영역은 그의 발광된 색상을 변경시키거나 또는 새로운 메뉴 또는 스크린이 디스플레이되어, 발광펜과 디스플레이 스크린 사이의 소통을 확보한다. 키보드, 마우스 또는 다른 포인팅 또는 소통 디바이스와 같은 다른 디바이스는 사용자가 제어기(28)와 소통할 수 있도록 발광펜(150b)에 대신하거나 또는 이에 부가하여 사용될 수 있다.
필름을 증착시키기 위한 프로세스는 제어기(28)에 의해 실행된 컴퓨터 프로그램 산물을 사용하여 실행될 수 있다. 컴퓨터 프로그램 코드는 예를 들어, 68000 어셈블리 언어, C, C++, 파스칼, 포트란 또는 기타 종래 컴퓨터 판독가능한 프로그래밍 언어로 기록될 수 있다. 적절한 프로그램 코드는 종래 텍스트 편집기를 사용하는 단일 파일 또는 다중 파일로 도입되고, 컴퓨터의 메모리 시스템과 같은 컴퓨터 사용가능한 매체에 저장되고 구현된다. 도입된 코드 텍스트가 고급언어이면, 코드는 복잡화되고, 파생하는 컴파일러 코드는 프리콤파일된 윈도위 라이브러리 루 틴(precompiled WindowsTM library routine)의 대상코드와 링크된다. 링크된 콤파일된 대상코드를 실행시키기 위하여, 시스템 사용자는 상기 대상코드를 불러와서, 컴퓨터 시스템이 상기 코드를 메모리에 선적하도록 야기시킨다. 이 후에, CPU는 상기 코드를 판독하고 실행하여 프로그램에서 인식된 임무를 수행한다.
도 4c는 특정 실시예에 따른 시스템 제어 소프트웨어 컴퓨터 프로그램(70)의 계통적 제어 구조의 블록 다이어그램을 예시한다. 발광펜을 사용하여, 사용자는 CRT 모니터 상에 디스플레이된 메뉴 또는 스크린에 응답하여 프로세스 설정 수와 프로세스 챔버 수를 프로세스 선택기 서브루틴(173)에 도입시킨다. 프로세스 설정은 특정한 프로세스를 수행하는데 필요한 미리 결정된 프로세스 파라미터 설정이며 미리 결정된 설정 수에 의해 확인된다. 프로세스 선택기 서브루틴(173)은 요구된 프로세스를 수행하기 위한 프로세스 챔버를 작동시키도록 필요시되는 (i) 요구된 프로세스 챔버 및 (ii) 요구된 일련의 프로세스 매개변수를 인식한다. 특정 프로세스를 수행하기 위한 프로세스 매개변수는 예를 들어, 프로세스 가스조성 및 유동속도, 온도, 압력, 마이크로파 동력 레벨 또는 RF 동력레벨 및 저주파 RF 주파수와 같은 플라즈마 조건, 냉각가스 압력 및 챔버벽 온도와 같은 프로세스 조건에 관련된다. 이들 매개변수들은 비법(recipe)의 형태로 사용자에게 제공되고, 발광펜/CRT 모니터 인터페이스를 사용하여 도입된다. 프로세스를 모니터링하기 위한 신호는 시스템 제어기의 아날로그 및 디지탈 입력 보드에 의해 제공되고, 프로세스를 제어하기 위한 신호는 장치(10)의 아날로그 및 디지탈 출력 보드에 출력된다.
프로세스 시퀀서 서브루틴(175)은 프로세스 선택기 서브루틴(173)으로부터 인식된 프로세스 챔버 및 일련의 프로세스 매개변수를 수용하고 다양한 프로세스 챔버의 작동을 제어하기 위한 프로그램 코드로 이루어진다. 다수의 사용자는 프로세스 설정수와 프로세스 챔버수를 도입할 수 있거나 또는 단일 사용자는 다중 프로세스 설정수와 프로세스 챔버수를 도입할 수 있고, 따라서, 시퀀서 서브루틴(175)은 요구된 순서에서 선택된 프로세스를 예정하도록 작동한다. 바람직하게는, 시퀀서 서브루틴(175)은 (i) 챔버가 사용되는 지 여부를 결정하도록 프로세스 챔버의 작동을 모니터링하는 단계와, (ii) 사용되는 챔버에서 어떤 프로세스가 수행되는 지를 결정하는 단계와, (iii) 수행되는 프로세스 챔버의 입수가능성과 프로세스 형태에 근거하여 요구된 프로세스를 실행하는 단계들을 수행하기 위한 프로그램 코드를 포함한다. 폴링(polling)과 같이 프로세스 챔버를 모니터링하는 종래 방법이 사용될 수 있다. 어느 프로세스가 실행되는 지를 계획할 때, 시퀀서 서브루틴(175)은 선택된 프로세스 또는 특정 사용자 도입된 요구의 "경과"(age) 또는 다른 관련된 요소에 적합하게 요구된 프로세스 조건과 비교하여 사용되는 프로세스 챔버의 현 조건을 고려하고, 시스템 프로그래머는 예정된 우선순위를 결정하기 위한 것을 포함하도록 요구한다.
일단 시퀀서 서브루틴(175)이 어느 프로세스 챔버와 프로세스 세트 조합이 다음에 실행될 것인지를 결정하면, 시퀀서 서브루틴(162)은 시퀀서 서브루틴(175)에 의해 결정된 프로세스 세트에 따라 프로세스 챔버(12)에서 다중 프로세싱 임무를 모니터링하는 챔버 관리 서브루틴(177a-c)으로 특정 프로세스 설정 매개변수를 통과시킴으로써 프로세스 세트의 실행을 초기화시킨다. 예를 들어, 챔버 관리 서 브루틴(177a)은 프로세스 챔버(12)에서 CVD 작동을 제어하기 위한 프로그램 코드로 구성된다. 챔버 관리 서브루틴(177)은 또한 선택된 프로세스 세트를 실행하기에 필요한 챔버 구성부품의 작동을 제어하는 다양한 챔버 구성부품 서브루틴의 실행을 제어한다. 기판 위치설정 서브루틴(180), 프로세스 가스 제어 서브루틴(183), 압력 제어 서브루틴(185), 히터 제어 서브루틴(187) 및 플라즈마 제어 서브루틴(190)은 몇몇 실시예에서 챔버 구성부품 서브루틴의 일예들이다. CVD 챔버의 특정 구조에 따라서, 몇몇 실시예는 상기 서브루틴 모두를 포함하는 반면, 다른 실시예는 몇몇 서브루틴만을 포함한다. 기술분야의 숙련된 당업자는 다른 챔버 제어 서브루틴이 어떤 프로세스가 프로세스 챔버(12)에서 실행될 수 있는 지에 따라 포함될 수 있다는 것을 용이하게 인식할 것이다. 작동시, 챔버 관리 서브루틴(177a)은 실행되는 특정 프로세스 세트에 따라 선택적으로 예정하거나 또는 프로세스 구성부품 서브루틴을 요청한다. 챔버 관리 서브루틴(175)은 시퀀서 서브루틴(162)이 어느 프로세스 챔버(12)와 프로세스 세트가 다음에 실행되는 지를 예정하는 것과 상당히 유사하게 프로세스 구성부품 서브루틴을 예정한다. 전형적으로, 챔버 관리 서브루틴(177a)은 다양한 챔버 구성부품을 모니터링하는 단계와, 실행될 수 있는 프로세스 세트에 적합한 프로세스 매개변수에 근거되어 어느 구성부품이 작동될 수 있도록 필요시되는 지를 결정하는 단계와, 상기 모니터링 단계 및 결정 단계에 응답하여 챔버 구성부품 서브루틴의 실행을 야기시키는 단계를 포함한다.
특정 챔버 구성부품 서브루틴의 작동은 도 4c를 참조하여 설명될 것이다. 기판 위치설정 서브루틴(180)은 기판을 페데스탈(101) 상에 선적하고 임의적으로는 상기 기판과 가스 분배 매니폴드(11) 사이의 간격을 모니터링하기 위하여 챔버(12) 내에서 상기 기판을 소정 높이까지 상승시키도록 사용되는 챔버 구성부품을 제어하기 위한 프로그램 코드를 포함한다. 기판이 프로세스 챔버(12)로 선적될 때, 페데스탈(101)은 상기 기판을 수용하도록 강하되고, 이 후에 소정 높이까지 상승된다. 작동시, 기판 위치설정 서브루틴(180)은 챔버 관리 서브루틴(177a)으로부터 전송되는 지지 높이에 관련된 프로세스 세트 매개변수에 응답하여 페데스탈(101)의 이동을 제어한다.
프로세스 가스 제어 서브루틴(183)은 프로세스 가스 조성 및 유동속도를 제어하기 위한 프로그램 코드를 갖는다. 프로세스 가스 제어 서브루틴(183)은 안전 차단 밸브의 개폐위치를 제어하고 또한 질량 유량 제어기를 상하로 램프(ramp)시켜 요구된 가스 유량속도를 얻는다. 프로세스 가스 제어 서브루틴(183)은 모든 챔버 구성부품 서브루틴과 마찬가지로 챔버 관리 서브루틴(177a)에 의해 요청되고, 요구된 가스 유량속도와 관련된 챔버 관리 서브루틴 프로세스 매개변수로 받아들인다. 전형적으로, 프로세스 가스 제어 서브루틴(183)은 가스 공급라인의 개방에 의해서 그리고 반복적으로는 (i)필요한 질량 유량 제어기를 판독하고, (ii)챔버 관리 서브루틴(177a)으로부터 수용된 요구된 유량속도에 대한 판독을 비교하고, (iii)필요한 만큼 가스 공급라인의 유동속도를 조정함으로써 작동한다. 또한, 프로세스 가스 제어 서브루틴(183)은 불안정한 속도와 불안정한 조건이 검출될 때 안전 차단 밸브를 작동시키기에 적합하게 가스 유량속도를 모니터링하는 단계를 포함한다.
몇몇 프로세스에서, 질소 또는 아르곤과 같은 불활성가스는 챔버(12)로 유입 되어 활성 프로세스 가스가 도입되기 전에 챔버 내의 압력을 안정시킨다. 이러한 프로세스를 위하여, 프로세스 가스 제어 서브루틴(183)은 챔버 내의 압력을 안정화시키기 위하여 필요한 소정시간 동안 불활성가스를 챔버(12)로 유입시키는 단계를 포함하고 이 후에 상술된 단계들이 수행될 것이다. 이에 부가하여, 프로세스 가스가 액상 전구체, 예를 들어 TEOS로부터 기화될려고 할 때, 프로세스 가스 제어 서브루틴(183)은 헬륨과 같은 전송가스를 액상 전구체를 통해 버블러 조립체(bubbler assembly)로 도입시키거나 또는 헬륨과 같은 캐리어 가스를 액체 주입 시스템으로 도입시키기 위한 단계를 포함하도록 기술된다. 버블러가 이러한 형태의 프로세스에 적합하게 사용될 때, 프로세스 가스 제어 서브루틴(183)은 요구된 프로세스 가스 유동속도를 얻기 위하여 전송가스의 유동과, 버블러 내의 압력과, 버블러 온도를 모니터링한다. 상술된 바와 같이, 요구된 프로세스 가스 유동속도는 프로세스 매개변수로서 프로세스 가스 제어 서브루틴(183)에 전송된다. 또한, 프로세스 가스 제어 서브루틴(183)은 주어진 프로세스 가스 유동속도에 필요한 값을 포함하는 저장된 테이블에 접근함으로써 요구된 프로세스 가스 유동속도에 필요한 전송가스 유동속도, 버블러 압력 및 버블러 온도를 얻기 위한 단계를 포함한다. 일단 필요한 값들이 얻어지면, 전송가스 유동속도, 버블러 압력 및 버블러 온도는 모니터링되고, 필요한 값과 비교된고 조정된다.
압력 제어 서브루틴(185)은 챔버의 배기 시스템에서 스로틀 밸브의 개도를 모니터링함으로써 챔버(12) 내의 압력을 모니터링하기 위한 프로그램 코드를 포함한다. 스로틀 밸브(20)의 개도는 전체 프로세스 가스 유량, 프로세스 챔버(12)의 크기 및 배기 시스템에 적합한 펌핑 설정치 압력과 관련하여 챔버 압력을 소정 레벨까지 모니터링하도록 설정된다. 압력 제어 서브루틴(185)이 요청될 때, 요구되거나 또는 목표의 압력 레벨은 챔버 관리 서브루틴(177a)로부터 매개변수로서 수용된다. 압력 제어 서브루틴(185)은 챔버(12)에 연결된 종래의 하나 이상의 압력 측정기를 판독함으로써 챔버(12) 내의 압력을 측량하고, 측정값을 목표 압력과 비교하고, 목표 압력에 대응하는 저장된 압력 테이블로부터 PID(비율, 적분 및 미분) 값을 얻고, 압력 테이블로부터 얻어진 PID 값에 따라 스로틀 밸브를 조정하도록 작동한다. 대체적으로는, 압력 제어 서브루틴(185)은 챔버(12) 내의 펌핑 능력을 요구된 압력까지 모니터링하기 위하여 스로틀 밸브를 특정 개도까지 개방시키거나 또는 폐쇄시키도록 기록될 수 있다.
히터 제어 서브루틴(187)은 기판(20)을 가열시키도록 사용된 가열유닛에 인가되는 전류를 제어하기 위한 프로그램 코드를 포함한다. 히터 제어 서브루틴(187)은 또한 챔버 관리 서브루틴(177a)에 의해 요청되고, 목표 또는 설정치의 온도 매개변수를 수용한다. 히터 제어 서브루틴(187)은 페데스탈(101)에 위치된 열전쌍의 전압 출력치를 측정하고, 설정치 온도에 대한 측정된 온도를 비교하고, 설정치 온도를 얻기 위하여 가열유닛에 인가된 전류를 증감시킴으로써 설정 온도를 얻는다. 온도는 저장된 변환 테이블 내의 대응하는 온도를 관찰함으로써 또는 4차 다항식을 사용하여 온도를 계산함으로써 측정된 전압으로부터 얻어진다. 내장된 루프가 페데스탈(101)을 가열시키도록 사용될 때, 히터 제어 서브루틴(187)은 상기 루프에 인가된 전류의 램프 승하강을 점진적으로 제어한다. 부가적으로 는, 조성된 실폐-안전 모드는 프로세스 안전 컴플라이언스를 검출하도록 포함될 수 있고, 프로세스 챔버(12)가 적절하게 설정되지 않으면 가열유닛의 하향 작동을 중지시킬 수 있다.
플라즈마 제어 서브루틴(190)은 챔버(12) 내의 프로세스 전극에 인가된 저주파수 및 고주파수 RF 동력 레벨을 설정하고 사용된 저 및 고 RF 주파수를 설정하기 위한 프로그램 코드를 포함한다. 플라즈마 제어 서브루틴(190)은 또한 본 발명에 사용된 마그네트론 또는 다른 마이크로파 공급원에 인가된 동력 레벨을 턴닝 온 및 설정/조정하기 위한 프로그램 코드를 포함한다. 상술된 챔버 구성부품 서브루틴에 유사하게, 플라즈마 제어 서브루틴(190)은 챔버 관리 서브루틴(177a)에 의해 요청된다.
배출물 모니터링 서브루틴(195)은 가스 에너지화 셀(22) 내의 전극에 인가된 RF 동력과 주파수 레벨을 설정하기 위한 프로그램 코드로 구성된다. 이것은 또한 예를 들어 센서의 작동 동력 레벨을 조정하거나 또는 검출기 렌즈를 조정하기 위하여 검출기(26)를 턴닝 온 및 설정/조정하기 위한 프로그램 코드를 포함한다. 배출물 모니터링 서브루틴(195)은 또한 챔버 관리 서브루틴(177a)에 의해 요청된다. 서브루틴(195)은 또한 제어기(28) 또는 다른 챔버 구성부품에 지시명령을 전달하여 배출물 조성으로부터 챔버에서 수행된 프로세스의 종료점, 예를 들어 챔버 클리닝 조성물의 종료점을 검출하는 프로세스를 종단시킨다.
상술한 설명은 단지 예시적인 목적을 위한 것이고, 일렉트론싸이클로트론 리소난스(ECR) 플라즈마 CVD 디바이스, 인딕션 커플드 RF 고밀도 플라즈마 CVD 디바 이스(indiction coupled RF high density plasma CVD device) 등과 같은 다른 장비는 향상된 장치를 제공하기 위하여 본 발명과 함께 사용될 수 있다. 따라서, 상기 시스템의 변형, 예를 들어 페데스탈 설계, 히터 설계, RF 동력 주파수, RF 동력 연결부의 위치 및 다른 변경들은 가능하다. 예를 들어, 기판은 수정램프에 의해 지지되고 가열될 수 있다. 본 발명은 특정 장치와 함께 사용하거나 또는 특정장치를 개장하도록 필연적으로 제한되지 않는다는 것을 인식하여야 한다.
본 발명은 바람직한 실시예와 특정 예를 참조하여 설명되었다. 변경 및 교체는 기술분야의 숙련된 당업에게 명백하다. 예를 들어, 프로세스 챔버는 PECVD 또는 PVD 챔버일 수 있고 또는 가스 에너지화 셀 및 검출기는 다른 위치 또는 구조로 배치될 수 있다. 다중 검출기는 글로우 방출 구역 주위에 다중 윈도우를 제공하는 것을 포함하여 여러 방식으로 구체화될 수 있다. 또한, 클리닝 프로세스 동안 클리닝되려는 필름은 실란 기저 실리콘 산화물, 실리콘 질화물, 금속, 반도체 재료 또는 다른 재료일 수 있다. 따라서, 상기 설명은 하기 청구범위에 기재된 바와 같이 본 발명을 제한하도록 의도되지 않는다.
본 발명에 따라, 미리 정해진 기간 후에 프로세싱을 정지시키거나 또는 챔버 클리닝 프로세스의 종료시점과 같은 프로세스 종료시점을 결정할 수 있으며, 또한, 챔버표면의 부식없이 챔버 벽과 표면을 클리닝할 수 있다.

Claims (45)

  1. 배출물 모니터링 장치에 있어서,
    배출물을 수용하도록 채택된 셀과,
    상기 셀 내의 배출물을 에너지화시켜 방사선을 방출시키는 가스 에너자이저와,
    윈도우 상에서 에너지화된 가스로부터 배출 잔류물의 증착을 감소시키는 소정간격(d)만큼 상기 셀의 내부벽으로부터 이격되는 방사선 투과 윈도우와,
    상기 방사선을 검출하는 검출기를 포함하는,
    배출물 모니터링 장치.
  2. 제1항에 있어서,
    상기 간격(d)은 약 3mm 내지 약 15mm인,
    배출물 모니터링 장치.
  3. 제1항에 있어서,
    상기 윈도우는 포트에 위치하는,
    배출물 모니터링 장치.
  4. 제3항에 있어서,
    상기 포트는 약 3mm 내지 약 15mm의 폭을 포함하는,
    배출물 모니터링 장치.
  5. 제3항에 있어서,
    상기 포트는 상기 셀 내의 전극 만을 커버하는 관찰구역을 갖도록 배향되는,
    배출물 모니터링 장치.
  6. 제3항에 있어서,
    상기 검출기는 상기 셀 내의 전극 만을 커버하는 관찰구역을 갖도록 되어 있는,
    배출물 모니터링 장치.
  7. 제1항에 있어서,
    상기 검출기는 광학의, 적외선의 또는 자외선의 스펙트럼에서 미리 선택된 파장을 갖는 방사선을 검출하도록 되어 있는,
    배출물 모니터링 장치.
  8. 제7항에 있어서,
    상기 미리 선택된 파장은 에너지화된 가스에서 여기된 할로겐 스페시스에 의해 방출된 파장인,
    배출물 모니터링 장치.
  9. 제1항에 있어서,
    상기 가스 에너자이저는 상기 챔버에서 플라즈마를 형성하도록 에너지화되는 전극을 포함하는,
    배출물 모니터링 장치.
  10. 배출물 모니터링 장치에 있어서,
    배출물을 수용하도록 되어 있는 배출물 에너지화 셀과,
    상기 배출물 에너지화 셀 내에서 방사선을 배출하도록 상기 배출물을 에너지화시킬 수 있는 가스 에너자이저와,
    윈도우 상에서 상기 에너지화된 가스로부터 배출 잔류물의 증착을 감소시키는 종횡비를 갖는 포트 내에 방사선 투과부를 포함하는 윈도우 조립체와,
    상기 방사선을 검출하는 검출기를 포함하는,
    배출물 모니터링 장치.
  11. 제10항에 있어서,
    상기 포트는 약 2mm 내지 약 70mm의 깊이를 포함하는,
    배출물 모니터링 장치.
  12. 제10항에 있어서,
    상기 포트는 약 3mm 내지 약 15mm의 폭을 포함하는,
    배출물 모니터링 장치.
  13. 제10항에 있어서,
    상기 검출기는 상기 셀 내의 전극 만을 커버하는 관찰구역을 갖도록 되어 있는,
    배출물 모니터링 장치.
  14. 제10항에 있어서,
    상기 포트는 상기 셀 내의 전극 만을 커버하는 관찰구역을 갖도록 배향되는,
    배출물 모니터링 장치.
  15. 제10항에 있어서,
    상기 검출기는 광학의, 적외선의 또는 자외선의 스펙트럼에서 미리 선택된 파장을 갖는 방사선을 검출하도록 되어 있는,
    배출물 모니터링 장치.
  16. 제15항에 있어서,
    상기 미리 선택된 파장은 에너지화된 가스에서 여기된 할로겐 스페시스에 의해 방출되는 파장인,
    배출물 모니터링 장치.
  17. 제10항에 있어서,
    상기 가스 에너자이저는 상기 챔버에서 플라즈마를 형성하도록 에너지화되는 전극을 포함하는,
    배출물 모니터링 장치.
  18. 프로세스 챔버로부터 배출물의 조성을 모니터링하는 방법에 있어서,
    배출물 에너지화 셀로 상기 배출물을 도입시키는 단계와,
    상기 셀에서 상기 배출물을 에너지화시키는 단계와,
    윈도우 상에서 배출 잔류물의 증착을 감소시키는 거리(d)만큼 상기 셀의 내부벽으로부터 이격된 방사선 투과 윈도우를 유지하는 단계와, 그리고
    상기 윈도우를 통과하는, 에너지화된 가스로부터 방출하는 방사선을 검출하는 단계를 포함하는,
    프로세스 챔버로부터 배출물의 조성을 모니터링하는 방법.
  19. 제18항에 있어서,
    상기 방사선 투과 윈도우를 약 2mm 내지 70mm의 거리(d)에 유지하는 단계를 포함하는,
    프로세스 챔버로부터 배출물의 조성을 모니터링하는 방법.
  20. 제18항에 있어서,
    상기 셀 내의 전극의 전방에서만 방출하는 방사선을 검출하는 단계를 포함하는,
    프로세스 챔버로부터 배출물의 조성을 모니터링하는 방법.
  21. 제20항에 있어서,
    상기 전극의 표면만을 커버하는 관찰구역에서 방사선을 검출하는 단계를 포함하는,
    프로세스 챔버로부터 배출물의 조성을 모니터링하는 방법.
  22. 제18항에 있어서,
    광학의, 적외선의 또는 자외선의 범위에서 미리 선택된 파장을 갖는 방사선을 검출하는 단계를 포함하는,
    프로세스 챔버로부터 배출물의 조성을 모니터링하는 방법.
  23. 제22항에 있어서,
    에너지화된 가스에서 여기된 할로겐 스페시스에 의해 방출된 파장을 갖는 방사선을 검출하는 단계를 포함하는,
    프로세스 챔버로부터 배출물의 조성을 모니터링하는 방법.
  24. 제22항에 있어서,
    상기 셀에서 상기 가스에 전자기 에너지를 용량적으로 결합시키도록 상기 가스를 에너지화시키는 단계를 포함하는,
    프로세스 챔버로부터 배출물의 조성을 모니터링하는 방법.
  25. 챔버 클리닝 장치에 있어서,
    프로세싱 동안 기판을 지지할 수 있는 지지체와, 상기 챔버에 클리닝 가스를 공급하는 가스 공급기와, 상기 클리닝 가스를 에너지화시키는 가스 에너자이저와, 그리고 상기 챔버로부터 소모된 클리닝 가스를 제거하는 배기장치를 포함하는 챔버; 및
    소모된 클리닝 가스를 수용하는 상기 배기장치 내의 가스 에너지화 셀과, 상기 셀에서 상기 가스를 에너지화시킬 수 있는 가스 에너자이저와, 방사선 투과부 상에 배출 잔류물의 증착을 감소시키는 거리만큼 상기 셀로부터 이격된 방사선 투과부로 구성되는 윈도우 조립체와, 그리고 상기 에너지화된 가스로부터 방출하는 방사선을 검출하는 검출기를 포함하는 프로세스 모니터링 시스템를 포함하는,
    챔버 클리닝 장치.
  26. 제25항에 있어서,
    상기 셀의 내부벽으로부터 상기 방사선 투과부의 거리는 약 2mm 내지 70mm인,
    챔버 클리닝 장치.
  27. 제25항에 있어서,
    상기 검출기는 상기 셀에서 전극을 대면하도록 배향되는,
    챔버 클리닝 장치.
  28. 제27항에 있어서,
    상기 검출기는 상기 전극만을 커버하도록 제한된 관찰구역을 포함하는,
    챔버 클리닝 장치.
  29. 배출물 모니터링 장치에 있어서,
    배출물을 수용하는 셀과,
    상기 셀 내의 배출물로부터 플라즈마를 형성하도록 대전될 수 있는 상기 셀 내의 하나 이상의 전극과, 그리고
    방사선 투과 윈도우, 상기 플라즈마로부터 방출하는 방사선을 검출하는 상기 윈도우 후방의 센서 및 광학 렌즈를 포함하는 검출기 조립체를 포함하며,
    상기 검출기 조립체는 상기 셀 내의 전극의 전방으로부터 배향하는 방사선을 선택적으로 수용하도록 설정되는,
    배출물 모니터링 장치.
  30. 제29항에 있어서,
    상기 검출기 조립체는 상기 전극에 대면하도록 채택되는,
    배출물 모니터링 장치.
  31. 제29항에 있어서,
    상기 검출기 조립체는 전극 만을 커버하는 관찰구역을 포함하는,
    배출물 모니터링 장치.
  32. 제29항에 있어서,
    상기 센서는 광학, 적외선, 자외선에서 미리 선택된 파장을 갖는 방사선을 검출하도록 채택되는,
    배출물 모니터링 장치.
  33. 제32항에 있어서,
    상기 미리 선택된 파장은 에너지화된 가스에서 여기된 할로겐 스페시스에 의해 방출된 파장인,
    배출물 모니터링 장치.
  34. 제32항에 있어서,
    상기 방사선 투과 윈도우는 상기 윈도우 상에서 배출 잔류물의 증착을 감소시키는 거리만큼 상기 셀의 내부벽으로부터 이격되는,
    배출물 모니터링 장치.
  35. 배출물을 모니터링하는 방법에 있어서,
    배출물을 셀로 도입시키는 단계와,
    상기 배출물의 플라즈마를 형성하도록 상기 셀 내의 하나 이상의 전극에 바이어스 전압을 인가하는 단계와,
    상기 셀 내에 방사선 투과 윈도우를 제공하는 단계와, 그리고
    전극 전방으로부터 방출하는 방사선을 검출하는 단계로 이루어진,
    배출물을 모니터링하는 방법.
  36. 제35항에 있어서,
    상기 셀 내의 전극의 표면을 커버하는 관찰구역에서 방사선을 검출하는 단계를 포함하는,
    배출물을 모니터링하는 방법.
  37. 제35항에 있어서,
    상기 전극의 전방에서만 배향하는 방사선을 검출하도록 상기 검출기를 설정하는,
    배출물을 모니터링하는 방법.
  38. 제35항에 있어서,
    상기 에너지화된 가스에서 여기된 할로겐 스페시스에 의해 방출된 파장을 갖는 방사선을 검출하는 단계를 포함하는,
    배출물을 모니터링하는 방법.
  39. 제35항에 있어서,
    상기 윈도우 상에서 배출 잔류물의 증착을 감소시키는 상기 셀의 내부벽으로부터 거리(d)만큼 상기 방사선 투과 윈도우를 유지하는 단계를 포함하는,
    배출물을 모니터링하는 방법.
  40. 챔버 클리닝 장치에 있어서,
    프로세싱 동안 기판을 지지할 수 있는 지지체와, 상기 챔버에 클리닝 가스를 공급하는 가스 공급기와, 상기 클리닝 가스를 에너지화시키는 가스 에너자이저와, 그리고 상기 클리닝 가스를 배기시키는 배기장치를 포함하는 챔버; 및
    상기 클리닝 가스를 수용하도록 채택된 셀과, 상기 셀에서 상기 클리닝 가스를 에너지화시키도록 에너지화될 수 있는 전극과, 방사선 투과 윈도우 및 상기 전극의 전방에서 에너지화된 클리닝 가스로부터 방출하는 방사선을 검출하는 검출기를 포함하는 검출기 조립체로 구성되는 프로세스 모니터링 시스템을 포함하는,
    챔버 클리닝 장치.
  41. 제40항에 있어서,
    상기 검출기 조립체는 상기 셀 내에서 전극에 대면하도록 배향되는,
    챔버 클리닝 장치.
  42. 제40항에 있어서,
    상기 검출기 조립체는 전극만을 커버하는 관찰구역을 포함하는,
    챔버 클리닝 장치.
  43. 제40항에 있어서,
    상기 검출기는 에너지화된 가스에서 여기된 할로겐 스페시스에 의해 방출된 미리 선택된 파장을 검출하도록 되어 있는,
    챔버 클리닝 장치.
  44. 제40항에 있어서,
    상기 방사선 투과 윈도우는 상기 방사선 투과 윈도우 상에서 배출 잔류물의 증착을 감소시키는 거리(d)만큼 상기 배출물 셀의 내부벽으로부터 이격되어 있는,
    챔버 클리닝 장치.
  45. 제44항에 있어서,
    상기 거리(d)는 약 2mm 내지 약 70mm인,
    챔버 클리닝 장치.
KR1020010017140A 2000-03-31 2001-03-31 챔버로부터의 배출물을 모니터링하는 방법 및 장치와, 챔버 클리닝 장치 KR100786887B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/540,771 US6592817B1 (en) 2000-03-31 2000-03-31 Monitoring an effluent from a chamber
US09/540,771 2000-03-31

Publications (2)

Publication Number Publication Date
KR20010095208A KR20010095208A (ko) 2001-11-03
KR100786887B1 true KR100786887B1 (ko) 2007-12-17

Family

ID=24156866

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010017140A KR100786887B1 (ko) 2000-03-31 2001-03-31 챔버로부터의 배출물을 모니터링하는 방법 및 장치와, 챔버 클리닝 장치

Country Status (6)

Country Link
US (1) US6592817B1 (ko)
EP (1) EP1139386A3 (ko)
JP (1) JP2002033312A (ko)
KR (1) KR100786887B1 (ko)
SG (1) SG87924A1 (ko)
TW (1) TWI253702B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190080595A (ko) * 2017-12-28 2019-07-08 (주)보부하이테크 배기관 내 부산물 측정 장치 모듈 및 부산물 측정 방법

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
DE69920403T2 (de) * 1998-01-29 2005-09-29 Smart Nose S.A. Vorrichtung zur qualifikation von produkten mit leichtflüchtigen bestandteilen
US7214289B2 (en) * 2001-10-24 2007-05-08 Tokyo Electron Limited Method and apparatus for wall film monitoring
KR20030085749A (ko) * 2002-05-01 2003-11-07 삼성전자주식회사 오염상태 검사 수단을 갖는 반도체 제조 장비의 배관 라인
US6825617B2 (en) * 2003-02-27 2004-11-30 Hitachi High-Technologies Corporation Semiconductor processing apparatus
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
JP2005033173A (ja) * 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
EP1517184A1 (en) * 2003-09-18 2005-03-23 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US7410558B2 (en) * 2003-09-30 2008-08-12 Perkinelmer Las, Inc. Method and apparatus for determining a total concentration of a component in a mixture of components
US7479454B2 (en) * 2003-09-30 2009-01-20 Tokyo Electron Limited Method and processing system for monitoring status of system components
JP2006186222A (ja) * 2004-12-28 2006-07-13 Matsushita Electric Ind Co Ltd プラズマ処理装置
FR2887072A1 (fr) * 2005-06-08 2006-12-15 Alcatel Sa Systeme spectographique ameliore avec source plasma
GB0521944D0 (en) * 2005-10-27 2005-12-07 Boc Group Plc Method of treating gas
GB2441582A (en) * 2006-09-01 2008-03-12 Gencoa Ltd Process monitoring and control
US20080081130A1 (en) * 2006-09-29 2008-04-03 Applied Materials, Inc. Treatment of effluent in the deposition of carbon-doped silicon
US20080090310A1 (en) * 2006-10-13 2008-04-17 Tokyo Electron Limited Substrate processing apparatus and substrate processing termination detection method
US20080102011A1 (en) * 2006-10-27 2008-05-01 Applied Materials, Inc. Treatment of effluent containing chlorine-containing gas
JP5281766B2 (ja) * 2007-07-31 2013-09-04 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US8164349B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Capacitively-coupled electrostatic (CCE) probe arrangement for detecting strike step in a plasma processing chamber and methods thereof
US9997325B2 (en) 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
JP2009021624A (ja) * 2008-09-08 2009-01-29 Tokyo Electron Ltd 処理装置及び処理装置のクリーニング方法
GB0904240D0 (en) * 2009-03-12 2009-04-22 Aviza Technology Ltd Apparatus for chemically etching a workpiece
JP5318670B2 (ja) * 2009-06-09 2013-10-16 東京エレクトロン株式会社 基板処理装置、基板処理方法、プログラムおよび記憶媒体
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
KR101427726B1 (ko) * 2011-12-27 2014-08-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
US20130240142A1 (en) * 2012-03-15 2013-09-19 Globalfoundries Singapore Pte. Ltd. Isolation between a baffle plate and a focus adapter
US9299541B2 (en) * 2012-03-30 2016-03-29 Lam Research Corporation Methods and apparatuses for effectively reducing gas residence time in a plasma processing chamber
CN103594390B (zh) * 2012-08-15 2018-07-06 盛美半导体设备(上海)有限公司 终点检测装置及终点检测方法
JP5987573B2 (ja) * 2012-09-12 2016-09-07 セイコーエプソン株式会社 光学モジュール、電子機器、及び駆動方法
TWI493313B (zh) * 2013-02-06 2015-07-21 Atomic Energy Council 再生高壓游離腔數位環境監測電路
KR102477302B1 (ko) 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
KR102619304B1 (ko) * 2015-10-05 2024-01-02 인피콘, 인크. 가스 분석을 위한 국소 환경의 생성
KR102194085B1 (ko) 2016-04-26 2020-12-22 어플라이드 머티어리얼스, 인코포레이티드 배출 퇴적물 제거를 위한 온도 제어식 원격 플라즈마 세정
WO2018226755A1 (en) * 2017-06-05 2018-12-13 Seescan, Inc Deep water enclosures for lighting and imaging
CN108614029B (zh) * 2018-05-12 2024-05-28 重庆邮电大学 高灵敏度微型光离子化传感器
SG11202010408SA (en) 2018-07-31 2021-02-25 Applied Materials Inc Precursor delivery system and methods related thereto
WO2020166048A1 (ja) 2019-02-15 2020-08-20 株式会社日立ハイテクノロジーズ ガス成分のモニタ方法及びその装置並びにそれを用いた処理装置
CN111999754B (zh) * 2020-07-10 2022-11-25 中国辐射防护研究院 一种基于核设施气载流出物监测数据的评价系统
KR20220019440A (ko) * 2020-08-10 2022-02-17 삼성전자주식회사 윈도우를 갖는 플라즈마 처리 장치, 분석 장치, 및 챔버

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10154703A (ja) * 1996-11-13 1998-06-09 Applied Materials Inc 半導体ウェハの高温処理系及び方法
US5880850A (en) * 1996-04-18 1999-03-09 American Air Liquide Inc Method and system for sensitive detection of molecular species in a vacuum by harmonic detection spectroscopy
WO1999054908A1 (en) * 1998-04-23 1999-10-28 Applied Materials, Inc. Crystalline gas distributor for semiconductor plasma etch chamber

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0722151B2 (ja) 1984-05-23 1995-03-08 株式会社日立製作所 エツチングモニタ−方法
US4609810A (en) 1984-06-25 1986-09-02 The Perkin-Elmer Corporation Apparatus for controlling a plasma
US4851683A (en) * 1987-03-09 1989-07-25 Brigham Young University Element specific radio frequency discharge helium plasma detector for chromatography
US4859277A (en) * 1988-05-03 1989-08-22 Texas Instruments Incorporated Method for measuring plasma properties in semiconductor processing
US5002631A (en) 1990-03-09 1991-03-26 At&T Bell Laboratories Plasma etching apparatus and method
US5145493A (en) * 1991-06-27 1992-09-08 Ibm Corporation Molecular restricter
US5308414A (en) 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
US5288367A (en) 1993-02-01 1994-02-22 International Business Machines Corporation End-point detection
US5565114A (en) 1993-03-04 1996-10-15 Tokyo Electron Limited Method and device for detecting the end point of plasma process
US5728253A (en) * 1993-03-04 1998-03-17 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5348614A (en) 1993-06-22 1994-09-20 Lsi Logic Corporation Process for dynamic control of the concentration of one or more reactants in a plasma-enhanced process for formation of integrated circuit structures
AU1085795A (en) * 1993-11-01 1995-05-23 Eneco, Inc. Glow discharge apparatus and methods providing prerequisites and testing for nuclear reactions
JP3571404B2 (ja) 1995-03-03 2004-09-29 アネルバ株式会社 プラズマcvd装置及びその場クリーニング後処理方法
US5696378A (en) * 1996-03-20 1997-12-09 Baylor University High accuracy determination of chlorine content by isotope dilution flame infrared emission spectrometry (ID-FIRE)
US5995235A (en) 1997-02-13 1999-11-30 Applied Materials, Inc. Bandpass photon detector
JPH11176815A (ja) * 1997-12-15 1999-07-02 Ricoh Co Ltd ドライエッチングの終点判定方法およびドライエッチング装置
KR100292053B1 (ko) * 1998-03-30 2001-11-30 김영환 반도체제조용식각장치의엔드포인트윈도우
US6366346B1 (en) * 1998-11-19 2002-04-02 Applied Materials, Inc. Method and apparatus for optical detection of effluent composition
US6300227B1 (en) * 1998-12-01 2001-10-09 Silicon Genesis Corporation Enhanced plasma mode and system for plasma immersion ion implantation
US6146242A (en) * 1999-06-11 2000-11-14 Strasbaugh, Inc. Optical view port for chemical mechanical planarization endpoint detection
US6358359B1 (en) * 1999-11-03 2002-03-19 Agere Systems Guardian Corp. Apparatus for detecting plasma etch endpoint in semiconductor fabrication and associated method
US6306246B1 (en) * 2000-01-14 2001-10-23 Advanced Micro Devices, Inc. Dual window optical port for improved end point detection

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5880850A (en) * 1996-04-18 1999-03-09 American Air Liquide Inc Method and system for sensitive detection of molecular species in a vacuum by harmonic detection spectroscopy
JPH10154703A (ja) * 1996-11-13 1998-06-09 Applied Materials Inc 半導体ウェハの高温処理系及び方法
KR19980042357A (ko) * 1996-11-13 1998-08-17 조셉제이.스위니 반도체 웨이퍼의 고온 처리를 위한 시스템 및 그 방법
WO1999054908A1 (en) * 1998-04-23 1999-10-28 Applied Materials, Inc. Crystalline gas distributor for semiconductor plasma etch chamber

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190080595A (ko) * 2017-12-28 2019-07-08 (주)보부하이테크 배기관 내 부산물 측정 장치 모듈 및 부산물 측정 방법
KR102498059B1 (ko) 2017-12-28 2023-02-10 (주)보부하이테크 배기관 내 부산물 측정 장치 모듈 및 부산물 측정 방법

Also Published As

Publication number Publication date
JP2002033312A (ja) 2002-01-31
EP1139386A2 (en) 2001-10-04
SG87924A1 (en) 2002-04-16
US6592817B1 (en) 2003-07-15
TWI253702B (en) 2006-04-21
EP1139386A3 (en) 2003-11-19
KR20010095208A (ko) 2001-11-03

Similar Documents

Publication Publication Date Title
KR100786887B1 (ko) 챔버로부터의 배출물을 모니터링하는 방법 및 장치와, 챔버 클리닝 장치
KR100640546B1 (ko) 신속한 플라즈마 세정
US6026762A (en) Apparatus for improved remote microwave plasma source for use with substrate processing systems
US6366346B1 (en) Method and apparatus for optical detection of effluent composition
US6696362B2 (en) Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes
US6039834A (en) Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6830624B2 (en) Blocker plate by-pass for remote plasma clean
KR101127714B1 (ko) 플라즈마 처리 장치 및 플라즈마 애싱 방법
US5986747A (en) Apparatus and method for endpoint detection in non-ionizing gaseous reactor environments
US6603538B1 (en) Method and apparatus employing optical emission spectroscopy to detect a fault in process conditions of a semiconductor processing system
US8075789B1 (en) Remote plasma cleaning source having reduced reactivity with a substrate processing chamber
KR20010021675A (ko) 기판 처리 시스템의 세척 방법
US6843881B2 (en) Detecting chemiluminescent radiation in the cleaning of a substrate processing chamber
JP2006121073A (ja) 終点検出器及び粒子モニタ
US6633391B1 (en) Monitoring of film characteristics during plasma-based semi-conductor processing using optical emission spectroscopy
JPH1174258A (ja) プラズマ清浄プロセス中の終点を求める方法及び装置
US20030066486A1 (en) Microwave heat shield for plasma chamber
EP1156511A1 (en) Remote plasma CVD apparatus
US8580075B2 (en) Method and system for introduction of an active material to a chemical process
US20010042513A1 (en) Apparatus for improved remote microwave plasma source for use with substrate processing systems

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121129

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131129

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20141128

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150930

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20161125

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170929

Year of fee payment: 11