JPH1174258A - プラズマ清浄プロセス中の終点を求める方法及び装置 - Google Patents

プラズマ清浄プロセス中の終点を求める方法及び装置

Info

Publication number
JPH1174258A
JPH1174258A JP10180777A JP18077798A JPH1174258A JP H1174258 A JPH1174258 A JP H1174258A JP 10180777 A JP10180777 A JP 10180777A JP 18077798 A JP18077798 A JP 18077798A JP H1174258 A JPH1174258 A JP H1174258A
Authority
JP
Japan
Prior art keywords
pressure
plasma
chamber
cleaning
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10180777A
Other languages
English (en)
Inventor
Suburamanyan Sudohakaa
スブラーマンヤン スドハカー
Tsutomu Tanaka
タナカ ツトム
Kelkar Mukul
ケルカー ムクール
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH1174258A publication Critical patent/JPH1174258A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/916Differential etching apparatus including chamber cleaning means or shield for preventing deposits

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 清浄プロセス終点検出を組み込んだCVDシ
ステムのプラズマ清浄作業を利用する装置および方法を
提供する。 【解決手段】 ある態様では、清浄プロセスを一定の排
気能力で実行し、終点検出は特定のチャンバ圧力変化率
に応じて行われる。別の態様では、サーボ制御排気シス
テムが制御チャンバ圧力を維持し、終点検出は特定の制
御信号に応じて行われる。好適な態様では、三フッ化窒
素がマグネトロン電力供給リモートマイクロ波プラズマ
生成器内で自由フッ素ラジカルを含むプラズマに変換さ
れる。リモート生成された自由フッ素ラジカルを用い
て、基板処理チャンバからシリコン窒化堆積物を除去す
る。このようなリモートプラズマシステムの使用によ
り、同様のin situプラズマ清浄プロセスと比較して約
半分の時間しか要しない効率の良い清浄プロセスが得ら
れる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、全般として、残渣
を有する基板処理チャンバを効率良く清浄する方法およ
び装置に関し、特に、プラズマ清浄プロセスの終点の検
出に関する。
【0002】
【従来の技術】近年の半導体デバイスの製造における主
要なステップの一つは、酸化物層や窒化物層などの層を
基板またはウェーハ上に形成することである。周知のよ
うに、このような層は、化学気相堆積(CVD)によっ
て堆積させることができる。熱CVDプロセスでは、反
応ガスが基板表面に供給される。基板表面では、熱誘起
化学反応が生じて所望の膜が形成される。通常のプラズ
マ促進CVD(PECVD)では、基板表面に近接する
反応ゾーンにエネルギ(例えば高周波(RF)エネル
ギ)を付与することによって反応ガスがグロー放電プラ
ズマ中で活性化される。この種類のプラズマは、一般に
in situプラズマと呼ばれる。
【0003】CVD処理中、反応ガスは処理チャンバの
内部に放出され、被処理基板の表面上に層(シリコン酸
化物やシリコン窒化物など)を形成する。しかしなが
ら、このような堆積プロセス中は、望ましくない酸化物
や窒化物の残渣がCVD装置内の他の箇所、例えば処理
チャンバの壁や通路やチャンバコンポーネント上に堆積
する場合がある。時間が経過すると、CVD装置から残
渣を清浄し損なうことで、劣悪な信頼性の低いプロセス
や欠陥の多いウェーハが得られる可能性がある。
【0004】通常、二種類の清浄処理が使用されてい
る。「乾式清浄」プロセスは、堆積処理ステップの合間
にチャンバを開けることなく実行することができる。乾
式清浄は、各ウェーハが処理された後、あるいはn枚の
ウェーハ処理された後に実行することができる。湿式清
浄は、通常、処理チャンバを開けてリアクタ(チャンバ
壁や排気口や残渣を有する他の領域を含む)を特殊な布
と清浄用流体を用いて物理的にぬぐい取る工程を含んで
おり、普通は乾式清浄よりも少ない頻度で行われる。こ
れらの清浄処理を行わないと、CVD装置内に蓄積した
残渣からの不純物がウェーハ上に移動したり、パーティ
クルがウェーハ上に落ちる可能性がある。
【0005】一部の例では、乾式清浄プロセス中にin s
ituプラズマを用いることができる。例えば、PECV
Dシステムは、通常、in situプラズマ清浄を可能にす
るプラズマシステムを含んでいる。しかしながら、in s
ituプラズマシステムは層堆積用に最適化され、チャン
バ清浄用には最適化されない場合がある。in situプラ
ズマ清浄プロセスの効果は、プラズマ密度やプラズマ分
布にも依存する場合があり、in situプラズマ清浄プロ
セスの効果ではチャンバのうちin situグロー放電にさ
らされていない部分を適切に清浄することができない。
従って、in situプラズマ清浄プロセスは、一部の基板
処理システムを清浄するために最適化することができな
い。しかしながら、他のプラズマ清浄プロセスが魅力的
な場合もある。
【0006】リモートプラズマ生成システムは、基板処
理チャンバを清浄する際に有用なことが明らかになって
いる。リモートプラズマ生成システムは、処理チャンバ
内の反応ゾーンの外側でプラズマを生成し、その後、プ
ラズマ種をチャンバに流入させる。このプラズマ種は、
チャンバの内部で反応し、例えば望ましくない残渣を除
去する。リモートプラズマ生成システムは、in situプ
ラズマ能力の有無に関わらず基板処理システムに種々の
構成で付加することができる。
【0007】一部のリモートプラズマ生成システムは、
マイクロ波ソースから、プラズマ前駆ガスがプラズマに
変換されるアプリケータ管にマイクロ波エネルギを運ぶ
ために導波管を用いている。このプラズマは、アプリケ
ータ管から下がって、プラズマ種と残渣との反応が生じ
る処理チャンバへ運ばれる。前駆ガスにマイクロ波エネ
ルギが照射されてプラズマが生成される時刻とプラズマ
が残渣と相互作用する時刻との間にプラズマ種の再結合
が生じる場合であっても、マイクロ波エネルギを用いて
プラズマを生成する工程は極めて効率が良く、in situ
プラズマ清浄よりも高い清浄(エッチ)レートが得られ
ることも多い。
【0008】乾湿清浄プロセスが完了する時点を知るこ
とは、少なくとも二つの理由から望ましい。第1に、ウ
ェーハスループットを最大にし、チャンバの清浄に必要
な時間よりも長い時間を費やさないことが望ましい。第
2に、この清浄プロセスは、残渣の清浄後にチャンバコ
ンポーネントをエッチングし、これによってこれらのコ
ンポーネントの動作寿命を短くすることがある。in sit
uプラズマ清浄プロセスの終点を求める場合は、光学終
点検出方法が用いられている。光学終点検出システム
は、光検出器および光学分光計を用いることで、in sit
uグロー放電により放出された光の波長を測定すること
ができる。このグロー放電は、残渣をエッチングする間
に一つの波長の光を放出し、残渣の清浄が完了した後、
異なる波長の光を放出することがある。清浄プロセス終
点は、波長の所定の変化が生じたときに求めることがで
きる。
【0009】
【発明が解決しようとする課題】この種の光学終点検出
は、リモートプラズマ清浄システムに対しては現実的で
ない。その理由は、in situグロー放電が存在せず、従
ってその波長を測定することができないからである。リ
モートプラズマ清浄プロセスを単純に計時してその終点
を求める方法も適切でない場合がある。というのも、リ
モートプラズマ清浄を実行するのに必要な時間は、層の
数、層の組成、層の総厚、排気ガスまたはパージガスの
流量の変化、その他の要因に応じて変化する可能性があ
るからである。任意の要因の一つの変化によって、チャ
ンバを清浄するのに必要な時間が変化し、清浄プロセス
時間の再調整が必要となる場合がある。従って、リモー
トプラズマ清浄プロセスの長さを単純に計時してその終
点を求める方法では、清浄プロセスの終点を求めるのに
は十分でないことがある。
【0010】以上から、効率が良く完全なリモートプラ
ズマ清浄プロセスを有することが望ましいと分かる。ま
た、基板処理装置の利用度を高め、チャンバコンポーネ
ントのオーバエッチングを低減する終点検出方法および
装置を提供することも望ましい。この終点検出方法は、
種々の清浄条件のもとで清浄プロセスの終了を表す信頼
性の高い指示を与えるはずである。
【0011】
【課題を解決するための手段】本発明は、清浄プロセス
の終点を検出することにより、残渣を有する基板処理チ
ャンバを効率良く清浄する装置および方法を提供する。
清浄用ガスから形成されたプラズマにチャンバを曝露
し、チャンバ圧力を測定してチャンバ内の圧力の変化率
を求める。特定の圧力変化率を検出したときにプラズマ
を消す。好適な態様では、清浄用ガス(例えばNF3
がハロゲン(例えばフッ素)を含んでおり、この結果生
じるプラズマを用いてシリコン窒化物の堆積物をチャン
バから清浄する。リモートプラズマ生成器でプラズマを
形成し、このプラズマをガス分配面板を介してチャンバ
に流入させる。ある態様では、チャンバ排気速度を一定
の速度に維持し、圧力センサを用いてチャンバ圧力を測
定してチャンバ圧力の変化率を求める。別の態様では、
チャンバ排気速度を調整して、制御チャンバ圧力を維持
し、制御信号を監視してチャンバの変化率を求める。特
定の態様では、終点検出信号を生成するチャンバ圧力の
特定変化率は、一定のチャンバ圧力または一定のチャン
バ圧力を表す制御信号によって示されるゼロ圧力変化率
である。
【0012】本発明の上記および他の態様、ならびにそ
の利点および特徴については、以下の説明と添付の図面
を用いてより詳細に説明する。
【0013】
【発明の実施の形態】
I. 好適なCVDシステム 本発明の特定の実施形態は、種々の化学気相堆積(CV
D)処理機と共に使用し、または種々のCVD処理機に
適合させることができる。本発明と共に使用し、または
本発明を適合させることができる一つの適切なCVDシ
ステムが図1および図2に示されている。図1および図
2は、処理チャンバ15を有するCVDシステム10の
縦断面図である。この処理チャンバ15は、チャンバ本
体15aおよびチャンバリッドアセンブリ15bを有し
ている。チャンバ本体15aおよびチャンバリッドアセ
ンブリ15bは、他のチャンバコンポーネントと共に、
それぞれ図3および図4の分解斜視図に示されている。
【0014】CVDシステム10は、プロセスガスを基
板1(一部のみを図示)の上方に分散させるガス分配マ
ニホールド11を含んでいる。この基板1は、処理チャ
ンバ15内の中央に配置されたヒータペデスタル12の
上に載置されている。処理中、基板1(例えば、半導体
ウェーハ)は、ヒータペデスタル12の平坦な(あるい
は、わずかに凸状の)上面12aの上に配置される。ヒ
ータペデスタル12は、下方の搬入/搬出位置(図1に
図示)と上方の処理位置(図1に破線14で示すととも
に図2にも図示)との間で制御自在に移動させることが
できる。なお、処理位置は、ガス分配マニホールド11
に極めて接近している。センタボード(図示せず)は、
ウェーハの位置に関する情報を供給するセンサを含んで
いる。これらのセンサは、システムコントローラ34に
よって監視することができる。
【0015】図2は、堆積システム10の種々の点(例
えば、ウェーハ位置、ガスフロー、RF電力またはマイ
クロ波電力、チャンバ圧力)を監視および制御するよう
に構成されたシステムコントローラ34を示している。
システムコントローラ34は、圧力センサ33等の種々
のセンサからセンサライン39等のセンサラインを介し
て情報を受け取る。システムコントローラ34は、光学
センサ(図示せず)や熱電対(図示せず)などの他のセ
ンサからも他のセンサライン(図示せず)を介して情報
を受け取る。システムコントローラ34は、センサから
受信した情報を用いてチャンバの状態を監視することが
できる。すなわち、システムコントローラ34は、この
情報をプロセス制御に用いることができる。
【0016】センサ情報をプロセス制御に用いる場合、
プロセッサ37は、システムコントローラ記憶装置38
に記憶された処理プログラムに従って、センサから受信
した情報を記憶装置38に記憶された値と比較する。プ
ロセッサ37は、必要であれば制御信号を生成し、適切
なチャンバコンポーネントを調整する。このチャンバコ
ンポーネントは、制御ライン36(一部のみを図示)を
介して制御信号を受け取る。例えば、処理プログラム
は、設定して維持すべき特定のチャンバ圧力を要求する
ことができる。プロセッサ37は、圧力センサ33を読
み取ってチャンバ圧力を求め、必要であればスロットル
バルブ29を調整する。スロットルバルブ29を調整す
ることにより、真空ポンプ28が一定の速度でポンピン
グされ、ガスが一定の流量でチャンバに流入していると
きのチャンバからの排気速度が制御される。スロットル
バルブ29は、システムコントローラ34から制御ライ
ン36aを介して制御信号を受信するサーボモータ35
を用いて制御される。このサーボモータは、例えばステ
ッパモータとすることができる。
【0017】システムコントローラ34は、センサ情報
なしにプロセスパラメータを制御することもできる。例
えば、記憶装置38に記憶されたプロセスプログラム
は、発生すべき事象を特定の時間が経過した後に要求す
ることができる。この時間は、システムコントローラ3
4に内蔵され、またはシステムコントローラ34と通じ
ているクロック(図示せず)によって守られる。プログ
ラムされた制限時間が経過した後にガスフローを止める
ことは、このような制御プロセスの一例にすぎない。
【0018】ガスは、ガス分配面板13aの穿孔13b
(図4)を通って処理チャンバ15に流入する。より具
体的に述べると、堆積プロセスガスは入口ガス分配マニ
ホールド11を通り(図2において矢印40で示す)、
孔明きブロッカプレート(blocker plate)42を通っ
た後(図2)、ガス分配面板13aの穿孔13bを通っ
てチャンバに流入する。
【0019】マニホールドに到達する前に、堆積ガスお
よびキャリヤガスは、ガス供給ライン8(図2)を通し
てガスソース7からガスマニホールドアーム2に入力さ
れ、ガス混合ブロック9内に送り込まれる。これらのガ
スは、このガス混合ブロック9で混合された後、ガス分
配マニホールド11に送られる。通常はマスフローコン
トローラ(図示せず)が供給ラインを通るガスの流れを
測定するが、一部の例ではバルブを使用することもでき
る。一般に、各プロセスガスに対する供給ラインは、チ
ャンバ内へのプロセスガスの流れを自動または手動で遮
断するために使用できる数個の安全遮断バルブ(図示せ
ず)を含んでいる。有毒ガスや危険なガスをプロセスで
使用するときは、安全遮断バルブをガス供給ラインに沿
って数箇所に配置することができる。
【0020】CVDシステム10で行われる堆積プロセ
スは、熱プロセスまたはプラズマ促進プロセスのいずれ
であってよい。プラズマ促進プロセスでは、RF電源4
4がガス分配面板13aとヒータペデスタル12との間
に電気力を付与し、プロセスガス混合気を励起して、ガ
ス分配面板13aとヒータペデスタル12との間の円筒
領域内にプラズマを形成する。(本明細書では、この領
域を「反応領域」と呼ぶ。)堆積プロセスでは、プラズ
マの成分が反応して、ヒータペデスタル12上に支持さ
れた半導体ウェーハの表面上に膜を堆積させる。RF電
源44は、混合周波数RF電源とすることができる。こ
の混合周波数RF電源は、通常、13.56MHzの高
RF周波数(RF1)と360kHzの低RF周波数
(RF2)とで電力を供給して、処理チャンバ15内に
導入される反応種の分解を促進する。RF電源44は、
単一周波数または混合周波数RF電力のいずれか(また
は他の所望の変形例)をガス分配マニホールド11に供
給することにより、処理チャンバ15内に導入される反
応種の分解を促進することができる。熱プロセスではR
F電源44は使用されず、プロセスガス混合気が熱的に
反応することで、ヒータペデスタル12上に支持された
半導体ウェーハの表面に所望の膜を堆積させる。このヒ
ータペデスタル12は、反応に必要な熱エネルギを供給
するために抵抗加熱される。
【0021】プラズマ促進堆積プロセス中、プラズマ
は、排気通路23および遮断バルブ24を囲むチャンバ
本体15aの壁を含めてチャンバ全体を加熱する。熱堆
積プロセス中は、ヒータペデスタル12が処理チャンバ
15を加熱する。プラズマが発生していないとき、また
は熱堆積プロセスの間は、熱い液体を通路(図示せず)
中においてチャンバ本体15aを循環させることによ
り、チャンバを高温に維持することができる。チャンバ
本体15aの加熱または冷却に使用される流体には、通
常の流体タイプ、すなわち水ベースのエチレングリコー
ルやオイルベースの熱伝導流体が含まれる。この加熱
は、望ましくない反応生成物の凝縮を抑制または解消す
るとともに、プロセスガスの揮発性生成物や汚染物質の
除去を促進する。このような物質は、除去しないと冷た
い排気通路の壁に凝縮し、ガスフローが存在しない時間
帯に処理チャンバ内に逆戻りする可能性がある。
【0022】ガス混合気のうち層中に堆積しない残りの
部分(反応副生成物を含む)は、排気システム31(図
1)によってチャンバから排気される。具体的には、こ
れらのガスは、反応領域を囲む環状スロット形排気孔1
6を通じて排気され、環状排気プレナム17に入る。ス
ロット形排気孔16および環状排気プレナム17は、チ
ャンバの円筒側壁15a(壁上の上部誘電体ライニング
19を含む)の上部と円形チャンバリッド20の底部と
の間の間隙によって画成されている。スロット形排気孔
16および環状排気プレナム17の360°円対称性お
よび均一性は、ウェーハの上方にプロセスガスの均一な
流れを形成し、ウェーハ上に均一な膜を堆積させるため
に重要である。
【0023】ガスは、環状排気プレナム17の側方延在
部分21の下を流れ、覗き窓(図示せず)を通り越し
て、下方に延びるガス通路23を通り、真空遮断バルブ
24(その本体は下部チャンバ壁15aと一体化)を過
ぎて排出口25に入る。この排出口25は、フォアライ
ン27を介して外部真空ポンプ28につながっている。
チャンバ排気速度は、スロットルバルブ29によって制
御することができる。このスロットルバルブ29を閉じ
るとチャンバ排気速度を低減することができ、スロット
ルバルブ29を開くとチャンバ排気速度を真空ポンプ2
8の公称排気能力(nominal exhaust capacity)まで増
加させることができる。システムコントローラ34は、
サーボモータ35を制御する。このサーボモータ35
は、スロットルバルブ29を作動させ、その位置を設定
する。
【0024】抵抗加熱ペデスタル12のウェーハ支持皿
(wafer support platter)は、平行な同心円の形で完
全な2回巻きをなすように構成されたシングルループ埋
込みヒータ素子を用いて加熱される。ヒータ素子の外側
部分は、支持皿の外周に隣接して延びているが、内側部
分は、より小さい半径を持つ同心円の経路上を延びてい
る。ヒータ素子への配線は、ヒータペデスタル12のス
テムを貫通している。ヒータペデスタル12は、アルミ
ニウム、金属合金、セラミック、またはこれらの組合せ
を含む材料から形成することができる。
【0025】通常、チャンバライニング、ガス入口マニ
ホールド、面板、およびその他の各種のリアクタハード
ウェアのいずれかまたは全部は、アルミニウム、陽極酸
化アルミニウム、セラミック等の材料から作られる。こ
のようなCVD装置の例は、Zhaoらに与えられ一般譲渡
された米国特許第5,558,717号「CVD処理チャンバ」
に記載されている。
【0026】上記のリアクタの記述は主として例示のた
めであり、他の装置、例えば電子サイクロトロン共鳴
(ECR)プラズマCVD装置や誘導結合RF高密度プ
ラズマCVD装置や熱CVDシステム、を本発明ととも
に使用することができる。更に、上記システムの変更、
例えばペデスタル設計、ヒータ設計、RF電力周波数、
RF電力コネクションの配置、その他の変更が可能であ
る。例えば、ウェーハをサセプタによって支持し、石英
水銀灯によって加熱してもよい。本発明は、特定装置と
の使用に限定されるものではない。
【0027】II. リモートマイクロ波プラズマソースお
よび処理チャンバ 本発明の特定の実施形態によれば、製造時のままのCV
D装置にリモートプラズマソースを組み込むことによっ
て、すなわち現存のCVD装置を改修してリモートマイ
クロ波プラズマソースを組み込むことによって、リモー
トマイクロ波プラズマソースをCVD装置に取り付ける
ことができる。このようなリモートプラズマソースは、
Tanakaらによる一般譲渡された米国特許出願第08/811,6
27号「マイクロ波プラズマソースを有する上級基板処理
システム用の装置および方法」(出願日1997年3月5日)
に記載されている。この出願は、参照文献として本明細
書に組み込まれる。リモート生成されたプラズマを用い
た処理チャンバの清浄は、一部のin situプラズマ清浄
プロセスと比較して極めて効率が良いことが分かってお
り、同等の結果を得るために約半分の時間しかかからな
い。
【0028】本発明の特定の実施形態に従って、図5
は、ガス分配マニホールド11を介して処理チャンバ1
5のリッドに取り付けられたリモートマイクロ波プラズ
マソースモジュール300を示している。RFアイソレ
ータ395は、ガス混合ブロック9(PECVDプロセ
ス中はRF電力が通電されている)をプラズマ生成器出
口マニホールド380(PECVDプロセス中は接地さ
れている場合がある)から絶縁する。RFアイソレータ
395は、RF絶縁(RF isolation)をもたらす材料
(例えばアルミナやポリテトラフルオロエチレン(PT
FE))であって、プラズマ種(例えば、NF3のよう
なフッ素含有ガスを用いてプラズマを形成する場合のフ
ッ素ラジカル)によるエッチングに対して耐性を有する
材料から作られていることが好ましい。PTFE(例え
ば、Teflon(商標)PTFEとして市販)を含むこのよ
うな材料は、清浄プロセス中に出口マニホールド380
によって提供される管路の内側におけるフッ素ラジカル
再結合を低減する。もちろん、特定のプロセスの特定の
反応化学に応じて他の材料を使用することもできる。
【0029】プラズマがガス分配マニホールド11を通
ってチャンバに流入するようにリモートプラズマソース
モジュール300を位置決めすると、プラズマ種の有益
な流れが生じる。具体的には、プラズマを面板13a内
の孔13bを通してチャンバ15に流入させることによ
り、面板13aのうち残渣が重い可能性のある領域に比
較的高い濃度のプラズマ種が供給される。これは、面板
13aおよび孔13bからの堆積物の除去を助け、後続
の堆積プロセスのために孔を清浄な状態に保つ。
【0030】III. 好適な清浄プロセス 本発明の実施形態は、CVD装置や他の装置を清浄する
際に有用である。使用されるプラズマ前駆ガスの種類
は、清浄すべき残渣の種類に依存する。フッ素ラジカル
は、シリコン酸化物および/またはシリコン窒化物から
なる残渣を清浄する際に有用である。三フッ化窒素(N
3)は、マイクロ波生成プラズマ中にフッ素ラジカル
を容易に生成し、効率の良い清浄プロセスをもたらすの
で、望ましい清浄ガスである。しかしながら、他の清浄
ガス、例えばCF4、ClF3、F2も使用することがで
きる。CF4などのペルフルオロカーボン(PFC)
は、一部のin situプラズマ清浄プロセスで有効に機能
するが、PFCは比較的高価なため、以下のプロセスで
はNF3ほど好適ではない。ClF3などの塩素含有ガス
は、清浄プロセスに続く一部のウェーハ処理作業を妨げ
る可能性のある塩素含有残渣を残す場合があり、F
2は、自由フッ素ラジカル(free fluorine radical)に
解離してマイクロ波プラズマ中でラジカルの状態を保つ
ことが困難な場合があるので、ラジカルの歩留りが低く
なり、清浄プロセスが遅くなる可能性がある。
【0031】清浄プロセスを実行する場合、多くの理由
からプロセス終点を検出することが望ましい。第1に、
清浄時間を最小限に抑えてウェーハスループットを最大
にすることが望ましい。第2に、チャンバコンポーネン
トのオーバエッチングは望ましくない。第3に、清浄生
成物によって生成され、有毒または腐食性の化合物を含
むことが多い廃棄物流(waste stream)を最小限に抑え
ることが望ましい。第4に、反応ガスは高価なことがあ
るので、チャンバ清浄を効率良く実行するために必要な
反応ガスの費用を最小限に抑えることが望ましい。
【0032】図6は、終点検出を用いるリモートプラズ
マ清浄プロセスの一実施形態のフローチャートである。
ここでは、この清浄プロセス全体を通じて、スロットル
バルブは固定された位置に保持される。図8は、図6の
フローチャートに従った清浄プロセスに関する圧力対時
間のグラフである。好適な清浄プロセスの以下の説明
は、図6および図8を参照しながら行う。この清浄プロ
セスは、各堆積ステップの後に(ウェーハごとに)実行
してもよいし、複数の堆積ステップの後に実行してもよ
い。図8は、単一のウェーハ堆積ステップ中に堆積した
約10,000Åのシリコン窒化物残渣層の清浄に関す
るものである。図8のx軸は時間として図示されている
が、清浄プロセスを完了するために必要な時間は、清浄
すべき堆積物の厚さにとりわけ依存する。しかしなが
ら、図8は、特定の清浄プロセスの一連の事象を良好に
例示している。
【0033】この清浄プロセスは、最後に処理されたウ
ェーハを取り出した(ステップ401)後に開始し、ス
ロットバルブを完全に開くことにより、約50mtor
r〜1.5torr(この例では0.2torr)のベ
ース圧力にチャンバを排気する(ステップ402)(図
8、501)。次いで、ウェーハペデスタルをその清浄
位置に下降させる(ステップ403)。この例では、清
浄位置は、ガス分配面板から600ミル下方の位置であ
る。ヒータペデスタルの温度は、この清浄プロセスのた
めにウェーハ処理温度から変更する必要はない。例え
ば、シリコン窒化膜を温度400℃で堆積させた場合
は、温度400℃で清浄プロセスを進めることができ
る。これにより、清浄を実行するためにヒータペデスタ
ルを加熱または冷却しなければならない場合がある他の
プロセスに比べて時間が節約され、熱サイクルに伴うヒ
ータの消耗も抑えられる。
【0034】リモートプラズマモジュールを通ってチャ
ンバに入る約700sccmのNF3流(ステップ40
4)は、ガス流開始時刻に開始し、約0.75torr
の初期清浄プロセス圧力を形成する(図8、502)
が、スロットルバルブは固定位置に保持され、一定の排
気速度が生成される。チャンバが清浄であれば、この初
期清浄圧力はもっと高く、場合によっては1.4tor
rにもなるのであるが、NF3の一部は最初にチャンバ
の表面上、特に堆積物の上に吸着されるものと考えられ
る。一定のガス流量と排気速度を維持することで、チャ
ンバ圧力は約5秒で安定化する。約0.5torr〜
1.5torrの範囲の圧力は、このプロセスのために
有効に機能することが分かっているが、清浄速度は圧力
とともに減少する場合があるので、清浄圧力はしきい圧
力以下に維持することが望ましい。チャンバ圧力を高く
すると清浄速度が高まることが期待されるが、圧力を高
くすると、より反応性の低いプラズマ種(例えばF2
への再結合に利用可能なプラズマ種(例えばフッ素ラジ
カル)の数も増加するものと思われる。従って、清浄速
度は、予想に反してチャンバ圧力の増加とともに減少す
る可能性がある。
【0035】清浄ガス流が安定化した後、リモートマイ
クロ波プラズマ生成器を作動させてリモートマイクロ波
プラズマ生成器内にグロー放電プラズマを生成する(ス
テップ405)。マイクロ波エネルギは、NF3をイオ
ンおよびラジカル(自由フッ素ラジカルを含む)に活性
化する。このようなチャンバ内でのパーティクル数の増
加により、チャンバ圧力が約1torrに増加する(図
8、503)。イオンおよびラジカルの一部は再結合す
るが、リモートプラズマ生成器内のグロー放電中に生成
された自由フッ素ラジカルの一部は、シリコン窒化物の
堆積物と反応するのに十分なほど長期にわたって生き残
る。
【0036】フッ素ラジカルは、シリコン窒化堆積物と
反応してフルオロケイ酸アンモニウム((NH4)2SiF
6)を形成する。このフルオロケイ酸アンモニウムは、
チャンバの温度および圧力のもとで少なくとも部分的に
解離し、排気ストリームによって運び去られる。清浄プ
ロセスのこの部分の間、チャンバ圧力を監視し、記憶装
置に記憶されたプロセスフログラムに従ってシステムコ
ントローラにより圧力の変化率を計算する(ステップ4
06)。反応して解離される堆積物の最大発生率に対応
するピーク圧力は、通常、1.5torrから2.5t
orrの間に現れる。このピーク圧力は、異なる清浄用
ガスを用いる、異なる組成の堆積物を清浄する、異なる
圧力で清浄プロセスを実行する等の清浄条件に応じて、
上記範囲の外側で変化する場合もある。この例では、ピ
ーク圧力は、約1.8torrで現れる(図8、50
4)。堆積物が消費されるにつれて、チャンバ圧力は下
降し、圧力1.4torrで安定化する(図8、50
6)。この安定な圧力は、ゼロ圧力変化率を示してい
る。このゼロ圧力変化率は、このプロセスに関する終点
圧力変化率であるので(ステップ407)、マイクロ波
エネルギを止めることができる(ステップ408)。こ
の例では、安定圧力は、圧力読取値を0.1秒ごとに取
得したときに圧力が5秒以上にわたって±0.05to
rr以内のままであるときの圧力として定義される。当
業者であれば分かるように、他の制限も安定圧力を定義
することができ、清浄プロセスの終点を他の圧力変化率
に従って定めることができる。
【0037】この例では、安定な圧力は、実質的にチャ
ンバ圧力のゼロ変化率を示しており、この特定変化率
は、この実施形態にとって適切である。他の実施形態で
は、異なる残渣を清浄している場合や異なる清浄用ガス
を使用する場合のように他の変化率が適切な場合があ
り、これが揮発性生成物の発生率に影響を与えることが
ある。適切な変化率は、使用される圧力センサや公称チ
ャンバ圧力にも依存する場合がある。一部の例では、ピ
ーク圧力、さらにゼロ変化率を検出して、清浄プロセス
の終点を求めることが適切な場合もある。チャンバ圧力
対時間の関係を監視し、圧力変化率を計算する方法は、
当業者の間では周知である。
【0038】主清浄プロセスは、チャンバのうちシリコ
ン窒化堆積物が多量に蓄積する部分、例えばガス分配面
板、チャンバリッド、ガス分配面板の付近に位置するチ
ャンバ本体、を清浄する。この後、NF3を止め(ステ
ップ409)、次のウェーハ処理ステップに備えてチャ
ンバをベース圧力まで排気する(ステップ410)。こ
のベース圧力は、各チャンバごとに異なる場合がある
が、この例では約0.2torrである。
【0039】一部の例では、主チャンバ清浄プロセス中
に迷堆積物(例えば、覗き窓上の堆積物)を完全に除去
することはできない。このような迷堆積物(stray depo
sit)を清浄するために、リモートマイクロ波プラズマ
清浄プロセスを、特定の圧力変化率が検出(図8、50
6)される時点を過ぎて一部の時間にわたって継続する
ことが望ましい場合もある。清浄プロセスのこの部分
は、「オーバエッチング」と呼ばれる。
【0040】このオーバエッチング時間は、所望の圧力
変化率に達するのに要する時間tSを基準としている。
この時間tSは、主清浄プロセスの完了を表している
(図8、507)。このオーバエッチング時間は、通
常、tSの5%〜30%であるが、他の実施形態では他
のパーセンテージが適切な場合もある。この例では、オ
ーバエッチング時間は、tSの20%に選択されてお
り、図8のステップ407と408との間に生じること
になる。例えば、tSが53秒の場合、オーバエッチン
グ時間は10.6秒となり、全清浄時間tT(図8、5
08)は63.6秒となる。迷堆積物のパターンは、プ
ロセスガス流量、排気パターン、チャンバ壁温度など多
数の要因に応じて変化しうるので、異なるチャンバや異
なる残渣に対しては異なるオーバエッチング時間が適切
な場合もある。このオーバエッチング時間を用いても、
図6のプロセスは、一部のin situプラズマ清浄プロセ
スの時間の約半分しか要しない。
【0041】図7は、本発明の別の実施形態のフローチ
ャートである。この実施形態では、スロットルバルブが
サーボモータにより制御され、清浄プロセス中に目標チ
ャンバ圧力を維持するようになっている。一部の状況、
例えば一つの製造領域中の数個のチャンバ間では、その
領域中の全てのチャンバに対する清浄プロセス圧力を標
準化して清浄時間を標準化することが望ましい場合があ
る。異なるチャンバは、とりわけ使用される真空ポン
プ、フォアラインの長さおよび直径、ならびにチャンバ
の配置に応じて異なる排気能力を有する。これらのチャ
ンバは部分的に閉じたスロットルバルブを用いて動作す
るので、制御された圧力で清浄プロセスを行うと、チャ
ンバに関する排気速度が低減される可能性があるが、よ
り均一で予測可能な清浄時間という利点が得られ、製品
フロー(product flow)が改善される。
【0042】一群のチャンバに対する設定圧力(set-po
int pressure)は、最悪の排気能力を有するシステムに
よって達成することができる安定化圧力(例えば、図
8、506)を上回るように設定することができる。他
の全てのシステムは、スロットルバルブを部分的に閉じ
ることにより最悪のシステムの排気能力に達することが
できる。スロットルバルブは、マノメータなどの圧力セ
ンサを用いて測定されるチャンバ圧力に従って、サーボ
モータを用いて制御される。この圧力センサは、システ
ムコントローラが読み取ることの可能な電気信号を生成
する。
【0043】この設定圧力は、最悪のシステムのスロッ
トルバルブもチャンバ清浄の終点中にサーボ制御される
ように、最悪の安定化圧力をわずかに上回るように設定
される。清浄プロセスの初期部分(図6に示されるよう
な一定排気速度プロセスの図8の領域502および50
3に対応)の間、システムのスロットルバルブを部分的
に閉じることにより、チャンバ圧力を設定圧力(例え
ば、図8の領域502および503の圧力を上回る圧
力)に調整することができる。反応生成物の発生が増加
すると、放出ガスがシステムの排気能力を超えてしま
い、スロットルバルブがサーボモータによって完全に開
かれても圧力が上昇する場合がある。この状態は、「開
ループ(open loop)」として知られている。しかしな
がら、反応生成物の発生が低下すると、システムの排気
能力は再びチャンバ圧力を設定圧力に維持できるように
なる。当業者であれば分かるように、開ループ状態は、
多数の設計パラメータ(例えば、ループ帯域幅、センサ
応答、スロットルバルブ応答、および他の要因)に依存
している。
【0044】このサーボモータは、ステッパモータであ
り、制御ステップ信号に応じて段階的な方式でスロット
ルバルブを開閉する。ステッパモータは、モータシャフ
トの一回転あたり一定の数のステップを有している。モ
ータシャフトの各位置は、初期位置からの複数のステッ
プに対応する。スロットルバルブは、ステッパモータが
120ステップにあるときに完全に閉じられ、ステッパ
モータが800ステップにあるときに完全に開かれるも
のと考えられる。制御ステップ信号は、測定チャンバ圧
力と目標(設定)チャンバ圧力との差に応じて、記憶装
置に記憶されたプロセスプログラムと連係したシステム
コントローラにより生成される。チャンバ圧力が設定圧
力から高いほど、スロットルバルブは所定の限度内で大
きく開かれるので、最短の時間で所望の圧力に達するこ
とができる。チャンバ排気速度の変化が各ステップ変化
ごとに一定である必要は必ずしもない。
【0045】安定状態のもとでもシステムノイズによっ
て多少のレベルの制御信号がステッパモータに送られる
場合があるが、チャンバ圧力が主チャンバ清浄の後に安
定化してしまえば、設定圧力を維持するためにサーボモ
ータのステップを進める必要はない。この安定圧力点
は、図8の安定圧力506に対応する。しかしながら、
図7のプロセスでは、この点を、図6のプロセスのよう
にチャンバ圧力を監視するのではなくサーボモータのス
テップを監視することにより求めることができる。サー
ボモータへの制御信号(これは、約0.1秒ごとに送信
される)が5ステップ未満であれば、圧力は安定と考え
られる。しかしながら、当業者であれば分かるように、
管理限界(control limit)は、上述のように特定のシ
ステム状態に応じて設定することができ、異なるチャン
バおよび異なる清浄用ガスは、安定状態を定義するため
に異なる制御パラメータを有する場合がある。
【0046】圧力制御清浄プロセスも、最後に処理され
たウェーハの取出し(ステップ401)および約50m
torr〜1.5torrのベース圧力へのチャンバ排
気(ステップ442)とともに開始する。上述のよう
に、このベース圧力は、一群のチャンバの中の最悪のベ
ース圧力に応じて選択される。このようにしてベース圧
力を選択すると、各チャンバの各スロットルバルブは、
マノメータ等で測定したチャンバ圧力に応じてサーボモ
ータによって確実に部分的に閉じられ(最大排気未
満)、制御されるようになる。次いで、ウェーハペデス
タルをその清浄位置に下降させる(ステップ443)。
この位置は、この例では、ガス分配面板から600ミル
下方の位置である。但し、図6に関連する開ループプロ
セスで最適な位置と同じ位置である必要は必ずしもな
い。上述のように、ヒータペデスタルの温度は、この清
浄プロセス用のウェーハ処理温度から変える必要はな
い。
【0047】リモートプラズマモジュールを通ってチャ
ンバに入るNF3(ステップ444)の約400〜80
0sccmという流量は、約1.5torrの初期チャンバ
清浄圧力を形成する。この例では、システムがスロット
ルバック排気システム(throttled-back exhaust syste
m)を用いて動作するので、初期清浄圧力は設定圧力に
依存する。NF3の流量は、最悪排気能力という制約内
でチャンバ群に対する清浄速度が最大になるように選択
する。上述のように、圧力をしきい圧力よりも高くする
と、清浄速度が実際には低くなり、清浄プロセス時間が
長くなる可能性がある。
【0048】初期チャンバ清浄圧力が得られた後、リモ
ートマイクロ波プラズマ生成器を作動させてリモートマ
イクロ波プラズマ生成器内にグロー放電を生成する(ス
テップ405)。マイクロ波エネルギは、NF3ガスを
イオンとラジカル(自由フッ素ラジカルも含む)に活性
化する。一部のイオンおよびラジカルは再結合するが、
リモートプラズマ生成器内のグロー放電中に生成された
自由フッ素ラジカルの一部は、処理チャンバ内のシリコ
ン窒化堆積物と反応する程度に長く生き残る。このフッ
素ラジカルは、シリコン窒化堆積物と反応してフルオロ
ケイ酸アンモニウム((NH4)2SiF6)を形成する。
このフルオロケイ酸アンモニウムは、チャンバの温度お
よび圧力のもとで解離し、排気ストリームによって運び
去られる。清浄プロセスのこの部分の間、チャンバ圧力
は、サーボモータを用いてスロットルバルブの位置を調
整することにより、設定圧力を維持するように制御され
る。このサーボモータは、特定の実施形態では、ステッ
パモータである。
【0049】この圧力制御システムは、フルオロケイ酸
アンモニウムのピーク生成率において開ループに進むこ
とがある。これにより、開ループ期間の間、一定の全開
制御信号(fully open control signal)が生成され
る。しかしながら、この開ループ信号は、閉ループ(cl
osed-loop)動作では生成されない予測可能値である。
従って、一定の開ループ信号は、スロットルバルブが一
定時間にわたって部分的に開いた位置に維持される実質
的に一定の閉ループ信号とは区別することができる。
【0050】サーボモータへのステップ信号(スロット
ルバルブの位置がチャンバ圧力の変化に応じて変えられ
ているかどうかを示す)は、チャンバ圧力の変化率の指
示を与えるためにシステムコントローラによって監視さ
れる(ステップ446)。フルオロケイ酸アンモニウム
の生成が減少する間、サーボモータはスロットルバルブ
を閉じ続ける。最後に、チャンバ圧力はゼロ圧力変化率
を示して安定化し、制御信号は、目標ウィンドウ(targ
et window)内に入ることになる(ステップ447)。
これにより、システムコントローラは、清浄プロセス終
点信号を生成する。この時点で、主清浄プロセスは完了
するので、マイクロ波エネルギを止めることができる
(ステップ408)。主清浄プロセスは、チャンバのう
ちシリコン窒化堆積物が多量に蓄積する部分、例えばガ
ス分配面板、チャンバリッド、ガス分配面板の付近に位
置するチャンバ本体、を清浄する。この後、NF3を止
め(ステップ409)、新しいチャンバ圧力設定点を設
定するか、あるいはスロットルバルブを完全に開くこと
により、次のウェーハ処理ステップに備えてチャンバを
ベース圧力(例えば、0.2torr)まで排気する
(ステップ410)。図6と共に説明したプロセスと同
様に、図7と共に説明したプロセスにオーバエッチング
ステップを追加することができる。
【0051】以上、本発明の特定の実施形態について完
全に説明したが、種々の変形例、変更例、および代替例
を使用することができる。上述のガス流量、チャンバ圧
力および温度範囲は、ウェーハまたは基板を処理した後
に蓄積することのある望ましくない酸化物残渣や窒化物
残渣を除去するのに十分な清浄手順を提供する。上記プ
ロセスにおけるこれらのパラメータは、特許請求の範囲
を制限するものと考えるべきではない。特定の清浄レシ
ピに対して選択される実際の値(温度、圧力、ガス流量
など)は、種々の応用例に応じて変化する。上述の流量
値は、カリフォルニア州サンタクララのアプライドマテ
リアルズ(Applied Materials)社製DxZチャンバ
(200mmウェーハ用に用意され、約5リットルの全
容積を有する)用のものであるが、流量値は、使用され
るチャンバの種類や寸法に応じて異なることがある。例
えば、マルチモードチャンバは、種々の流量値を必要と
する。更に、上述の流量値は、特定の実施形態に応じた
寸法を有するリモートマイクロ波プラズマ生成器用のも
のであり、他の寸法を有するリモートプラズマ生成器に
対しては異なる可能性がある。当業者であれば分かるよ
うに、他の化学物質、チャンバ圧力、および装置を本発
明に使用することもできる。
【0052】上記の説明は、例示的なものであり、制限
的なものではない。上記の説明を再検討すると、当業者
には多くの実施形態が明らかになる。当業者であれば、
誘電体残渣や他の堆積物(金属残渣など)を基板処理シ
ステムから清浄する他の均等方法や代替方法を本発明の
範囲内で認識することができる。例えば、マグネトロン
の代わりに電子サイクロトロン共鳴ソースを用いてプラ
ズマを生成したり、プラズマをin situで生成してもよ
い。上記の説明は特にNF3について説明しているが、
シリコン酸化物やシリコン窒化物を堆積するために使用
される基板処理システムを清浄するために、F2、C
4、C26、C38、SF6、またはClF3を含む
(但し、これらに限定されない)他の反応ガスを使用す
ることができ、また、他の種類の残渣を清浄するために
他のガスを使用することもできる。従って、本発明の範
囲は、上述の実施形態を参照して定めるべきではなく、
特許請求の範囲が及ぶ均等物の全範囲とともに特許請求
の範囲を参照することによって定めるべきである。
【図面の簡単な説明】
【図1】本発明に係る化学気相堆積装置の一実施形態の
縦断面図である。
【図2】本発明に係る化学気相堆積装置の一実施形態の
縦断面図である。
【図3】図1に記載されるCVDチャンバの部品の分解
斜視図である。
【図4】図1に記載されるCVDチャンバの部品の分解
斜視図である。
【図5】同時に使用するのに好適な本発明の一実施形態
に係るチャンバと関連するリモートマイクロ波プラズマ
ソースモジュールの側面図である。
【図6】圧力ベースの終点検出を用いた一定の排気速度
におけるリモートプラズマ清浄プロセスの一実施形態の
フローチャートである。
【図7】サーボ制御ベースの終点検出を用いた圧力制御
リモートプラズマ清浄プロセスの一実施形態のフローチ
ャートである。
【図8】一定の排気速度を利用するリモートプラズマ清
浄プロセス中のチャンバ圧力変化と時間との関係を示す
グラフである。
【符号の説明】
1…基板、10…CVDシステム、11…ガス分配マニ
ホールド、12…ヒータペデスタル、13a…ガス分配
面板、13b…穿孔、15…処理チャンバ、15a…チ
ャンバ本体、15b…チャンバリッドアセンブリ、28
…真空ポンプ、34…システムコントローラ。
フロントページの続き (51)Int.Cl.6 識別記号 FI H05H 1/46 H05H 1/46 L (72)発明者 ツトム タナカ アメリカ合衆国, カリフォルニア州, サンタ クララ, モンロー ストリート 3301, ナンバー5 (72)発明者 ムクール ケルカー アメリカ合衆国, カリフォルニア州, サン ノゼ, ペイン アヴェニュー 3200, ナンバー29

Claims (12)

    【特許請求の範囲】
  1. 【請求項1】 基板処理チャンバから堆積物を清浄する
    方法であって、 (a)清浄用ガスから形成されたプラズマにチャンバを
    曝露するステップと、 (b)前記チャンバ内の圧力レベルを測定して、特定の
    圧力変化率を求めるステップと、 (c)前記特定圧力変化率に応じて前記プラズマを消火
    するステップと、を備える方法。
  2. 【請求項2】 前記清浄用ガスが少なくとも一つのハロ
    ゲン元素を含んでいる請求項1記載の方法。
  3. 【請求項3】 前記特定の圧力変化率は、実質的にゼロ
    変化率である、請求項1記載の方法。
  4. 【請求項4】 前記プラズマを消火するステップは、プ
    ラズマ電源を切ることによって行われる、請求項1記載
    の方法。
  5. 【請求項5】 前記プラズマは、リモートプラズマ生成
    器内で前記清浄用ガスから形成される、請求項1記載の
    方法。
  6. 【請求項6】 前記ステップ(c)は、前記特定の圧力
    変化率の検出と前記プラズマの消火との間に所定の時間
    にわたって待機するステップを更に備えている、請求項
    1記載の方法。
  7. 【請求項7】 前記所定の時間は、前記ステップ(a)
    と前記特定の圧力変化率の検出との間の時間の約5%〜
    30%である、請求項6記載の方法。
  8. 【請求項8】 前記安定チャンバ圧力の検出は、ピーク
    チャンバ圧力の後に行われる、請求項1記載の方法。
  9. 【請求項9】 基板処理チャンバから堆積物を清浄する
    方法であって、 (a)清浄用ガスから形成されたプラズマにチャンバを
    曝露するステップと、 (b)前記チャンバ内に制御圧力を形成するステップ
    と、 (c)圧力制御信号を監視して、特定の圧力制御信号を
    検出するステップと、 (d)前記特定圧力制御信号の検出に応じて前記プラズ
    マを消火するステップと、を備える方法。
  10. 【請求項10】 前記清浄用ガスがハロゲンを含んでい
    る請求項9記載の方法。
  11. 【請求項11】 前記圧力制御信号はステッパモータへ
    のステップ信号であり、前記ステッパモータは、バルブ
    に結合されていて、前記ステップ信号に応答して前記バ
    ルブを調整できるようになっている、請求項9記載の方
    法。
  12. 【請求項12】 基板処理システムであって、 処理チャンバと、 前記処理チャンバ内にプラズマを形成するように構成さ
    れたプラズマシステムと、 前記プラズマシステムに清浄用ガスを供給するように構
    成されたガス供給システムと、 前記処理チャンバを排気するように構成された真空シス
    テムであって、圧力信号を生成するチャンバ圧力センシ
    ング装置を含む真空システムと、 前記ガス供給システム、前記真空システムおよび前記プ
    ラズマシステムを制御するように構成されたコントロー
    ラと、 前記コントローラに結合され、この基板処理システムの
    動作を指示するコンピュータ読取可能プログラムを内蔵
    した記憶装置と、を備え、 前記コンピュータ読取可能プログラムは、 i)前記ガス供給システムを制御して前記清浄用ガスを
    前記プラズマシステムに流入させる第1コンピュータ命
    令セットと、 ii)前記圧力信号を読み取って、前記記憶装置内に記憶
    されている特定のチャンバ圧力変化率を求める第2コン
    ピュータ命令セットと、 iii)前記プラズマシステムを制御し、前記プラズマシ
    ステムを作動させて前記清浄用ガスからプラズマを生成
    するとともに前記特定圧力変化率に応じて前記プラズマ
    を消火する第3コンピュータ命令セットと、を含んでい
    る、基板処理システム。
JP10180777A 1997-07-02 1998-06-26 プラズマ清浄プロセス中の終点を求める方法及び装置 Pending JPH1174258A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/887,165 US6079426A (en) 1997-07-02 1997-07-02 Method and apparatus for determining the endpoint in a plasma cleaning process
US08/887165 1997-07-02

Publications (1)

Publication Number Publication Date
JPH1174258A true JPH1174258A (ja) 1999-03-16

Family

ID=25390577

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10180777A Pending JPH1174258A (ja) 1997-07-02 1998-06-26 プラズマ清浄プロセス中の終点を求める方法及び装置

Country Status (2)

Country Link
US (1) US6079426A (ja)
JP (1) JPH1174258A (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001020625A1 (fr) * 1999-09-10 2001-03-22 Matsushita Electric Industrial Co., Ltd. Condensateur electrolytique solide, procede de production de celui-ci et solution d'agent oxydant de polymerisation de polymere conducteur
JP2002057114A (ja) * 2000-04-28 2002-02-22 Applied Materials Inc 遠隔プラズマ発生器の半導体処理チャンバとの統合化
US6660101B1 (en) 1999-09-09 2003-12-09 Tokyo Electron Limited Method and apparatus for cleaning film deposition device
JP2006307255A (ja) * 2005-04-27 2006-11-09 Micro Denshi Kk マイクロ波を利用した錆落とし等の処理装置
JP2009117844A (ja) * 2007-11-08 2009-05-28 Applied Materials Inc 基板処理チャンバのためのマルチポートポンピングシステム
JP2013541187A (ja) * 2010-08-25 2013-11-07 リンデ アクチエンゲゼルシャフト 分子状フッ素を用いる化学気相成長チャンバのクリーニング
CN105336643A (zh) * 2014-07-30 2016-02-17 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室的清洗方法
JP2023083023A (ja) * 2021-12-03 2023-06-15 株式会社アルバック 真空処理装置のクリーニング方法

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6797188B1 (en) * 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
WO2000051937A1 (en) * 1999-03-04 2000-09-08 Surface Technology Systems Limited Gas generation system
US6358327B1 (en) * 1999-06-29 2002-03-19 Applied Materials, Inc. Method for endpoint detection using throttle valve position
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
TW451351B (en) * 2000-01-31 2001-08-21 Mosel Vitelic Inc Method of removing the polymer byproduct accumulated on the bottom electrode of the etch reaction chamber
WO2002000962A1 (en) * 2000-06-28 2002-01-03 Mks Instruments, Inc. System and method for in-situ cleaning of process monitor of semi-conductor wafer fabricator
JP2002057149A (ja) * 2000-08-08 2002-02-22 Tokyo Electron Ltd 処理装置及びそのクリーニング方法
DE10057824A1 (de) * 2000-11-21 2002-06-06 Schwerionenforsch Gmbh Vorrichtung und Verfahren zur Anpassung einer Ionenstrahlfleckgröße in der Tumorbestrahlung
US6852242B2 (en) 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
WO2002090615A1 (en) * 2001-05-04 2002-11-14 Lam Research Corporation Duo-step plasma cleaning of chamber residues
US20040235303A1 (en) * 2001-05-04 2004-11-25 Lam Research Corporation Endpoint determination of process residues in wafer-less auto clean process using optical emission spectroscopy
US6553335B2 (en) * 2001-06-21 2003-04-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for determining end-point in a chamber cleaning process
US6835414B2 (en) * 2001-07-27 2004-12-28 Unaxis Balzers Aktiengesellschaft Method for producing coated substrates
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US6686594B2 (en) 2001-10-29 2004-02-03 Air Products And Chemicals, Inc. On-line UV-Visible light halogen gas analyzer for semiconductor processing effluent monitoring
CN1247323C (zh) * 2001-11-15 2006-03-29 液体空气乔治洛德方法利用和研究的具有监督和管理委员会的有限公司 具有清洁功能的原料液供应装置及拆卸其原料容器的方法
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US6739840B2 (en) * 2002-05-22 2004-05-25 Applied Materials Inc Speed control of variable speed pump
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US20030221708A1 (en) * 2002-06-04 2003-12-04 Chun-Hao Ly Method of cleaning a semiconductor process chamber
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
TW554465B (en) * 2002-08-27 2003-09-21 Winbond Electronics Corp Apparatus for supporting wafer in semiconductor process
WO2004033061A2 (en) * 2002-10-04 2004-04-22 The Regents Of The University Of California Fluorine separation and generation device
US7238266B2 (en) * 2002-12-06 2007-07-03 Mks Instruments, Inc. Method and apparatus for fluorine generation and recirculation
US6703317B1 (en) * 2003-01-30 2004-03-09 Taiwan Semiconductor Manufacturing Co., Ltd Method to neutralize charge imbalance following a wafer cleaning process
US7235138B2 (en) 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7056806B2 (en) 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20080223409A1 (en) * 2003-12-12 2008-09-18 Horsky Thomas N Method and apparatus for extending equipment uptime in ion implantation
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
TW200533780A (en) * 2004-03-10 2005-10-16 Ulvac Inc Self-cleaning catalyst chemical vapor deposition device and cleaning method therefor
US7584942B2 (en) * 2004-03-31 2009-09-08 Micron Technology, Inc. Ampoules for producing a reaction gas and systems for depositing materials onto microfeature workpieces in reaction chambers
US20050217569A1 (en) * 2004-04-01 2005-10-06 Nirmal Ramaswamy Methods of depositing an elemental silicon-comprising material over a semiconductor substrate and methods of cleaning an internal wall of a chamber
US7250836B2 (en) * 2004-04-01 2007-07-31 Square D Company Terminal support for a circuit breaker trip unit
US20050217585A1 (en) * 2004-04-01 2005-10-06 Blomiley Eric R Substrate susceptor for receiving a substrate to be deposited upon
US20050223993A1 (en) * 2004-04-08 2005-10-13 Blomiley Eric R Deposition apparatuses; methods for assessing alignments of substrates within deposition apparatuses; and methods for assessing thicknesses of deposited layers within deposition apparatuses
US20050223985A1 (en) * 2004-04-08 2005-10-13 Blomiley Eric R Deposition apparatuses, methods of assessing the temperature of semiconductor wafer substrates within deposition apparatuses, and methods for deposition of epitaxial semiconductive material
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060005856A1 (en) * 2004-06-29 2006-01-12 Applied Materials, Inc. Reduction of reactive gas attack on substrate heater
US20060054183A1 (en) * 2004-08-27 2006-03-16 Thomas Nowak Method to reduce plasma damage during cleaning of semiconductor wafer processing chamber
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
GB0508706D0 (en) * 2005-04-28 2005-06-08 Oxford Instr Plasma Technology Method of generating and using a plasma processing control program
KR101501426B1 (ko) * 2006-06-02 2015-03-11 어플라이드 머티어리얼스, 인코포레이티드 차압 측정들에 의한 가스 유동 제어
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US20080289650A1 (en) * 2007-05-24 2008-11-27 Asm America, Inc. Low-temperature cleaning of native oxide
US8021492B2 (en) * 2007-05-29 2011-09-20 United Microelectronics Corp. Method of cleaning turbo pump and chamber/turbo pump clean process
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
JP2009239013A (ja) 2008-03-27 2009-10-15 Tokyo Electron Ltd クリーニング基板及びクリーニング方法
US7871937B2 (en) 2008-05-16 2011-01-18 Asm America, Inc. Process and apparatus for treating wafers
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
JP6843069B2 (ja) * 2015-04-23 2021-03-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated チャンバ洗浄終点に対するインシトゥエッチング速度の決定
GB201609119D0 (en) * 2016-05-24 2016-07-06 Spts Technologies Ltd A method of cleaning a plasma processing module
US10777394B2 (en) * 2016-12-09 2020-09-15 Applied Materials, Inc. Virtual sensor for chamber cleaning endpoint
JP7023188B2 (ja) * 2018-06-11 2022-02-21 東京エレクトロン株式会社 クリーニング方法
CN112609168B (zh) * 2020-11-30 2023-06-06 中威新能源(成都)有限公司 一种快速清洗大面积真空腔室内累积薄膜的方法
US20220307129A1 (en) * 2021-03-23 2022-09-29 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6317520A (ja) * 1986-07-10 1988-01-25 Hitachi Metals Ltd 化学気相成長装置用圧力制御装置
JPH06224163A (ja) * 1993-01-26 1994-08-12 Hitachi Ltd 真空容器内セルフクリーニング方法
JPH0969504A (ja) * 1994-07-21 1997-03-11 Applied Komatsu Technol Kk 遠隔の励起源を用いる堆積チャンバーのクリーニング技術
JPH09143742A (ja) * 1995-11-28 1997-06-03 Applied Materials Inc Cvd装置及びチャンバ内のクリーニングの方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0797557B2 (ja) * 1986-11-20 1995-10-18 松下電器産業株式会社 プラズマcvd方法
JP2598336B2 (ja) * 1990-09-21 1997-04-09 株式会社日立製作所 プラズマ処理装置
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5413670A (en) * 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
JPH0982645A (ja) * 1995-09-08 1997-03-28 Hitachi Ltd Cvd装置のクリーニング方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6317520A (ja) * 1986-07-10 1988-01-25 Hitachi Metals Ltd 化学気相成長装置用圧力制御装置
JPH06224163A (ja) * 1993-01-26 1994-08-12 Hitachi Ltd 真空容器内セルフクリーニング方法
JPH0969504A (ja) * 1994-07-21 1997-03-11 Applied Komatsu Technol Kk 遠隔の励起源を用いる堆積チャンバーのクリーニング技術
JPH09143742A (ja) * 1995-11-28 1997-06-03 Applied Materials Inc Cvd装置及びチャンバ内のクリーニングの方法

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6660101B1 (en) 1999-09-09 2003-12-09 Tokyo Electron Limited Method and apparatus for cleaning film deposition device
WO2001020625A1 (fr) * 1999-09-10 2001-03-22 Matsushita Electric Industrial Co., Ltd. Condensateur electrolytique solide, procede de production de celui-ci et solution d'agent oxydant de polymerisation de polymere conducteur
JP2002057114A (ja) * 2000-04-28 2002-02-22 Applied Materials Inc 遠隔プラズマ発生器の半導体処理チャンバとの統合化
JP2006307255A (ja) * 2005-04-27 2006-11-09 Micro Denshi Kk マイクロ波を利用した錆落とし等の処理装置
JP4702680B2 (ja) * 2005-04-27 2011-06-15 株式会社エスイー マイクロ波を利用した処理装置
JP2009117844A (ja) * 2007-11-08 2009-05-28 Applied Materials Inc 基板処理チャンバのためのマルチポートポンピングシステム
JP2013541187A (ja) * 2010-08-25 2013-11-07 リンデ アクチエンゲゼルシャフト 分子状フッ素を用いる化学気相成長チャンバのクリーニング
CN105336643A (zh) * 2014-07-30 2016-02-17 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室的清洗方法
CN105336643B (zh) * 2014-07-30 2019-01-18 北京北方华创微电子装备有限公司 反应腔室的清洗方法
JP2023083023A (ja) * 2021-12-03 2023-06-15 株式会社アルバック 真空処理装置のクリーニング方法

Also Published As

Publication number Publication date
US6079426A (en) 2000-06-27

Similar Documents

Publication Publication Date Title
US6079426A (en) Method and apparatus for determining the endpoint in a plasma cleaning process
US8366953B2 (en) Plasma cleaning method and plasma CVD method
JP4801045B2 (ja) ドライクリーニングプロセスのプラズマ処理システムからチャンバ残渣を除去する方法
US6164295A (en) CVD apparatus with high throughput and cleaning method therefor
US7465357B2 (en) Computer-readable medium that contains software for executing a method for cleaning a CVD chamber
US7037376B2 (en) Backflush chamber clean
US6026762A (en) Apparatus for improved remote microwave plasma source for use with substrate processing systems
US6125859A (en) Method for improved cleaning of substrate processing systems
US7588036B2 (en) Chamber clean method using remote and in situ plasma cleaning systems
JP2006287228A (ja) セルフクリーニングが可能な半導体処理装置
WO2006015072A9 (en) Closed loop clean gas methods and systems
JPH07183283A (ja) 被加熱掃去面を備えるプラズマエッチング装置
US6170492B1 (en) Cleaning process end point determination using throttle valve position
JPH1072672A (ja) 非プラズマ式チャンバクリーニング法
US11295960B1 (en) Etching method
JP2000323467A (ja) 遠隔プラズマ放電室を有する半導体処理装置
US20220115239A1 (en) Etching method
JPH10116821A (ja) ドライエッチング装置およびドライエッチング方法
WO1999006611A1 (en) Method and apparatus for chamber cleaning

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050527

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080806

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080812

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081111

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100506

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20100518

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101026

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210