JPH07183283A - 被加熱掃去面を備えるプラズマエッチング装置 - Google Patents

被加熱掃去面を備えるプラズマエッチング装置

Info

Publication number
JPH07183283A
JPH07183283A JP6246240A JP24624094A JPH07183283A JP H07183283 A JPH07183283 A JP H07183283A JP 6246240 A JP6246240 A JP 6246240A JP 24624094 A JP24624094 A JP 24624094A JP H07183283 A JPH07183283 A JP H07183283A
Authority
JP
Japan
Prior art keywords
reactor
temperature
wall
article
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP6246240A
Other languages
English (en)
Other versions
JP3426040B2 (ja
Inventor
Michael Rice
ライス マイケル
Jeffrey Marks
マークス ジェフリー
David W Groechel
ダブリュー.グローシェル デイビッド
Nicolas J Bright
ジェイ. ブライト ニコラス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH07183283A publication Critical patent/JPH07183283A/ja
Application granted granted Critical
Publication of JP3426040B2 publication Critical patent/JP3426040B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3346Selectivity
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/909Controlled atmosphere

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

(57)【要約】 (修正有) 【目的】 壁温を高くしてエッチング速度を高めること
により、フッ素を掃去し、かつ、無酸化物下層よりも酸
化膜へのエッチング選択性を高める。 【構成】 プラズマエッチング反応装置の操作方法とし
て具体化され、この方法は、反応装置内の加工物上の酸
化膜をエッチングするエッチング種と、このエッチング
種と特定蒸着温度より低い温度で結合して、加工物上の
凝縮可能のエッチング防止性ポリマーとなり得る非エッ
チング種とにプラズマとして解離するガスを反応装置に
導入し、エッチング種を掃去する材料を含む内壁を提供
し、この内壁の温度を上記蒸着温度より高く維持するこ
とから構成される。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、酸化膜(例えば、二酸
化シリコン)と非酸化面(例えば、ポリシリコンやシリ
コン窒化膜)とのエッチングにおける高度の選択性が可
能なRFプラズマエッチング反応装置に関する。
【0002】
【従来の技術】欧州特許文献第0,520,519A1
号には、シリコンウエハ上に形成された薄膜をRFプラ
ズマエッチング処理を使ってエッチングするための、新
規な誘導結合プラズマエッチング反応装置が開示されて
いるので、その開示内容を参照して本明細書に含める。
かかる反応装置は、ウエハ上の二酸化シリコン膜を非酸
化物(例えば、窒化シリコン)の膜より優先的にエッチ
ングするのに使用できる。詳述すると、C2 6 のよう
な炭素−フッ素ガスを反応装置のチャンバ内でプラズマ
を発生させるのに充分に励起して、イオンと、例えば、
FやCF3 のようなフリーラジカルとを生成する。これ
らFラジカルは、ウエハ上の二酸化シリコン膜をエッチ
ングし、一方、プラズマ中の炭素やフッ素の原子やイオ
ンはウエハ表面で結合してポリマーを形成する。このポ
リマーは、二酸化シリコンの表面に形成されたときに
は、エッチング処理中に二酸化シリコンから放出される
酸素の影響や、プラズマ中のフッ素の影響で解離する。
しかし、非酸化膜(例えば、窒化シリコン)上に形成さ
れたときには、このポリマーは、下地非酸化膜の酸素不
足のために蓄積し、この形成により下地非酸化膜のエッ
チングが阻止され、かくて、非酸化膜よりも酸化膜への
明瞭なエッチング選択性が提供される。この選択性は、
エッチングすべきではない非酸化膜(例えば、ポリシリ
コン)上に重なる二酸化シリコン層にバイアをエッチン
グするときに大きな利点となる。この選択性は、ポリシ
リコン層上に形成されたポリマーが40重量%を越える
フッ素を含むと低下するが、その理由は、かかるポリマ
ーが、プラズマ中のフッ素の腐食を受け易いことにあ
り、このため、下地ポリシリコン層への保護は低下する
のみである。
【0003】「窒化物に優先する酸化物へのエッチング
選択性」という名称でマークス(Marks)等により
1992年9月8日に提出された米国特許出願第07/
941,501号明細書には、前記欧州特許文献に開示
されているタイプの誘導結合プラズマ反応装置を使用し
て、40%未満のフッ素を含む炭素ポリマー膜を非酸化
物(すなわち、窒化シリコン)膜上に形成する方法が開
示されている。この改良点は、プラズマ中の炭素のフッ
素に対する割合を高めることにより実現され、プラズマ
中にフッ素掃去材を導入することにより達成される。か
かる掃去材の一種が、例えば、シランガスである。シラ
ンガス中のシリコンがプラズマ中の遊離フッ素原子と結
合してSF4 カ゛スを形成し、このガスは反応装置のチ
ャンバから容易にポンプ排出される。この改良点の効果
は、シリコン窒化膜上に形成された炭素濃厚ポリマーが
プラズマ中のフッ素に抵抗性であり、このため、窒化シ
リコンエッチング速度より二酸化シリコンエッチング速
度への実質上無限の選択性を提供することである。
【0004】コリンズ(Collins)等により19
92年12月1日に提出された米国特許出願第07/9
84,045号明細書、コリンズ等により1992年9
月8日に提出された米国特許出願第09/941,50
7号明細書にはそれぞれ、フッ素掃去材を反応装置のチ
ャンバに導入して、前記マークス等の出願に係わる発明
で実現されていると同一タイプの利点を達成する静電、
誘導結合プラズマエッチング装置が開示されている。こ
の掃去材は、反応装置のチャンバ内のシリコン製天井の
形をしている。このシリコン製天井が、プラズマからフ
ッ素を掃去するシリコン原子をプラズマ中に放出して、
プラズマ中に所望の炭素対フッ素比を提供し、非酸化物
( 例えば、窒化シリコン) 膜上に、プラズマ中のフッ素
に抵抗性である炭素濃厚ポリマーを形成する。
【0005】
【発明が解決しようとする課題】上記技術の難点は、多
くのタイプの反応装置、特に、前記欧州特許文献に開示
されているタイプの誘導結合反応装置、では、チャンバ
側壁を石英( 二酸化シリコン) で形成することが、壁面
上の二酸化シリコン原子がエッチングによりシリコンと
酸素との原子を提供するので好ましい点にある。このシ
リコン原子がプラズマからフッ素を掃去し、前記効果が
得られる。低い割合ではあるが、前記酸素原子がプラズ
マ中の炭素原子と結合して炭素を掃去するが、これは副
次的効果である。
【0006】石英製側壁は、反応装置の稼働を停止し、
プラズマを止める毎に冷却され易いが、これは、新たな
ウエハカセットを導入したり、或いは、例えば、チャン
バを保守のために開けなければならないときには常に典
型的なものである。側壁は典型的には、炭素−フッ素ポ
リマーが凝縮する蒸着温度である170℃より低い温度
に迄降下する。プラズマを再発生させると即、プラズマ
から形成された炭素−フッ素ポリマーが今や冷却されて
いる石英製側壁上に急速凝縮して、非常に厚いポリマー
皮膜を形成する。各ウエハをチャンバ内を循環させるに
つれて、側壁温度が上昇し、新たな各ウエハの導入時に
プラズマを短時間止めるときにはわずかに、かつ、一時
的に降下するが、全般的には、図1に図示される通り、
定常温度に向かって着実に上昇する。一方、石英製側壁
がポリマ- のうちの極めて多くを吸引しているので、ウ
エハ上の非酸化物( 例えば、ポリシリコンや窒化シリコ
ン) をエッチングから保護するにはほとんど役立たず、
従って、酸化物対非酸化物エッチング選択性は、最初の
数ウエハの処理中に必要とされるレベルより低い。
【0007】最終的には、石英製側壁の温度は170℃
を越えて上昇し、今や熱い側壁から厚ポリマー皮膜が突
然気化して、反応装置チャンバ内で目下処理中の新しい
ウエハを被覆し、エッチング処理を妨害する。
【0008】上記問題を回避する一方法は、石英製側壁
温度が優に170℃を越える迄、生産ウエハの導入を遅
らせることであるが、かかる手段には、許容できない時
間の損失と材料( 即ち、反応装置チャンバ内の掃去材シ
リコン、石英) の損失とが伴う。従って、生産時間の損
失とチャンバ内材料の損失とを伴うことなく前記問題を
解決する必要がある。
【0009】
【課題を解決するための手段および作用】本発明はプラ
ズマエッチング反応装置として具体化され、この反応装
置には、反応装置内の加工物上の酸化膜をエッチングす
るエッチング種と、このエッチング種と特定蒸着温度よ
り低い温度で結合して、前記加工物上の凝縮可能のエッ
チング防止性ポリマーとなり得る非エッチング種とにプ
ラズマとして解離するガスが導入され、前記反応装置
は、エッチング種を掃去する材料を構成材料とする内壁
を備え、内壁温度を蒸着温度より高く維持するための手
段が具備されている。壁温を蒸着温度より高くすると、
熱い石英面のエッチング速度が高まり、これにより、フ
ッ素が掃去され、かつ、無酸化物下層よりも酸化膜への
エッチング選択性が高まる。
【0010】
【実施例】石英製側壁におけるポリマーの蒸着、気化に
まつわる問題は、プラズマからの熱流量より多い能力を
有する冷却装置と、側壁温度を制御し、かつ、冷却装置
より多い能力を有する加熱装置とを備える熱制御システ
ムにより、いかなる生産性の低下もなく、かつ、いかな
るチャンバ内材料の無駄もなく、解消される。側壁加熱
装置を調節して、反応装置非稼働時は常に、石英製側壁
の温度を170℃というポリマー凝縮温度より高く維持
する。プラズマに点火するときの、石英製の壁の内面で
の温度上昇を最小にするためには、プラズマ点火直後
に、加熱装置による石英製側壁の加熱を停止する。石英
側壁温度の調節においては、加熱装置は、石英側壁に取
り付けられた温度センサーに応答するフィードバック制
御ループを用いる。簡素化するためには、温度センサー
を石英製側壁の外面に取り付け、加熱装置により、側壁
の内面と外面との間に所定の温度勾配を維持する。
【0011】図2を参照して説明すると、前記欧州特許
文献に記載の一般タイプの誘導結合プラズマ反応装置
は、円筒形の石英製側壁20で封じられた減圧チャンバ
10と、格納式環状ホルダー40でシリコンウエハ35
がその上に保持されるカソードアセンブリを有する底部
25とを備える。天井45は、温度制御用熱源55に接
続している直上加熱エレメント50で加熱される結晶シ
リコンである。図3に示されるように、円筒形のアルミ
製頭壁60が、石英製側壁20の上の0.025cm厚
ポリアミドパッド61上に載置され、その内部を冷却材
がポンプ67により水ジャケット66を通過して循環し
ている直上の円板状冷却エレメント65を支持する。こ
れにより、アルミ製の円筒形頭壁60を通じて石英製側
壁20が冷却される。
【0012】螺旋形の円筒形アンテナコイル70が円筒
形の石英製側壁20を包囲し、チャンバ10内のプラズ
マに誘導結合エネルギーを供給するRFエネルギー源7
5に接続している。Al2 3 やSi2 4 の様なセラ
ミック製の円筒形カバー77がアンテナコイル70を囲
む。カソードアセンブリ30は、ウエハ35にバイアス
エネルギーを供給するRFバイアス源80に接続する。
【0013】仕切弁/真空ポンプアセンブリ90が、チ
ャンバ胴部95の開口を通じてチャンバ10からガスを
抜き取って、圧力制御装置100によって決定されるチ
ャンバ10内減圧度を維持する。ガス供給路105よ
り、チャンバ10内へC2 6のような気体を給送す
る。
【0014】石英製側壁20の内面20aの温度を17
0℃より優に高く維持するために、図3に最も分かり易
く示されている加熱エレメント120を、セラミックカ
バー77の内部の、石英製側壁20の底部近くに設置
し、図2に示される電源125に接続する。加熱エレメ
ント120は、米国ミズーリ州セントルイス、ラックラ
ンドロード12001(12001 Lackland
Road、St.Louis、Missouri)の
ワトロウ社(Watlow、Inc)製のケーブルヒー
ターが好ましい。チャンバ10が20.3cm(8イン
チ)ウエハを保持するのに十分な大きさならば、ケーブ
ルヒーターは約114cm(45インチ)長とし、円形
に巻き、その外装を電気的に接地する。このケーブルヒ
ーターは208ボルト、1100ワットの印加により操
作することが好ましい。プラズマへの電磁妨害を避ける
ためには、ケーブルヒーター2の両端を接触させず、約
2.5cm隔てることが好ましい。別法として、二番目
の加熱エレメント即ちケーブルヒーター140を石英製
側壁20の頭部近くに設置することができ、この場合
は、各ケーブルヒーター120、140を550ワット
で操作する。
【0015】石英製側壁20の正確な温度制御を達成す
るためには、石英側壁20を囲むセラミック製カバー7
7の外面上に取り付けられた熱電対190のような温度
センサーを、温度コントローラー130の入力側に接続
する。典型的には、石英製側壁20の内面とセラミック
製カバー77の外面との間には、約30〜40℃の温度
勾配が存在する。コントローラー130をプログラム制
御して、システム非稼働時のセラミック製カバー77の
外面を約200℃に維持する。プラズマが点火される
と、熱は石英製側壁20に伝達され、それを経、小空隙
77a(典型的には、0.0075cm)を経由し、セ
ラミック製カバー77に達する。好ましい態様では、プ
ラズマがチャンバ10内に存在する間は(熱源125か
らの遮断によって)ヒーター120を止める。これによ
りセラミック製カバー77の温度がわずかに降下し、石
英製側壁20の内面の温度の上昇が最小になる。好まし
い一態様において、温度コントローラー130は、当業
者により容易にプログラム制御される通常のPID(比
例積分微分)コントローラーである。プラズマをチャン
バ内で点火するときは常に、ケーブルヒーター120
(及び140)への電力がオフとなり、プラズマの消滅
がRF発生器75からの制御ライン191を経由して感
知されたら即座にケーブルヒーター120(及び14
0)への電力がオンとなるようにPIDコントローラー
130をプログラム制御することが好ましい。
【0016】石英製側壁20の安定温度制御に必要な条
件は、チャンバ10内のプラズマからの石英製側壁20
を通じての熱流量が冷却材サーキュレーター67により
提供される冷却からの熱流量より少なく、後者の熱流量
が加熱エレメント120からの熱流量より少なくなくて
はならないことである。石英製側壁20の内面とセラミ
ック製カバー77の外面との間の熱流量は、両者の間の
空隙77aを通じての伝導により定まる。空隙77a
は、好ましい一態様では、約0.02ワット/メートル
・℃の熱伝導率を示し、石英製側壁20とセラミック製
カバー77の熱伝導率はそれぞれ、1.5、20ワット
/メートル・℃である。石英製側壁20と冷却用頭部6
5とからの熱流量は、セラミックカバー77の頭部と冷
却用頭部65との間の0.025cm厚ポリアミドパッ
ド61を通じての伝導により定まる。石英製側壁20は
冷却装置65から物理的に離れているので、チャンバ1
0内でプラズマが発生している時は常に熱源125をオ
フにして温度上昇を回避するか、石英製側壁20の内面
で10℃から20℃に軽減する。
【0017】前述通り、シリコン製天井45の温度が、
シリコン原子がそこからチャンバ10内のプラズマ中に
放出される速度を定め、それ故、炭素対フッ素含量比に
影響する。従って、60重量%を超えるポリマー炭素含
量を提供する炭素/フッ素プラズマ比に対応する温度に
シリコン製天井を維持する。天井45のかかる温度制御
は、温度センサー即ち、シリコン製天井45に取り付け
られた熱電対200から受信される信号により天井熱源
55を支配する通常のPIDコントローラー210によ
って達成される。PIDコントローラー210は、当業
者により容易にプログラム制御され、ウエハ35上の非
酸化物(例えば、ポリシリコンや窒化シリコン)面の上
に形成されるポリマーの所定の炭素含量に必要な温度に
シリコン製天井を維持する。シリコン製天井45への熱
伝導は、空隙77aと同様な、ヒーター50と天井45
との間の適当な空隙220によって定まる。シリコン製
天井45の安定温度制御に必要な条件は、チャンバ10
内のプラズマからの天井45を通じての熱流量が冷却材
サーキュレーター67により提供される冷却からの熱流
量より少なく、後者の熱流量が加熱エレメント50から
の熱流量より少なくなくてはならないことである。従っ
て、好ましい一態様において、ヒーター50は4500
ワットを提供し、一方、冷却装置65から2500ワッ
トの冷却が天井に対して有効である。チャンバ10内の
プラズマは天井にわずかに1500ワットの熱量を発生
するのみであり、それ故、PIDコントローラー210
を備える温度制御ループは常に、例えプラズマがチャン
バ10内で点火されていても、シリコン製天井の温度を
調節可能である。
【0018】実施例 一実施例において、誘導結合RF源75は2MHzで2
800ワットを発生し、バイアスRF源80は1.8M
Hzで1400ワットを発生し、熱源55を、シリコン
製天井45の温度を260℃に維持するように設定し、
熱源125を、石英製の壁の内面の温度を200℃に維
持するように設定し、そして、ガス供給路を通じてのC
2 6 の流量は、(仕切弁/真空ポンプアセンブリ90
に至るまでの部分を含めて)17リットル容のチャンバ
において、5ミリトルのチャンバ圧力で、35標準立方
センチメートル/分であった。
【0019】一般に、RF源75のソースRF電力は約
2MHzで2000〜3000ワットの範囲内にあり、
RF源80のバイアスRF電力は1.8MHzで500
〜1500ワットの範囲内にあり(ウエハのサイズに左
右される)、シリコン製天盤の温度は、200〜300
℃の範囲にあり、石英製側壁の内面温度は、170〜2
30℃の範囲内にあり、C2 6 カ゛スの流量は20〜
50標準立方センチメートル/分であり、チャンバ圧力
は1〜10ミリトルである。
【0020】石英製の壁20の温度が210℃から22
5℃に変動すると、ポリシリコンに対する酸化物のエッ
チング選択比は約15から19に上昇し、酸化物エッチ
ング速度は約10%低下する。石英製の壁20の温度が
上昇すると、下地ポリシリコン層上の、炭素に富み、そ
れ故フッ素抵抗性であるポリマー皮膜のために多くのシ
リコン原子がフッ素の掃去に供給され、上に重なる酸化
物のエッチングのためのフッ素が少なくなる。
【0021】図4は、本発明により達成される温度安定
性を示すグラフであり、図3で各々1、2、3と番号が
付されている、石英製側壁上の3点における、時間の関
数としての温度を示している。石英製側壁の温度は、反
応装置非稼働中(図4のグラフにおける最初の60秒
間)は約216℃近辺にあり、処理すべき新たな各ウエ
ハに対してプラズマを再点火すると変動する。データ
は、処理すべき最初の6つのウエハに対する、プラズマ
を伴わない装填時間45秒とプラズマ処理時間90秒と
からなる一周期を反映している。その後、ウエハカセッ
トを交換し、或いはチャンバを清浄にする間の長い非稼
働時間が存在する。図5は、図3の装置における種々の
熱伝導経路を示す。
【0022】
【発明の効果】本発明は、以上説明したように構成され
ているので、壁温を高くしてエッチング速度を高めるこ
とにより、フッ素を掃去し、かつ、無酸化物下層よりも
酸化膜へのエッチング選択性を高めることができる。
【図面の簡単な説明】
【図1】本発明の発見に先立つ従来タイプの誘導結合プ
ラズマ反応装置における、時間の関数としての側壁温度
を示すグラフ。
【図2】本発明を具体化している誘導結合プラズマ反応
装置の略図。
【図3】図2の反応装置の一部の詳細図。
【図4】図3に示す異なる3カ所における、時間の関数
としての温度のグラフ。
【図5】図3の装置における熱伝導路を示す図。
【符号の説明】
10…チャンバ、20…反応装置内壁、25…反応装置底部、
30…カソードアセンブリ、35…ウエハ、40…格納式環状
ホルダー、45…天井、50…加熱エレメント、55…熱源、
60…円筒形頭壁、65…冷却エレメント、70…アンテナコ
イル、75…誘導結合RF源、80…バイアスRF源、90…
仕切弁/真空ポンプアセンブリ、95…チャンバ胴部、10
0 …圧力制御装置、120 …ケーブルヒーター、125 …熱
源、210…PIDコントローラー。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジェフリー マークス アメリカ合衆国, カリフォルニア州 95129, サン ノゼ, シエロ ヴィス タ 4730 (72)発明者 デイビッド ダブリュー.グローシェル アメリカ合衆国, カリフォルニア州 94022, ロス アルトス ヒルズ, ヴ ィア ヴェンタナ 27985 (72)発明者 ニコラス ジェイ. ブライト アメリカ合衆国, カリフォルニア州 95070, サラトガ, カークブルック ドライヴ 12133

Claims (36)

    【特許請求の範囲】
  1. 【請求項1】 プラズマエッチング反応装置の操作方法
    において、 反応装置内の加工物上の酸化膜をエッチングするエッチ
    ング種と、このエッチング種と特定蒸着温度より低い温
    度で結合して、前記加工物上の凝縮可能のエッチング防
    止性ポリマーとなり得る非エッチング種とにプラズマと
    して解離するガスを前記反応装置に導入する工程と、 前記エッチング種を掃去する(scavenges) 材料を含む内
    壁を提供する工程と、 前記内壁の温度を前記蒸着温度より高く維持する工程
    と、を備える方法。
  2. 【請求項2】 前記維持する工程が、 加熱エレメントを内壁近くに提供すること、 前記内壁の温度を蒸着温度より所定の差だけ高く維持す
    るのに充分な電力を加熱エレメントに印加すること、 前記反応装置内でプラズマが点火されるときは常に、前
    記加熱エレメントへの電力の印加を断つこと、を備える
    請求項1記載の方法。
  3. 【請求項3】 前記充分な電力が、前記内壁近くの部分
    で測定された温度と、その部分の所定の温度との差の関
    数である、請求項2記載の方法。
  4. 【請求項4】 前記部分が、前記内壁に隣接した外面で
    ある、請求項3記載の方法。
  5. 【請求項5】 前記反応装置がその内部に更に、エッチ
    ング種を掃去する材料を含む物品(article) を備え、 プラズマ中の非エッチング種とエッチング種との所望含
    量比に対応した温度に前記物品の温度を維持する工程を
    備える、請求項2記載の方法。
  6. 【請求項6】 プラズマにおける前記所望含量比が、前
    記プラズマ中のエッチング種による腐食(attack)に対し
    てポリマーが抵抗性となる、前記ポリマー中の非エッチ
    ング種の含量比に対応している、請求項5記載の方法。
  7. 【請求項7】 エッチング種がフッ素を含み、前記非エ
    ッチング種が炭素を含み、前記ガスが炭素−フッ素ガス
    を含む、請求項6記載の方法。
  8. 【請求項8】 前記内壁が石英を含み、前記掃去用物品
    がシリコンを含む、請求項7記載の方法。
  9. 【請求項9】 前記反応装置が、この反応装置のチャン
    バを囲む円筒螺旋形のRFアンテナを有する誘導結合反
    応装置を備え、前記内壁が前記アンテナを支える円筒形
    の石英製側壁を備える、請求項1記載の方法。
  10. 【請求項10】 前記内壁の温度を維持する工程が更
    に、 反応装置内に冷却エレメントを提供すること、 前記内壁と前記冷却エレメントとの間に、前記プラズマ
    から前記内壁への熱流量より多い第一の熱流量を有する
    冷却用熱経路を提供すること、 前記内壁と加熱エレメントとの間に、前記第一熱流量よ
    り多い熱流量を有する加熱用熱経路を提供すること、を
    備える、請求項5記載の方法。
  11. 【請求項11】 前記冷却用熱経路を提供する工程が、
    この冷却用熱経路中に第一の耐熱性エレメントを設置す
    ることにより前記冷却用熱経路の熱流量を設定すること
    を備える、請求項10記載の方法。
  12. 【請求項12】 前記第一の耐熱性エレメントがポリア
    ミド系材料を含む、請求項11記載の方法。
  13. 【請求項13】 前記加熱用熱経路を提供する工程が、
    この加熱用熱経路中に他の、第二の耐熱性エレメントを
    設置することにより前記加熱用熱経路の熱流量を設定す
    ることを備える、請求項11記載の方法。
  14. 【請求項14】 前記他の耐熱性エレメントが薄い空隙
    を備える、請求項13記載の方法。
  15. 【請求項15】 前記物品の温度を維持する工程が、 前記物品への物品加熱用熱経路を有する物品加熱エレメ
    ントを提供すること、 前記物品と前記冷却エレメントとの間に物品冷却用熱経
    路を提供すること、を備える、請求項13記載の方法。
  16. 【請求項16】 前記物品加熱エレメントを提供する工
    程が、前記物品加熱用熱経路中に熱流耐性エレメントを
    提供することにより前記物品加熱用熱経路の熱流量を設
    定することを備える、請求項15記載の方法。
  17. 【請求項17】 前記製品加熱用経路中の前記熱流耐性
    エレメントが薄い空隙を備える、請求項16記載の方
    法。
  18. 【請求項18】 反応装置内の加工物上の酸化膜をエッ
    チングするエッチング種と、このエッチング種と特定蒸
    着温度より低い温度で結合して、前記加工物上の凝縮可
    能のエッチング防止性ポリマーとなり得る非エッチング
    種とにプラズマとして解離するガスが導入される減圧チ
    ャンバを有するプラズマエッチング反応装置において、 前記エッチング種を掃去する材料を構成材料とする、前
    記チャンバの内壁と、 前記内壁の温度を前記蒸着温度より高く維持する手段、
    とを備える反応装置。
  19. 【請求項19】 前記温度維持手段が、 内壁近くの加熱エレメントと、 前記内壁の温度を蒸着温度より所定の差だけ高く維持す
    るのに充分な電力を加熱エレメントに印加するための制
    御手段、とを備える、請求項18記載の反応装置。
  20. 【請求項20】 前記反応装置内でプラズマが点火され
    るときは常に、制御手段に停止信号を送る手段を備える
    請求項19記載の反応装置。
  21. 【請求項21】 前記制御手段が、 前記内壁近くの部分の温度を感知するためのセンサー手
    段と、 前記センサー手段により感知される温度と前記部分の所
    定の温度との差に比例した充分な電力を決定する手段と
    を備える、請求項19記載の反応装置。
  22. 【請求項22】 前記部分が、前記内壁に隣接した外面
    である、請求項21記載の反応装置。
  23. 【請求項23】 前記エッチング種を掃去する材料を含
    む、前記反応装置のチャンバの天井を備える物品と、 前記プラズマ中の前記非エッチング種と前記エッチング
    種との所望含量比に対応した温度に前記物品の温度を維
    持するための制御手段とを更に備える請求項19記載の
    反応装置。
  24. 【請求項24】 前記プラズマにおける所望含量比が、
    このプラズマ中のエッチング種による腐食に対してポリ
    マーが抵抗性となる、前記ポリマー中の非エッチング種
    の含量比に対応している、請求項23記載の反応装置。
  25. 【請求項25】 前記エッチング種がフッ素を含み、前
    記非エッチング種が炭素を含み、前記ガスが炭素−フッ
    素ガスを含む、請求項24記載の反応装置。
  26. 【請求項26】 内壁が石英を含み、前記掃去用物品が
    シリコンを含む、請求項25記載の反応装置。
  27. 【請求項27】 前記反応装置が、この反応装置のチャ
    ンバを囲む円筒螺旋形のRFアンテナを有する誘導結合
    反応装置を備え、前記内壁が前記アンテナを支える円筒
    形の石英製側壁を備える、請求項18記載の反応装置。
  28. 【請求項28】 前記加熱エレメントが、前記円筒形の
    石英製側壁に隣接してそれを囲む環状電熱線を備える、
    請求項27記載の反応装置。
  29. 【請求項29】 前記内壁の温度を維持する手段が更
    に、 天井の上に重なる冷却エレメントと、 前記内壁と前記冷却エレメントとの間の、プラズマから
    前記内壁への熱流量より多い第一の熱流量を有する冷却
    用熱経路と、 前記内壁と加熱エレメントとの間の、前記第一熱流量よ
    り多い熱流量を有する加熱用熱経路とを備える、請求項
    23記載の反応装置。
  30. 【請求項30】 冷却用熱経路中に第一の耐熱性エレメ
    ントを更に備える請求項29記載の反応装置。
  31. 【請求項31】 前記第一の耐熱性エレメントがポリア
    ミド系材料を含む、請求項30記載の反応装置。
  32. 【請求項32】 前記加熱用熱経路中に別の耐熱エレメ
    ントを更に備える請求項30記載の反応装置。
  33. 【請求項33】 前記他の耐熱性エレメントが薄い空隙
    を備える、請求項32記載の反応装置。
  34. 【請求項34】 前記物品の温度を制御する手段が、 前記物品と前記冷却エレメントとの間の、前記プラズマ
    から前記物品への熱流量より多い熱流量を有する物品冷
    却用熱経路と、 前記物品冷却用経路の熱流量より多い熱流量を有する、
    前記物品への物品加熱用熱経路を有する物品加熱エレメ
    ント、とを備える、請求項32記載の反応装置。
  35. 【請求項35】 前記物品加熱用熱経路中に熱流耐性エ
    レメントを更に備える請求項34記載の反応装置。
  36. 【請求項36】 前記製品加熱用経路中の熱流耐性エレ
    メントが薄い空隙を備える、請求項35記載の反応装
    置。
JP24624094A 1993-10-15 1994-10-12 被加熱掃去面を備えるプラズマエッチング装置 Expired - Fee Related JP3426040B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/138,060 US5477975A (en) 1993-10-15 1993-10-15 Plasma etch apparatus with heated scavenging surfaces
US08/138060 1993-10-15

Publications (2)

Publication Number Publication Date
JPH07183283A true JPH07183283A (ja) 1995-07-21
JP3426040B2 JP3426040B2 (ja) 2003-07-14

Family

ID=22480254

Family Applications (1)

Application Number Title Priority Date Filing Date
JP24624094A Expired - Fee Related JP3426040B2 (ja) 1993-10-15 1994-10-12 被加熱掃去面を備えるプラズマエッチング装置

Country Status (4)

Country Link
US (3) US5477975A (ja)
EP (1) EP0650182A1 (ja)
JP (1) JP3426040B2 (ja)
KR (1) KR950012615A (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998042012A1 (fr) * 1997-03-17 1998-09-24 Matsushita Electric Industrial Co., Ltd. Procede et dispositif permettant le traitement de plasma
JP2000511701A (ja) * 1996-06-05 2000-09-05 ラム リサーチ コーポレイション プラズマ処理チャンバの温度制御方法および装置
US11519071B2 (en) 2019-02-12 2022-12-06 Applied Materials, Inc. Method for fabricating chamber parts

Families Citing this family (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6068784A (en) * 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
US6251792B1 (en) 1990-07-31 2001-06-26 Applied Materials, Inc. Plasma etch processes
US20020004309A1 (en) * 1990-07-31 2002-01-10 Kenneth S. Collins Processes used in an inductively coupled plasma reactor
US20010054601A1 (en) 1996-05-13 2001-12-27 Jian Ding Low ceiling temperature process for a plasma reactor with heated source of a polymer-hardening precursor material
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US6518195B1 (en) 1991-06-27 2003-02-11 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US6171974B1 (en) * 1991-06-27 2001-01-09 Applied Materials, Inc. High selectivity oxide etch process for integrated circuit structures
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US5880036A (en) * 1992-06-15 1999-03-09 Micron Technology, Inc. Method for enhancing oxide to nitride selectivity through the use of independent heat control
TW297135B (ja) * 1995-03-20 1997-02-01 Hitachi Ltd
US5589041A (en) * 1995-06-07 1996-12-31 Sony Corporation Plasma sputter etching system with reduced particle contamination
KR0171733B1 (ko) * 1995-08-28 1999-03-30 김주용 반도체 소자의 콘택홀 형성 방법
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US5925212A (en) * 1995-09-05 1999-07-20 Applied Materials, Inc. Apparatus and method for attaining repeatable temperature versus time profiles for plasma heated interactive parts used in mass production plasma processing
JP2737720B2 (ja) * 1995-10-12 1998-04-08 日本電気株式会社 薄膜形成方法及び装置
US6231776B1 (en) 1995-12-04 2001-05-15 Daniel L. Flamm Multi-temperature processing
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
EP0795626A1 (en) * 1996-02-26 1997-09-17 Applied Materials, Inc. Method for suppressing detrimental effects of conductive deposits on interior surfaces of a plasma reactor
JP2943691B2 (ja) * 1996-04-25 1999-08-30 日本電気株式会社 プラズマ処理装置
TW487983B (en) * 1996-04-26 2002-05-21 Hitachi Ltd Manufacturing method for semiconductor device
JP3050124B2 (ja) * 1996-05-27 2000-06-12 住友金属工業株式会社 プラズマ処理装置
US6500314B1 (en) * 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US5904778A (en) * 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5835334A (en) * 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition
US6308654B1 (en) 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
TW386250B (en) * 1997-04-04 2000-04-01 Applied Materials Inc Method and apparatus for reducing the first wafer effect
US6670265B2 (en) * 1997-05-12 2003-12-30 Advanced Micro Devices, Inc. Low K dielectic etch in high density plasma etcher
GB9711273D0 (en) 1997-06-03 1997-07-30 Trikon Equip Ltd Electrostatic chucks
US5965463A (en) * 1997-07-03 1999-10-12 Applied Materials, Inc. Silane etching process
US6176978B1 (en) 1997-08-18 2001-01-23 Applied Materials, Inc. Pasting layer formation method for high density plasma deposition chambers
JP2001514444A (ja) 1997-08-26 2001-09-11 アプライド マテリアルズ インコーポレイテッド プラズマ処理チャンバへ安定した電力を送ることができる装置及び方法
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6183655B1 (en) 1997-09-19 2001-02-06 Applied Materials, Inc. Tunable process for selectively etching oxide using fluoropropylene and a hydrofluorocarbon
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
US6296780B1 (en) 1997-12-08 2001-10-02 Applied Materials Inc. System and method for etching organic anti-reflective coating from a substrate
DE69723566T2 (de) * 1997-12-17 2004-06-03 Tokyo Electron Ltd. Verfahren zur Behandlung eines Halbleitersubstrates
US6602434B1 (en) * 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6174451B1 (en) 1998-03-27 2001-01-16 Applied Materials, Inc. Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
US6635569B1 (en) * 1998-04-20 2003-10-21 Tokyo Electron Limited Method of passivating and stabilizing a Ti-PECVD process chamber and combined Ti-PECVD/TiN-CVD processing method and apparatus
JP2003523072A (ja) * 1998-07-29 2003-07-29 アプライド マテリアルズ インコーポレイテッド プラズマ処理チャンバ内への安定な電力伝達を可能にする方法
US6440220B1 (en) * 1998-10-23 2002-08-27 Goodrich Corporation Method and apparatus for inhibiting infiltration of a reactive gas into porous refractory insulation
JP2000164565A (ja) * 1998-11-26 2000-06-16 Sony Corp 半導体製造装置
US6579805B1 (en) * 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6267121B1 (en) * 1999-02-11 2001-07-31 Taiwan Semiconductor Manufacturing Company Process to season and determine condition of a high density plasma etcher
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6849193B2 (en) * 1999-03-25 2005-02-01 Hoiman Hung Highly selective process for etching oxide over nitride using hexafluorobutadiene
US20020033183A1 (en) * 1999-05-29 2002-03-21 Sheng Sun Method and apparatus for enhanced chamber cleaning
KR20010081782A (ko) * 2000-02-18 2001-08-29 이진섭 전통 조선된장의 제조방법
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US6782337B2 (en) 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US7196782B2 (en) 2000-09-20 2007-03-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thin film characteristic and an electrical property of a specimen
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
JP4770029B2 (ja) * 2001-01-22 2011-09-07 株式会社Ihi プラズマcvd装置及び太陽電池の製造方法
US6564811B2 (en) * 2001-03-26 2003-05-20 Intel Corporation Method of reducing residue deposition onto ash chamber base surfaces
WO2003012567A1 (en) * 2001-07-30 2003-02-13 Tokyo Electron Limited Plasma chamber wall segment temperature control
US6899785B2 (en) * 2001-11-05 2005-05-31 International Business Machines Corporation Method of stabilizing oxide etch and chamber performance using seasoning
US7204913B1 (en) * 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
US7877161B2 (en) * 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7375035B2 (en) * 2003-04-29 2008-05-20 Ronal Systems Corporation Host and ancillary tool interface methodology for distributed processing
US7429714B2 (en) 2003-06-20 2008-09-30 Ronal Systems Corporation Modular ICP torch assembly
US20070189953A1 (en) * 2004-01-30 2007-08-16 Centre National De La Recherche Scientifique (Cnrs) Method for obtaining carbon nanotubes on supports and composites comprising same
WO2005092025A2 (en) * 2004-03-22 2005-10-06 Kla-Tencor Technologies Corp. Methods and systems for measuring a characteristic of a substrate or preparing a substrate for analysis
US20060081185A1 (en) * 2004-10-15 2006-04-20 Justin Mauck Thermal management of dielectric components in a plasma discharge device
US20090053833A1 (en) * 2005-01-05 2009-02-26 Ulvac, Inc. Method of Manufacturing Magnetic Multi-layered Film
US7148073B1 (en) 2005-03-15 2006-12-12 Kla-Tencor Technologies Corp. Methods and systems for preparing a copper containing substrate for analysis
US7394067B1 (en) 2005-07-20 2008-07-01 Kla-Tencor Technologies Corp. Systems and methods for reducing alteration of a specimen during analysis for charged particle based and other measurement systems
KR100711917B1 (ko) * 2005-12-28 2007-04-27 동부일렉트로닉스 주식회사 플라즈마 반응기의 구동 방법
US8591755B2 (en) * 2010-09-15 2013-11-26 Lam Research Corporation Methods for controlling plasma constituent flux and deposition during semiconductor fabrication and apparatus for implementing the same
JP2014056987A (ja) * 2012-09-13 2014-03-27 Tokyo Electron Ltd プラズマ処理装置
JP6218650B2 (ja) * 2014-03-11 2017-10-25 東京エレクトロン株式会社 プラズマ処理装置
TWI728197B (zh) 2016-10-24 2021-05-21 美商克萊譚克公司 整合至一計量及/或檢測工具中之製程模組
CN113130285B (zh) * 2019-12-31 2022-04-15 江苏鲁汶仪器有限公司 一种陶瓷进气接射频清洗装置
KR20220040804A (ko) 2020-09-24 2022-03-31 삼성전자주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
CN113637953B (zh) * 2021-08-06 2023-09-01 苏州步科斯新材料科技有限公司 一种快速冷却的碳化硅涂层沉积装置及使用方法

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1550853A (en) * 1975-10-06 1979-08-22 Hitachi Ltd Apparatus and process for plasma treatment
JPS55154582A (en) * 1979-05-21 1980-12-02 Chiyou Lsi Gijutsu Kenkyu Kumiai Gas plasma etching method
US4261762A (en) * 1979-09-14 1981-04-14 Eaton Corporation Method for conducting heat to or from an article being treated under vacuum
JPS6056431B2 (ja) * 1980-10-09 1985-12-10 三菱電機株式会社 プラズマエツチング装置
JPS57155732A (en) * 1981-03-20 1982-09-25 Sharp Corp Dry etching
US4350578A (en) * 1981-05-11 1982-09-21 International Business Machines Corporation Cathode for etching
US4427516A (en) * 1981-08-24 1984-01-24 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
EP0082015A1 (en) * 1981-12-16 1983-06-22 Konica Corporation Method of forming an image with a photographic cuprous halide material
US4512391A (en) * 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4457359A (en) * 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
JPS6191377A (ja) * 1984-10-12 1986-05-09 Anelva Corp 表面処理装置
JPH07118474B2 (ja) * 1984-12-17 1995-12-18 ソニー株式会社 エツチングガス及びこれを用いたエツチング方法
JPS61147531A (ja) * 1984-12-21 1986-07-05 Toshiba Corp 反応性イオンエツチング方法
US4870245A (en) * 1985-04-01 1989-09-26 Motorola, Inc. Plasma enhanced thermal treatment apparatus
EP0221164B1 (en) * 1985-05-03 1990-10-24 The Australian National University Method and apparatus for producing large volume magnetoplasmas
JPS6212129A (ja) * 1985-07-10 1987-01-21 Hitachi Ltd プラズマ処理装置
US4711698A (en) * 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
JPS62254428A (ja) * 1986-04-28 1987-11-06 Nippon Telegr & Teleph Corp <Ntt> 反応性スパツタエツチング方法と反応性スパツタエツチング装置
JPS639120A (ja) * 1986-06-30 1988-01-14 Canon Inc ドライエツチング用ウエハステ−ジ
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4756810A (en) * 1986-12-04 1988-07-12 Machine Technology, Inc. Deposition and planarizing methods and apparatus
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4793897A (en) * 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786359A (en) * 1987-06-24 1988-11-22 Tegal Corporation Xenon enhanced plasma etch
JPH0741153Y2 (ja) * 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
US5015330A (en) * 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5203956A (en) * 1990-01-08 1993-04-20 Lsi Logic Corporation Method for performing in-situ etch of a CVD chamber
US5085727A (en) * 1990-05-21 1992-02-04 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
US5258824A (en) * 1990-08-09 1993-11-02 Applied Materials, Inc. In-situ measurement of a thin film deposited on a wafer
US5169487A (en) * 1990-08-27 1992-12-08 Micron Technology, Inc. Anisotropic etch method
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
DE69224640T2 (de) * 1991-05-17 1998-10-01 Lam Res Corp VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT
US5392018A (en) * 1991-06-27 1995-02-21 Applied Materials, Inc. Electronically tuned matching networks using adjustable inductance elements and resonant tank circuits
JP2635267B2 (ja) * 1991-06-27 1997-07-30 アプライド マテリアルズ インコーポレイテッド Rfプラズマ処理装置
US5187454A (en) * 1992-01-23 1993-02-16 Applied Materials, Inc. Electronically tuned matching network using predictor-corrector control system
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US5164945A (en) * 1991-07-01 1992-11-17 Laser Centers Of America, Inc. Laser device with intermediate refraction index layer for reduced fresnel losses
US5249251A (en) * 1991-09-16 1993-09-28 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Optical fiber sensor having an active core
JP3221025B2 (ja) * 1991-12-19 2001-10-22 ソニー株式会社 プラズマプロセス装置
US5349313A (en) * 1992-01-23 1994-09-20 Applied Materials Inc. Variable RF power splitter
EP0552490A1 (en) * 1992-01-24 1993-07-28 Applied Materials, Inc. Process for etching an oxide layer over a nitride
US5423945A (en) * 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
EP0552491B1 (en) * 1992-01-24 1998-07-15 Applied Materials, Inc. Plasma etch process and plasma processing reactor
US5241245A (en) * 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5277751A (en) * 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
KR100281345B1 (ko) * 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5529657A (en) * 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
JPH07161702A (ja) * 1993-10-29 1995-06-23 Applied Materials Inc 酸化物のプラズマエッチング方法
US5414246A (en) * 1993-12-27 1995-05-09 Ford Motor Company Apparatus for scaleless induction heating
US5399237A (en) * 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
EP0680072B1 (en) * 1994-04-28 2003-10-08 Applied Materials, Inc. A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling
US5514246A (en) * 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US6045497A (en) * 1997-01-02 2000-04-04 Myocor, Inc. Heart wall tension reduction apparatus and method
DE10226885A1 (de) * 2002-06-17 2004-01-08 Herman/Becker Automotive Systems (Xsys Division) Gmbh Verfahren und Fahrerinformationssystem zum Darstellen eines ausgewählten Kartenausschnitts

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000511701A (ja) * 1996-06-05 2000-09-05 ラム リサーチ コーポレイション プラズマ処理チャンバの温度制御方法および装置
WO1998042012A1 (fr) * 1997-03-17 1998-09-24 Matsushita Electric Industrial Co., Ltd. Procede et dispositif permettant le traitement de plasma
US6177646B1 (en) 1997-03-17 2001-01-23 Matsushita Electric Industrial Co, Ltd. Method and device for plasma treatment
KR100372317B1 (ko) * 1997-03-17 2003-05-16 마쯔시다덴기산교 가부시키가이샤 플라즈마처리방법및장치
US11519071B2 (en) 2019-02-12 2022-12-06 Applied Materials, Inc. Method for fabricating chamber parts

Also Published As

Publication number Publication date
EP0650182A1 (en) 1995-04-26
JP3426040B2 (ja) 2003-07-14
KR950012615A (ko) 1995-05-16
US6083412A (en) 2000-07-04
US5477975A (en) 1995-12-26
US5770099A (en) 1998-06-23

Similar Documents

Publication Publication Date Title
JP3426040B2 (ja) 被加熱掃去面を備えるプラズマエッチング装置
US6079426A (en) Method and apparatus for determining the endpoint in a plasma cleaning process
US6030666A (en) Method for microwave plasma substrate heating
US6189484B1 (en) Plasma reactor having a helicon wave high density plasma source
US5637237A (en) Method for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US7048869B2 (en) Plasma processing apparatus and a plasma processing method
US6036877A (en) Plasma reactor with heated source of a polymer-hardening precursor material
US6174408B1 (en) Method and apparatus for dry etching
US6056850A (en) Apparatus for improving the performance of a temperature-sensitive etch process
EP0653775B1 (en) Microwave plasma processing apparatus and method
JPH10150021A (ja) ポリマー硬化前駆物質材料ソースを有するプラズマリアクタ
JPH09260474A (ja) 静電チャックおよびウエハステージ
JPH1171680A (ja) 基板処理装置と共に用いるための改良型遠隔マイクロ波プラズマソース用装置
JP2002529912A (ja) 化学気相堆積膜のその場での堆積後表面パッシベーション方法
JP2003518324A (ja) ポリマ硬化先駆材料の熱源を有するプラズマ・リアクタの低シーリング温度処理
JPH02121330A (ja) プラズマ処理方法及び装置
JPH09172001A (ja) 半導体製造装置の温度制御方法および装置
JP2009517852A (ja) ポリマーコーティングを備えたチャンバコンポーネント及びその製造方法
US5690050A (en) Plasma treating apparatus and plasma treating method
US6503410B1 (en) Method of modifying an RF circuit of a plasma chamber to increase chamber life and process capabilities
KR100586055B1 (ko) 반도체 웨이퍼 상의 피쳐의 임계 치수 성장을 최소화하는 방법
US6170492B1 (en) Cleaning process end point determination using throttle valve position
JPH10144655A (ja) ドライエッチング処理方法及びドライエッチング装置
JP3675065B2 (ja) ドライエッチング方法
US20070074816A1 (en) Etcher for trimming photoresist

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20030402

LAPS Cancellation because of no payment of annual fees