JP2002529912A - 化学気相堆積膜のその場での堆積後表面パッシベーション方法 - Google Patents

化学気相堆積膜のその場での堆積後表面パッシベーション方法

Info

Publication number
JP2002529912A
JP2002529912A JP2000580240A JP2000580240A JP2002529912A JP 2002529912 A JP2002529912 A JP 2002529912A JP 2000580240 A JP2000580240 A JP 2000580240A JP 2000580240 A JP2000580240 A JP 2000580240A JP 2002529912 A JP2002529912 A JP 2002529912A
Authority
JP
Japan
Prior art keywords
chamber
plasma
flow
gas
titanium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000580240A
Other languages
English (en)
Inventor
メイ チャン,
ラマヌヤプラム, エー. スリニヴァス,
リ ウー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002529912A publication Critical patent/JP2002529912A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 チタン層を被覆するために反応チャンバにおいて基板上に堆積されたチタン層をパッシベーションすることにより、堆積プロセスの副生成物や周囲酸素や同様の反応物質による汚染の可能性を低減させる方法である。この方法は、水素の流れと窒素の流れをチャンバに加えるステップを含む。水素と窒素の流れは、約800sccmであり、それぞれ約10から30秒間続く。この方法は、約10秒間チャンバに窒素プラズマを形成するステップをさらに含み、この場合、水素および窒素の流れはそれぞれ約8秒間続く。プラズマは、RF電力をチャンバ内に位置する電極に印加するか、またはリモートプラズマ源により形成されて、リアクタチャンバに送られる。また、パッシベーション層は、同じRF電力レベルで約10から30秒間窒素プラズマのみを用いて形成されてよい。いずれの場合も、プラズマは水素とアルゴンをさらに含んでよく、チタン層はCVDにより堆積されたものである。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】
(関連出願のクロスリファレンス) 本願は、1997年12月2日に出願された米国特許出願第08/982,8
72号の一部継続出願である。
【0002】 本発明は、集積回路の製造および半導体基板上での膜層の堆積に関する。さら
に詳しくは、本発明は、シート抵抗の均一性が高く、コンタクトでのボトムカバ
レッジが優れたチタン層を堆積および処理するための改良された化学気相堆積方
法および装置に関する。
【0003】
【発明の背景】
最新の半導体デバイスを製造する際の主要なステップの1つに、誘電体層およ
び金属層を含むさまざまな層を半導体基板上に形成するステップがある。公知の
ように、これらの層は、さまざまな方法の中でも、特に、化学気相堆積(CVD
)または物理気相堆積(PVD)により堆積され得る。従来の熱CVDプロセス
では、反応ガスが、所望のフィルムを製造するために起こる、化学反応を熱誘導
する基板表面に供給される。従来の熱CVDプロセスでは、反応種を分解および
/または励起するために制御されたプラズマが形成されて、所望の膜を生成する
。一般に、熱およびプラズマプロセスでの反応速度の制御は、以下の1つ以上の
ものを制御することにより行われてよい。すなわち、温度、圧力、プラズマ密度
、反応ガス流量、電力周波数、電力レベル、チャンバの形状などである。
【0004】 これらの高集積度デバイス製造への厳しい要求が急速に高まり、従来の基板処
理システムではこれらの要求を満たすには不十分となりつつある。さらに、デバ
イス設計の進化に伴い、これらのデバイスの実行に必要な性能を備えた膜を堆積
するのに使用される基板処理システムにおいて、より高度な能力が必要とされる
。例えば、集積回路製造プロセスにおいて、チタンの使用を取り入れることが多
くなっている。チタンは、半導体デバイスでの使用に適した多数の望ましい特性
を備える。チタンは、例えば、金のボンディングパッドと半導体との間の拡散バ
リヤとして作用して、1つの原子種が次へとマイグレーションするのを防ぐこと
ができる。また、シリコンとアルミニウム間などの2つの層間の接着性を高める
ために、チタンが使用されてよい。さらに、チタンを使用して、シリコンと合金
された場合にケイ化チタン(TiSix)を形成することにより、例えば、オー
ム接触を形成することができる。このようなチタン膜を堆積するために使用され
る1つの一般的なタイプの堆積システムは、チタンスパッタリングまたは物理気
相成長(PVD)システムである。しかしながら、このようなスパッタリングシ
ステムでは、処理および製造要求がより高度なデバイスを形成するには不十分で
あることが多い。特に、スパッタリングは、このようなデバイスにすでに堆積し
た層および構造にダメージを及ぼし、特性および/または歩留まりの問題を発生
する可能性がある。また、チタンスパッタリングシステムでは、スパッタリング
で生じるシャドーイング効果により高アスペクト比のギャップで均一なコンフォ
ーマル層を堆積することができない。
【0005】 スパッタリングシステムと対照的に、プラズマ強化形化学気相堆積(PECV
D)システムは、ギャップのアスペクト比が高い基板上にチタン膜を形成するの
により適している。公知のように、例えば、チャンバ圧力、温度、RF出力など
の条件が適切な状況の下で、堆積チャンバのプロセスガスに高周波(RF)エネ
ルギーなどのエネルギーをかけることにより、イオンとガス分子の混合物である
プラズマが形成されてよい。プラズマがしきい値密度に達すると、グロー放電の
形成(プラズマを「つける」または「点火する」とも呼ばれることが多い)とし
て公知である持続状態を形成する。このRFエネルギーは、プロセスガス中の分
子のエネルギー状態を上昇させ、分子からイオン種を形成する。通常、励起され
た分子とイオン種はともに、プロセスガスよりも反応性のものであるため、所望
の膜を形成しやすい。また、プラズマは、チタン膜の形成に伴い基板の表面全体
での反応種の移動度を高めることで、膜が優れたギャップ充填能力をもつように
なるという利点もある。
【0006】 チタン膜を堆積する1つの公知のCVD法には、標準的なPECVDプロセス
において、TiCl4ソースガスと水素(H2)反応ガスとを含むプロセスガスか
らプラズマを形成するステップがある。このようなTiCl4/H2のPECVD
プロセスにより、バイア充填の均一性および接触抵抗の特性が優れたチタン膜を
堆積することができ、多数の異なる商業的に入手可能な集積回路の製造において
使用するのに適した膜を製造することができる。しかしながら、高エネルギーお
よび高温でPECVDを行うため、堆積プロセス中にウェーハ表面にある炭素お
よび酸素などの汚染物質の反応速度が上がる。さらに、プロセスチャンバ間でウ
ェーハを移送しているさい、表面のチタンが周囲酸素と反応する(酸化する)可
能性がある。このように、堆積されるチタンは、堆積層の抵抗を変え(高め)、
そこから構成されるデバイスを欠陥または動作不能にする不純物を含む可能性が
ある。
【0007】 したがって、チタンなどの堆積層を処理し、製造プロセス中にそれらを汚染物
質から保護するための適切な方法が当業者に望まれている。
【0008】
【課題を解決するための手段】
本発明は、チタン膜用の改良されたCVD堆積処理プロセスを提供する。本発
明の方法によれば、反応チャンバにおいて基板上に堆積されたチタン層用のパッ
シベーション層が、水素の流れと窒素の流れをチャンバに加えることにより形成
される。水素と窒素の流れはそれぞれ、およそ800sccmであり、およそ1
0から30秒間続く。この方法は、およそ10秒間チャンバにおいて窒素プラズ
マを形成するステップをさらに含有してよく、この場合、水素と窒素の流れはそ
れぞれ、およそ8秒間続く。プラズマは、前記チャンバ内に設けられた電極にR
F電力をかけることにより、またはリモートプラズマ源により形成され、反応チ
ャンバに送られる。また、パッシベーション層は、同じRF電力レベルでおよそ
10から30秒間窒素プラズマのみを使用するだけで形成されてよい。いずれの
場合も、プラズマは、水素およびアルゴンをさらに備えるものであってよく、チ
タン層は、CVDにより堆積されたものである。
【0009】 さらに、チタン層が堆積された半導体ウェーハを処理するための反応チャンバ
と、反応チャンバの動作を制御するためのプロセッサを備える半導体ウェーハ処
理システムにおいて、プロセッサ読取り可能媒体が、プロセッサが実行されると
、半導体ウェーハが存在する反応チャンバに、窒素の流れと水素の流れを加える
ことにより、反応チャンバにチタン層をパッシベーションさせるプログラムを含
む。プロセッサ読取り可能媒体は、プロセッサが実行されると、半導体ウェーハ
が存在する反応チャンバに窒素プラズマを形成することにより、反応チャンバに
チタン層をパッシベーションさせるプログラムをさらに含み、この場合、窒素と
水素の流れは、およそ10から30秒間続く。
【0010】 本発明の方法によれば、例えば、窒化チタン層などのチタン層上に、パッシベ
ーション層が形成される。パッシベーション層でチタンを被覆することにより、
結果的に得られる堆積膜の安定性を損ない変更する可能性がある堆積プロセスの
副生成物または周囲酸素または同様の反応物質による汚染の可能性を低減させる
【0011】 添付の図面を参照しながら以下の詳細な記載を考慮することにより、本発明の
教示が容易に理解されよう。
【0012】 理解しやすいように、可能なかぎり、図面に共通する同一の要素を示すのに同
一の参照番号を使用する。
【0013】
【発明の実施の形態】
I.序論 本発明は、前処理プラズマステップでチタン膜が堆積される基板を前処理する
ことにより、改良されたチタン膜の堆積を可能にするものである。本発明者等の
発見によれば、酸化シリコン層などの誘電体層をエッチングしたコンタクト領域
において、半導体基板とオーム接触させるために使用される多層スタック(例え
ば、チタン/窒化チタンスタック)の一部として堆積チタン層が使用される場合
、このようなプラズマ前処理が特に有益となる。プラズマ前処理ステップは、基
板のコンタクト領域に残るあらゆる残留誘電体材料をエッチングし、チタン層を
堆積する前にコンタクト領域をクリーニングする。プラズマ前処理ステップが完
了すると、チタン層は、すでに形成されたプラズマを維持しながら、チタン含有
ソースガスを導入することにより堆積し得る。本発明の方法により堆積されるチ
タン層は、特徴サイズが0.35から0.11ミクロン以下の集積回路を製造す
る際の使用に適している。また、本発明は、容易に入手可能なガスを用いて従来
のデザインのCVDチャンバにチタン膜を堆積するために使用可能である。最後
に、チタンを堆積した後、堆積後パッシベーションステップが実行される。パッ
シベーションステップは、チタン上に保護層を形成して、堆積層の汚染を低減す
る。
【0014】 II.例示的CVDチャンバ 図1Aは、本発明によるチタン層が堆積される簡潔に示した平行板化学気相堆
積(CVD)システム10を示す。CVDシステム10は、ガスライン92Aか
らC(他のラインも存在する場合があるが図示せず)を介してガス分配システム
89からガスを受ける反応チャンバ30を含む。チャンバ内に所定の圧力を維持
するために真空システム88が使用され、気体副生成物および使用済みのガスを
チャンバから取り除く。電源5によりチャンバに電力が供給され、チタン堆積中
には堆積ガスから、チャンバクリーニング動作中にはチャンバクリーニングガス
からプラズマを形成する。本発明の好適な実施形態では、電源5は、約300K
hzから2.5Ghzの範囲であり、好ましくは約300から450Khzの高
周波数範囲でAC電力を供給可能である。熱交換システム6には、水や水とグリ
コールの混合物などの液状の熱移送媒体が用いられて、反応チャンバから熱を取
り除き、チャンバ部分を適切に低温に保つことで、安定したプロセス温度にチャ
ンバ温度を維持し、または必要に応じて、チャンバ部分を加熱する。制御ライン
3、3AからD(一部しか図示せず)を介してメモリ86に格納された命令に応
じて、プロセッサ85がチャンバの動作およびサブシステムを制御する。
【0015】 ガス分配システム89は、ガス供給パネル90と、特定の用途で使用される所
望のプロセスに応じて異なる気体または液体を含む気体または液体源91Aから
C(必要に応じてさらなる供給源が追加されてよい)を含む。液体源は、室温よ
りもかなり高い温度に維持されて、室温の変化による供給源温度のばらつきを最
小限に抑える。ガス供給パネル90は、供給源91AからCから堆積プロセスお
よびキャリヤガス(または気化液体)を受け取り、混合して供給ライン92Aか
らCを介してガス供給カバープレート45にある中央ガス入口44に送る混合シ
ステムを備える。液体源が加熱されると、チャンバ動作圧力よりも大きな圧力で
蒸気を出すか、またはHe、Ar、またはN2などのキャリヤガスが、液体(ま
たは高温液体)でバブリングされて蒸気を生成してよい。一般的に、プロセスガ
スのそれぞれの供給ラインには、プロセスガスの流れを自動的または手動で遮断
するのに使用可能な遮断弁(図示せず)と、供給ラインを通る気体または液体の
流れを測定するマスフローコントローラ(図示せず)が含まれる。誘導ガス(例
えば、オゾンやハロゲン化ガス)がプロセスで使用される場合、従来の構造にあ
る各供給ラインにいくつかの遮断弁が配置されてよい。例えば、四塩化チタン(
TiCl4)蒸気、水素(H2)、ヘリウム(He)、アルゴン(Ar)、および
窒素(N2)および/または他のドーパントまたは反応物質供給源を含む堆積お
よびキャリヤガスが反応チャンバ30に供給される速度は、液体または気体のマ
スフローコントローラ(MFC)(図示せず)により、および/または弁(図示
せず)により制御される。好適な実施形態において、ガス混合システム(図示せ
ず)が、反応性の液体(例えば、TiCl4)を蒸発させるために液体注入シス
テムを含む。液体注入システムは、バブラタイプの供給源と比較すると、ガス混
合システム内に導入される反応液体の量を良好に制御できるため好適である。蒸
発されたガスは、供給ラインに搬送される前に、ヘリウムなどのキャリヤガスと
ガスパネルで混合される。堆積供給源として他の化合物が使用されてよいことは
言うまでもない。
【0016】 熱交換システム6は、チャンバ30のさまざまな構成要素に冷却剤を流して、
高温処理中にこれらの構成要素を冷却する。熱交換システム6は、これらのチャ
ンバ構成部品の温度を低下させるように作用して、高温プロセスによりこれらの
構成部品に望ましくない堆積が生じるのを最小限に抑える。熱交換システム6は
、冷却剤マニホールド(図示せず)を介して冷却水を供給し、冷却材を前板40
(以下に記載)を含むガス分配システムに送る接続部(図示せず)を含む。水流
検出器が、熱交換器(図示せず)から筐体アセンブリへの水流を検出する。
【0017】 抵抗加熱ペデスタル32が、ウェーハポケット34にあるウェーハ36を支持
する。ペデスタル32を簡潔に示した断面図である図1Bに示されているように
、ペデスタル32は、埋込型モリブデンメッシュなどの埋込型電極22と、埋込
型モリブデンワイヤコイルなどの加熱要素33とを含む。ペデスタル32は、高
い処理温度に耐性であるように窒化アルミニウム製のものが好ましく、リフトモ
ータと係合する水冷アルミニウムシャフト28(図1Bには図示しないが、図1
Cに図示)に固定されたセラミック支持ステム26に拡散接合されることが好ま
しい。セラミック支持ステム26とアルミニウムシャフト28は、電極22に接
地されたニッケルロッド25が占める中央通路を備える。中央通路は大気圧に維
持されて、金属同士の接触部での腐食ダメージを回避する。
【0018】 セラミックペデスタル32は、基板ホルダの表面下の一定の深さの位置にRF
電極22を埋め込むことにより、キャパシタンスを一定にするように製造される
。RF電極22は、セラミック材料に応じて最小の深さに配置され、RF電極2
2を覆う薄いセラミック層の亀裂や剥離を生じさせずにキャパシタンスを最大に
することが好ましい。一実施形態では、ペデスタル32の上面よりも約40mi
l下方にRF電極22が埋め込まれる。セラミックペデスタル32のさらなる詳
細に関しては、Sebastien Raoux、Mandar Muhdol
kar、William N.Taylor、Mark Fodor、Judy
Huang、David Silvetti、David Cheung、K
evin Fairbairnが共同発明者として挙げられ、1997年12月
1日に出願され、「混合周波数CVDプロセスおよび装置(Mixed Fre
quency CVD Process And Apparatus)」とい
う発明の名称であり、本願と同一の譲受人に譲渡された米国特許出願第08/9
80,520号に記載されており、この内容全体は参照により本願明細書に引用
されたものとする。
【0019】 ペデスタル32は、自動調節式メカニズムを用いて処理位置(図1に図示)お
よびより低い装填位置(図示せず)との間を垂直に動かされるのものであってよ
く、このメカニズムは、1996年10月25日に出願され、「自動整列リフト
メカニズム(Self−Aligning Lift Mechanism)」
という発明の名称であり、本願と同一の譲受人に譲渡された米国特許出願第08
/738,240号に詳細に記載されており、この内容全体は参照により本願明
細書に引用されたものとする。図1Cを参照すると、リフトピン38(そのうち
の2つのみしか図示せず)が、ペデスタル32内で滑動可能であるが、その上端
部にある円錐状のヘッドで滑り止めされている。リフトピン38の下端部は、垂
直方向に可動なリフトリング39と係合することにより、ペデスタルの表面上方
に引き上げ可能である。ペデスタル32が低い装填位置(スリット弁56よりも
わずかに低い位置)にあると、リフトピンおよびリフトリングと協働してロボッ
トブレード(図示せず)が、スリット弁56を介してウェーハ36をチャンバ3
0に対して搬入および搬出し、このスリット弁は、スリット弁56を通してチャ
ンバに対してガスを流入または流出しないように真空密閉され得る。リフトピン
38は、ロボットブレードから挿入したウェーハ(図示せず)を上昇させた後、
ペデスタルが上昇して、リフトピンから離してペデスタルの上面にあるウェーハ
ポケットにウェーハを上昇させる。適切なロボット移送アセンブリが、本願と同
一の譲受人に譲渡されたMaydanの米国特許第4,951,601号に記載
されており、その内容全体が参照により本願明細書に引用されたものとする。
【0020】 その後、ペデスタル32は、プロセスガスをプロセスゾーン58に噴出するた
めの多数の孔または通路42を含むガス分配前板(以下、「シャワーヘッド」)
40に近接した処理位置へウェーハ36をさらに上昇させる。プロセスガスは、
ガス供給カバープレート45にある中央ガス入口44を介して第1のディスク状
のマニホールド48へ、その後バフルプレート(またはブロッカプレート)52
にある通路50を介して第2のディスク状マニホールド54へとチャンバ30内
に注入される。
【0021】 矢印で示されるように、プロセスガスは、シャワーヘッド40にある孔42か
ら、シャワーヘッドとペデスタル間にあるプロセスゾーン58(「堆積ゾーン」
とも呼ぶ)内に噴出して、ウェーハ36の表面で反応を起こす。次いで、プロセ
スガスの副生成物は、ウェーハ36の縁を越え、そしてペデスタルが処理位置に
あるときペデスタル32の上側周辺部上に位置する流量制限リング46(以下に
詳細に記載)を越えて半径方向外側に流れる。そこから、プロセスガスは、流量
制限リング46の上部と環状絶縁体53の底部との間に形成されたチョーク孔5
0を介してポンプチャネル60に流入する。ポンプチャネル60に入ると、排気
ガスはプロセスチャンバの周辺を流れて、真空ポンプ82により排出される。ポ
ンプチャネル60は、排気孔74を介してポンププレナム76に接続される。排
気孔74は、ポンプチャネルとポンププレナムとの間の流量を制限する。弁78
が、排気口80を介して真空ポンプ82への排気をゲート制御する。システムコ
ントローラ(図1Cには図示せず)は、マノメータなどの圧力センサ(図示せず
)からの測定信号を、メモリに格納されるか、または制御プログラムにより生成
される所望の値と比較するメモリ(図示せず)に格納された圧力制御プログラム
に従って絞り弁83を制御する。
【0022】 環状ポンプチャネル60の側面は、一般に、セラミックリング64、チャンバ
蓋ライナ70、チャンバ壁ライナ72、および環状絶縁体53により画定される
。図1Eは、ペデスタル32、流量制限リング46、ライナ70および72、絶
縁体53、セラミックリング64、およびポンプチャネル60の簡潔に示した部
分断面斜視図である。同図は、シャワーヘッド40にあるノズル42からウェー
ハ36へのプロセスガスの流れと、その後ウェーハ36全体にわたった半径方向
外側への流れ84を示す。その後、ガスの流れは、流量制限リング46を上向き
にポンプチャネル60内へと向きを変えられる。ポンプチャネル60では、ガス
は、周辺経路86に沿って真空ポンプの方向に流れる。
【0023】 ポンプチャネル60とその構成部品は、プロセスガスと副生成物を排気システ
ム内に向けることにより、望ましくない膜堆積の影響を最小限に抑えるように設
計される。排気の流れは、ほとんどガスの移動が生じない「デッドゾーン」を形
成する。これらのデッドゾーンは、その領域にある反応ガスを排気して望ましく
ない堆積を低減させるパージガスブランケットに近いものである。また、パージ
ガス(例えば、アルゴン)が、ガスノズルからセラミック部品やヒータの縁およ
び背面などのブランケットの臨界領域に導入されて、それらの領域上の望ましく
ない堆積をさらに低減する。
【0024】 ペデスタルおよびチャンバの他の部品上の望ましくない堆積は、他の方法で最
小限に抑えられる。さらに詳しく言えば、流量制限リング46は、ペデスタルを
越えてチャンバの底部までのガスの流れを最小限に抑える。本発明の実施形態に
よれば、TiCl4を用いたチタンの堆積(以下にさらに詳細に記載)では、他
のチタン膜を形成するための従来の堆積システムにおいて使用される従来の方法
よりも流量がかなり大きい。チタン堆積に適した好適な実施形態において、流量
制限リング46は、熱伝導率が比較的低く、導電性のものではないという理由で
溶融シリカからなる。別の実施形態では、リング材料が堆積層を汚染しないため
、チタン含有層の堆積プロセスではチタンからなるものであってよい。
【0025】 さまざまな実施形態において、流量制限リングは、ペデスタルの上部および縁
部の部分を覆うため、ペデスタルまたはチャンバの底部ではなく、リング上に望
ましくない膜が堆積することがある。流量制限リングは、このような流量が大き
い場合に生じる可能性がある望ましくない堆積(およびそれに関連する問題)の
危険性を最小限に抑えることが好ましい。チャンバ蓋66は、クリーニング用に
容易に取り外しできて、比較的安価な流量制限リングに達し得るため、持ち上げ
てから化学および/または機械プロセスを用いて完全にクリーニングしてよい。
【0026】 図1Aを再度参照すると、上述したように、流量制限リング46が、処理中に
ペデスタル32により支持される。ウェーハを除去および装填するためにペデス
タルが下降すると、流量制限リングは、丸縁69にあるセラミックリング46上
に着座する。次のウェーハを支持するペデスタルが処理位置に上昇されると、流
量制限リングを拾い上げる。本発明の実施形態によるチタンプロセスでチャンバ
に使用される圧力では、ウェーハ(ウェーハポケットに位置する)とペデスタル
上の流量制限リングとの両方を保持するには重力で十分である。
【0027】 絞り弁83およびペデスタル32などの移動可能な機械アセンブリの位置を移
動させ決定させるために、モータおよび光センサ(図示せず)が使用される。ペ
デスタル32の底部とチャンバ本体76に取り付けられたベローズ(図示せず)
が、ペデスタル周辺に移動可能なガス密封部を形成する。ペデスタルリフトシス
テム、モータ、仕切弁、任意のリモートプラズマシステム4(例えば、マイクロ
波源を用いて形成されるリモートプラズマを使用してチャンバをクリーニングで
きるように使用されてよい)を含むプラズマシステム、および他のシステム構成
部品は、一部のみしか図示していない制御ライン3および3AからDを介してプ
ロセッサ85により制御される。
【0028】 プロセッサ85は、プロセッサ85に結合されるメモリ86に格納されたコン
ピュータプログラムであるシステム制御ソフトウェアを実行する。メモリ86は
、ハードディスクドライブであってよいが、メモリ86は、他の種類のメモリで
あってよいことは言うまでもない。ハードディスクドライブ(例えば、メモリ8
6)に加え、特定の実施形態におけるCVD装置10は、フロッピー(登録商標 )ディスクドライブおよびカードラックを含む。プロセッサ85は、システム制 御ソフトウェアの制御下で動作し、このソフトウェアは、タイミング、ガスの混 合、ガスの流れ、チャンバ圧力、チャンバ温度、RF電力レベル、ヒータペデス タル位置、ヒータ温度、および特定のプロセスの他のパラメータを指示する命令 のセットを含む。例えば、フロッピーディスクや、ディスクドライブや他の適切 なドライブに差し込まれる他のコンピュータプログラムプロダクトを含む他のメ モリに格納されるような他のコンピュータプログラムが、プロセッサ85を動作 するために使用されてもよい。システム制御ソフトウェアは、以下に詳細に記載 される。カードラックは、シングルボードコンピュータ、アナログおよびディジ タル入出力ボード、インタフェースボード、およびステッパモータコントローラ ボードを含む。CVD装置10のさまざまな部品は、ボード、カードケージ、お よびコネクタの寸法と種類を規定するVME(Versa Modular E uropean)規格に準拠する。また、VME規格は、16ビットデータバス と24ビットアドレスバスをもつバス構造も規定する。
【0029】 ユーザとプロセッサ85との間のインタフェースは、マルチチャンバシステム
におけるチャンバの1つとして示され、CVD装置10とともに使用されるシス
テムモニタの簡略図である図1Dに示されているCRTモニタ93aとライトペ
ン93bである。CVD装置10は、電気的配管と装置10用の他の指示機能を
含み提供するメインフレーム95に取り付けられることが好ましい。CVD装置
10の例示的な実施形態と適合する例示的なメインフレームユニットは、カリフ
ォルニア州サンタクララのアプライドマテリアルズ社(Applied Mat
erials,Inc.)から、Precision 5000J、Centu
ra 5200J、およびEndura 5500Jシステムとして現在商業的
に入手可能である。マルチチャンバシステムは、真空破壊を起こすことなく、さ
らにマルチチャンバシステムの外側にある水分または他の汚染物質にウェーハを
露出する必要がなく、チャンバ間でウェーハを移送することができる。マルチチ
ャンバシステムの利点は、全プロセスにおいて異なる目的にあわせて、マルチチ
ャンバシステムの異なるチャンバが使用されてよいことである。例えば、本発明
の好適な実施形態では、チタン膜をCVD堆積するために使用されるチャンバも
あれば、窒化チタン膜をCVD堆積するために使用されるチャンバもある。この
ように、図2Aを参照して以下に記載するように、コンタクト構造の形成に一般
的に使用されるチタン/窒化チタンスタックの堆積が、マルチチャンバシステム
内で中断されることなく進められることにより、チタン/窒化チタンスタックプ
ロセスの異なる部分ごとにさまざまな別の個々のチャンバ(マルチチャンバシス
テムにはない)間でウェーハを移送するさいに生じやすいウェーハの汚染を防ぐ
ことができる。
【0030】 好適な実施形態では、2つのモニタ93aが使用され、1つがオペレータ用に
クリーンルームの壁に取り付けられ、もう1つが作業技術者用に壁の裏側に取り
付けられる。両方のモニタ93aは、同じ情報を同時に表示するが、ライトペン
93bは1つのみしか使えない。ライトペン93bは、ペンの先端にある光セン
サでCRTディスプレイから放出される光を検出する。特定の画面または機能を
選択するには、オペレータは、ディスプレイ画面の指定領域に触れて、ペン93
bのボタンを押す。触れた領域はハイライトされたカラーとなるか、または新し
いメニューまたは画面が表示されて、ライトペンとディスプレイ画面との間の通
信を確認できる。ライトペン93bの代わりに、またはこれに追加して、キーボ
ード、マウス、または他のポインティングまたは通信デバイスなどの他のデバイ
スが用いられて、プロセッサ85とユーザとが通信できるようにしてもよい。
【0031】 膜を堆積させチャンバをドライクリーニングするためのプロセスは、プロセッ
サ85(図1A)により実行されるコンピュータプログラムプロダクトを使用し
て実行される。コンピュータプログラムコードは、例えば、68000アセンブ
リ言語、C言語、C++、Pascal、Fortran、または他の言語など
のあらゆる従来のコンピュータ読取り可能なプログラミング言語で書かれてよい
。従来のテキストエディタを用いて、適切なプログラムコードが単一のファイル
または複数のファイルに入力され、コンピュータのメモリシステムなどのコンピ
ュータ使用可能媒体に格納または包含される。入力されたコードテキストが高水
準言語であれば、コードはコンパイルされ、その結果得られたコンパイラコード
が、予めコンパイルされたWindows(登録商標)ライブラリルーチンのオ ブジェクトコードとリンクされる。リンクされコンパイルされたオブジェクトコ ードを実行するために、システムユーザはオブジェクトコードを引き出して、コ ンピュータシステムにメモリ内のコードをロードさせ、そこからCPUがコード を読み出し実行して、プログラムに特定されたタスクを実行する。
【0032】 図1Fは、特定の実施形態による、システム制御ソフトウェアであるコンピュ
ータプログラム160の階層制御構造の説明的ブロック図である。ライトペンの
インタフェースを用いて、ユーザが、CRTモニタ上に表示されるメニューまた
は画面に応答して、プロセスセット数とプロセスチャンバ数をプロセスセレクタ
サブルーチン161に入力する。特定のプロセスを実行するために必要な所定セ
ットのプロセスパラメータであるプロセスセットは、所定セット数により特定さ
れる。プロセスセレクタサブルーチン161は、(i)所望のプロセスチャンバ
と、(ii)所望のプロセスを実行するようにプロセスチャンバを動作するのに
必要なプロセスパラメータの所望のセットを特定する。特定のプロセスを実行す
るためのプロセスパラメータは、例えば、プロセスガスの組成、流量、温度、圧
力、高周波および低周波のRF電力レベルおよび高周波および低周波のRF周波
数などのプラズマ条件(さらに、リモートマイクロ波プラズマシステムに装備さ
れている実施形態のマイクロ波発生器の電力レベル)、冷却用ガスの圧力、およ
びチャンバ壁の温度などのプロセス条件に関係する。プロセスセレクタサブルー
チン161は、チャンバ30のある時間に実行するプロセスのタイプ(堆積、ウ
ェーハクリーニング、チャンバクリーニング、チャンバゲッタリング、リフロー
)を制御する。いくつかの実施形態において、1つを超える数のプロセスセレク
タサブルーチンがある場合もある。プロセスパラメータは、レシピの形でユーザ
に与えられ、ライトペン/CRTモニタのインタフェースを利用して入力されて
よい。
【0033】 プロセスをモニタするための信号は、システムコントローラのアナログ入力ボ
ードおよびディジタル入力ボードにより入力され、プロセスを制御するための信
号は、CVDシステム10のアナログ出力ボードおよびディジタル出力ボードで
出力される。
【0034】 プロセスシーケンササブルーチン162は、プロセスセレクタサブルーチン1
61から特定されたプロセスチャンバとプロセスパラメータのセットを受け取り
、そしてさまざまなプロセスチャンバの動作を制御するためのプログラムコード
を備える。複数のユーザが、プロセスセット数とプロセスチャンバ数を入力でき
、または単一のユーザが、複数のプロセスセット数とプロセスチャンバ数を入力
できることで、シーケンササブルーチン162は、所望のシーケンスで選択した
プロセスをスケジューリングするように動作する。シーケンササブルーチン16
2は、(i)チャンバが使用中か否かを決定するためにプロセスチャンバの動作
をモニタするステップと、(ii)使用中のチャンバにおいてどのプロセスが現
在実行されているかを決定するステップと、(iii)チャンバの利用可能性と
実行する予定のプロセスの種類に基づいて、所望のプロセスを実行するステップ
とを実行するためのプログラムコードを含む。ポーリングなどのプロセスチャン
バをモニタする従来の方法が使用可能である。実行するプロセスをスケジューリ
ングするとき、シーケンササブルーチン162は、選択したプロセスの所望のプ
ロセス条件と比較して使用されるプロセスチャンバの現在の状態、またはそれぞ
れの特定のユーザが入力した要求の「履歴」、またはシステムプログラマがスケ
ジューリングの優先順位を決定するために含むことが望ましいとするあらゆる他
の関連する要因を考慮するようにされてよい。
【0035】 シーケンササブルーチン162が、次にどのプロセスチャンバとプロセスセッ
トの組み合わせを実行するかを決定すると、シーケンササブルーチン162は、
シーケンササブルーチン162により決定されるプロセスセットに従ってプロセ
スチャンバ30の複数の処理タスクを制御するチャンバマネジャサブルーチン1
63aからcに、特定のプロセスセットパラメータを伝えることによりプロセス
セットの実行を開始する。例えば、チャンバマネジャサブルーチン163bは、
プロセスチャンバ30におけるCVD動作を制御するためのプログラムコードを
含む。チャンバマネジャサブルーチン163bはまた、選択したプロセスセット
を実行するのに必要なチャンバ構成部品の動作を制御するさまざまなチャンバ構
成部品サブルーチンの実行を制御する。チャンバ構成要素サブルーチンの例は、
基板位置決めサブルーチン164、プロセスガス制御サブルーチン165、圧力
制御サブルーチン166、ヒータ制御サブルーチン167、およびプラズマ制御
サブルーチン168である。CVDチャンバの特定の構造に応じて、上記サブル
ーチンのすべてを含む実施形態もあれば、これらのサブルーチンの一部しか含ま
ないサブルーチンもある。当業者であれば、プロセスチャンバ30において実行
するプロセスに応じて、他のチャンバ制御サブルーチンを含み得ることは容易に
理解されよう。動作中、チャンバマネジャサブルーチン163bは、実行する特
定のプロセスセットに従って、プロセス構成部品サブルーチンを選択的にスケジ
ューリングするか、または呼び出す。チャンバマネジャサブルーチン163bは
、次に実行する予定のプロセスチャンバ30とプロセスセットをスケジューリン
グするシーケンササブルーチン162と同様にプロセス構成部品サブルーチンを
スケジューリングする。通常、チャンバマネジャサブルーチン163bは、さま
ざまなチャンバ構成部品をモニタして、実行する予定のプロセスセットのプロセ
スパラメータに基づいてどの構成部品を動作させる必要があるかを決定するステ
ップと、モニタおよび決定ステップに応答してチャンバ構成部品サブルーチンの
実行を開始するステップとを含む。
【0036】 図1Fに示す特定のチャンバ構成部品サブルーチンの動作を図1Aを参照して
以下に記載する。基板位置決めサブルーチン164は、基板をペデスタル32に
装填し、任意に、基板をチャンバ30内に所望の高さまで上げて基板とシャワー
ヘッド40との間を制御するために使用されるチャンバ構成部品を制御するため
にプログラムコードを含む。基板がプロセスチャンバ30に装填されると、基板
をウェーハポケット34に受けるように、ヒータアセンブリ33が下降された後
、所望の高さまで上昇される。動作中、基板位置決めサブルーチン164は、チ
ャンバマネジャサブルーチン163bから移送される支持体の高さに関連するプ
ロセスセットパラメータに応答して、ペデスタル32の動作を制御する。
【0037】 プロセスガス制御サブルーチン165は、プロセスガスの組成と流量を制御す
るためのプログラムコードを備える。プロセスガス制御サブルーチン165は、
安全遮断弁の開閉位置を制御し、さらに所望のガス流量を得るために、マスフロ
ーコントローラを立ち上げ/下げする。プロセスガス制御サブルーチン165は
、すべてのチャンバ構成部品サブルーチンと同様に、チャンバマネジャサブルー
チン163bにより引き出され、チャンバマネジャから所望のガス流量に関する
サブルーチンプロセスパラメータを受け取る。通常、プロセスガス制御サブルー
チン165は、ガスの供給ラインを開き、(i)必要なマスフローコントローラ
を読み取り、(ii)その読取値をチャンバマネジャサブルーチン163bから
受け取った所望の流量と比較し、(iii)必要に応じてガス供給ラインの流量
を調節することを繰り返して動作する。さらに、プロセスガス制御サブルーチン
163は、危険な速度のガス流量をモニタするステップと、危険な状態が検出さ
れると安全遮断弁を作動するステップとを含む。プロセスガス制御サブルーチン
165はまた、選択される所望のプロセス(クリーニングまたは堆積など)に応
じて、クリーニングガスおよび堆積ガスのガスの組成と流量を制御する。代替実
施形態では、1つを超えるプロセスガス制御サブルーチンがある場合もあり、そ
れぞれのサブルーチンが、特定のタイプのプロセスまたは特定のセットのガスラ
インを制御する。
【0038】 プロセスの中には、窒素やアルゴンなどの不活性ガスがチャンバ内に流入され
て、反応プロセスガスが導入される前にチャンバの圧力を安定させるものもある
。これらのプロセスでは、プロセスガス制御サブルーチン165が、チャンバの
圧力を安定化させるのに必要な時間、不活性ガスをチャンバに流入させるステッ
プを含むようにプログラムされ、その後上述したステップが実行される。さらに
、例えば、TiCl4などの液体前駆体からプロセスガスが蒸発すると、プロセ
スガス制御サブルーチン165は、バブラアセンブリにある液体前駆体により、
ヘリウムなどの搬送ガスをバブリングするステップか、またはヘリウムなどのキ
ャリヤガスを液体注入システムに導入するためのステップを含む。このタイプの
プロセスにバブラが使用される場合、プロセスガス制御サブルーチン165は、
搬送ガスの流れ、バブラの圧力、およびバブラの温度を調整して、所望のプロセ
スガス流量を得る。上述したように、所望のプロセスガス流量は、プロセスパラ
メータとしてプロセスガス制御サブルーチン165に送られる。さらに、プロセ
スガス制御サブルーチン165は、所与のプロセスガス流量に必要な値を含む格
納テーブルにアクセスすることにより、所望のプロセスガス流量に関する必要な
搬送ガスの流量、バブラの圧力、およびバブラの温度を得るためのステップを含
む。必要な値が求められると、搬送ガス流量、バブラ圧力、およびバブラ温度が
モニタされ、必要な値と比較されて、必要に応じて調節される。
【0039】 圧力制御サブルーチン166は、チャンバの排気システムにある絞り弁の開口
サイズを調整することにより、チャンバ30の圧力を制御するためのプログラム
コードを含む。絞り弁の開口サイズは、プロセスガスの総流量、プロセスチャン
バのサイズ、および排気システムの排気設定圧力に関連する所望のレベルでチャ
ンバ圧力を制御するように設定される。圧力制御サブルーチン166が引き出さ
れると、所望または目標の圧力レベルが、チャンバマネジャサブルーチン163
bからパラメータとして受け取られる。圧力制御サブルーチン166は、チャン
バに接続された1つ以上の従来の圧力マノメータを読み取ることによりチャンバ
30の圧力を測定し、その測定値を目標圧力と比較し、格納された圧力テーブル
から目標圧力に対応する比例、積分、微分(PID)値を求め、圧力テーブルか
ら求めたPID値に従って絞り弁を調節する。この代わりとして、圧力制御サブ
ルーチン166は、チャンバ30の排気能力を所望のレベルに調整するために、
特定の開口サイズに絞り弁を開閉するように書かれてよい。
【0040】 ヒータ制御サブルーチン167は、抵抗加熱ペデスタル32(およびその上に
ある基板)に対して使用されるヒータコイル33の温度を制御するためのプログ
ラムコードを含む。ヒータ制御サブルーチンもまた、チャンバマネジャサブルー
チンにより引き出され、目標または設定温度パラメータを受け取る。ヒータ制御
サブルーチンは、ペデスタル32に位置する熱電対の電圧出力を測定し、その測
定温度を設定温度と比較し、設定温度を得るために加熱ユニットにかける電流を
増減することにより温度を測定する。温度は、格納された変換テーブルの対応す
る温度を参照することにより、または四次元多項式を用いて温度を計算すること
により、測定した電圧から求められる。埋込型ループがペデスタル32を加熱す
るために使用される場合、ヒータ制御サブルーチン167が、ループにかける電
流の増減を徐々に制御する。さらに、プロセスが安全であるかを検出するために
、組み込まれたフェールセーフモードが含まれてよく、プロセスチャンバ30が
適切に組み立てられていなければ、加熱ユニットの動作を遮断できる。使用可能
なヒータ制御の代替方法では、ランプ制御アルゴリズムが利用されており、これ
は、1996年11月13日に出願され、Jonathan Frankelが
発明者である「気相成長装置の温度制御システムおよび方法(Systems
and Methods for Controlling the Temp
erature of a Vapor Deposition Appara
tus)」という発明の名称であり、本願と同一の譲受人に譲渡された同時係属
中の米国特許出願第08/746,657号に記載されており、その内容全体は
参照により本願明細書に引用されたものとする。
【0041】 プラズマ制御サブルーチン168は、チャンバ30とヒータアセンブリ32に
あるプロセス電極に印加される低周波数および高周波数RF電力レベルを設定し
、低RF周波数を設定するためのプログラムコードを含む。前述したチャンバ構
成部品サブルーチンと同様に、プラズマ制御サブルーチン168がチャンバマネ
ジャサブルーチン163bにより引き出される。リモートプラズマ発生4を含む
実施形態の場合、プラズマ制御サブルーチン168は、リモートプラズマ発生器
を制御するためのプログラムコードも含む。
【0042】 上述したCVDシステムのさらなる詳細は、1997年8月22日に出願され
、「チタン層を堆積するための高温高成膜速度プロセスおよび装置(A Hig
h Temperature,High Deposition Rate P
rocess and Apparatus for Deposition
Titanium Layers)」という発明の名称であり、本願と同一の譲
受人に譲渡された米国特許出願第08/918,706号に記載されており、こ
の内容全体は参照により本願明細書に引用されたものとする。しかしながら、上
記のリアクタの記載は、主に説明を目的としたものであり、電子共鳴(ECR)
プラズマCVDデバイス、誘導結合RF高密度プラズマCVDデバイスなどの他
のプラズマCVD装置が用いられてよい。さらに、ペデスタルのデザイン、ヒー
タのデザイン、ポンプチャネルのデザイン、RF電力接続の位置などの変更など
、上述したシステムの変更も可能である。本発明によるチタン層を形成する方法
は、特定のCVD装置に限定されるものではない。
【0043】 III.改良されたCVDチタンプロセス 本発明の方法は、上述した例示的なCVDチャンバなどの基板処理チャンバに
おいて改良されたチタン膜を堆積するために用いられてよい。上述したように、
最新の集積回路の製造において、チタン膜には数多くの用途がある。このような
チタン膜の主要な用途の1つは、コンタクト構造の一部であるチタン/窒化チタ
ンスタックにおける最初の接着層として使用されることである。このようなコン
タクト構造が、本発明の実施形態が用いられている例示的なコンタクト構造の断
面図である図2Aに示されている。
【0044】 図2Aに示されているように、結晶シリコンまたはポリシリコンの表面をもつ
基板205上に、約1Fmの厚みまで酸化物層200(例えば、SiOx膜)が
堆積される。酸化物層200は、集積回路において予備金属誘電体として、また
はレベル間誘電体として作用するものであってよい。レベル間を電気的に接触さ
せるために、酸化物層200を介してコンタクトホール210がエッチングされ
て、アルミニウムなどの金属で充填される。
【0045】 多数の最新の集積回路では、コンタクトホール210は幅が狭く、約0.35
Fm幅よりも狭いものが多く、さらにアスペクト比は約6:1よりも大きい。こ
のようなホールを充填することは困難であるが、ホール210が最初にチタン層
215でコンフォーマルに被覆されるある程度標準的なプロセスが開発されてき
た。チタン(Ti)層215は、窒化チタン(TiN)層220とコンフォーマ
ルに被覆される。その後、多くの場合物理気相成長法により、アルミニウム層2
25が堆積されて、コンタクトホール225を充填し、上側レベルでの電気的な
配線を与える。チタン層215は、側壁で下地シリコンと酸化物との両方との接
着層となる。また、この層は、下地シリコンでケイ化されて、オーム接触を形成
することがある。TiN層220は、Ti層215に良好に接合し、アルミニウ
ム層225は、TiNに良好にウェットするため、内包したボイドを形成するこ
となくコンタクトホール210を良好に充填することができる。また、TiN層
220は、アルミニウム225をシリコン205内にマイグレーションさせず、
その伝導性に影響を及ぼさない拡散層として作用する。
【0046】 その目的を適切に満たすために、チタン層215は、さまざまな特性の中でも
特に、優れたボトムカバレッジ、低抵抗率、均一な抵抗率、およびコンタクトの
底面全体とウェーハ全体(中心から縁まで)の両方にわたった均一な堆積の厚み
を備えるものでなければならない。また、チタン層215は、コンタクト210
の底部に沿って均一に堆積するが、側壁に沿ってはまったく堆積しないことが好
ましい。側壁にチタンが堆積するのを防ぐことによって、コンタクト領域からの
シリコンが側壁にあるチタンと反応し、コンタクト底部から側壁へと上方に移さ
れる「シリコンクリープ」として知られる現象が防止される。本発明の方法によ
り堆積されたチタン層は、上記特性のすべてを満たし、従来のチタン堆積プロセ
スと比較すると、著しく改善されたボトムカバレッジとシート抵抗の均一性を示
す。これらの改善は、主要なチタンバルク堆積ステップの前後に行う新規かつ独
特のステップを取り入れることにより達成される。
【0047】 これらのステップの1つは、チタン堆積ステップ前に実行される新規かつ独特
のプラズマ処理ステップである。このプラズマ処理ステップでは、ウェーハは、
2およびArのプロセスガスから形成された比較的短時間(例えば、好適な実
施形態では5から60秒)のプラズマを受ける。このように、ウェーハの上面の
わずかな部分が、堆積ステップ前にエッチングされる。本発明者等は、このエッ
チングステップが、(1)コンタクトホール210の形成後にウェーハのコンタ
クト領域に成長したあらゆる酸化(SiOx)を取り除き、(2)ホール形成(
エッチング)ステップ後にコンタクトホール210に意図せずに残った層200
からのあらゆる酸化シリコンをさらにエッチングするさいに特に有益であること
を発見した。コンタクト形成前にかなりの程度の時間ウェーハが周囲に露出され
れば、10から50Å厚の酸化の形成は非常に一般的である。また、本発明者等
は、多くの市販の製造プロセスでは、層200が完全にエッチングされず、コン
タクト領域にエッチングされていない薄い酸化シリコン層が残る。このような層
が、層230として図2Bに示されており、プロセスに依存して100から25
0Å以上の厚みになることがある。
【0048】 このようなエッチングされない層230やウェーハ上の酸化堆積物の厚みに応
じて、この層が、本発明の利益を受けずにチタン層215が堆積される下地基板
表面との電気的接触を妨げることにより、製造プロセスの全体的な歩留まりを低
下させる部品の故障につながる。また、層230または酸化堆積物は、高い抵抗
レベルで下地シリコンと電気的に接触できる厚みをもつことがある。このため、
製造されたデバイスは、製造業者の性能要求を満たさないことがある。いずれの
場合も、本発明の前処理ステップを用いると、残留する層230または酸化堆積
物のすべてまたは一部をエッチングして取り除いて、基板200との電気的接触
を高めることができる。本発明のこの態様のさらなる詳細を、図3を参照して以
下に記載する。
【0049】 図3は、本発明の好適な実施形態によるチタン膜を堆積するために実行するス
テップを列挙したフローチャートである。図3に示すステップは、好適なプロセ
スのみを表すものであり、本発明の他の実施形態は、開示されたステップのいく
つかをまとめて移すか、またはステップの形式または順序を変えてよい。図3に
示すように、チタン層の堆積が始まる前に、ウェーハがチャンバ30に装填され
(ステップ300)、プロセッサ85が、現在のウェーハ数(N−−以下に記載
するようにクリーニング目的で使用される)を1に設定する(ステップ305)
。ウェーハがチャンバに装填された後、ペデスタル32の位置がガス分配シャワ
ーヘッド40からおよそ250から500milにある処理位置にウェーハが移
動される。1つの特定の好適な実施形態において、ペデスタル32は、シャワー
ヘッド40から329milの位置に配置される。このウェーハ位置決めステッ
プ中、チャンバは、堆積が生じる圧力よりも高い圧力まで、アルゴンなどの非腐
食性ガスで加圧される。アルゴンは、ボイドまたはチャンバ内の中空空間、特に
ヒータペデスタルの内部を充填することで、チャンバ圧力を堆積圧力(特定の実
施形態では5.0torr)まで引き続き低下すると放出される。このように、
ステップ310は、ヒータペデスタルまたはチャンバの部品を腐食または酸化す
る可能性のあるプロセスガスの侵入を最小限に抑える。Ar加圧ガスは、シャワ
ーヘッド40を通る上側のArの流れとして、そしてウェーハ36の下側の点か
らの下側のArの流れとして流される。チャンバ圧力は、このステップ中、約5
から90torrに設定されることが好ましい。
【0050】 また、ステップ310の間、ペデスタル温度は、実施のプロセス温度の上下1
5℃に設定される。プロセスは、約400から750℃の間の任意の温度で実行
されてよいが、ペデスタル温度は、約630から700℃の間(約535から6
35℃のウェーハ温度に対応)に設定されることが好ましく、特定の実施形態で
は、約680℃(約605℃のウェーハ温度に対応)であることが最も好ましい
。1つの特定の実施形態では、プロセスガスが流れ始まるときにヒータとウェー
ハを冷却するために、ステップ310において、この温度は最初、約690℃(
プロセス温度よりも10℃高い)に設定される。プロセス温度よりも高い温度に
ウェーハを最初加熱することにより、ウェーハのサイクル時間が短縮され、ガス
が流れ始めるとヒータを処理温度まで戻すためにヒータ電力が増大されるときに
従来生じていた、ヒータ要素とヒータの表面との熱勾配から生じるヒータへの熱
衝撃が低減される。
【0051】 ステップ310を開始した後の約10秒、温度は実際のプロセス温度(全堆積
プロセスを通して維持されることが好ましい)に低下し、反応ガス(好ましくは
2)の流れが最初の流量でONになり、上側のアルゴンの流量が増大される(
ステップ315)。反応ガスは、所望の膜を形成するためにソースガス(後で導
入)の分解に必要なエネルギーを低下させて、塩素をClまたはCl2のまま残
すのではなく、塩素の一部を塩化水素に変えることにより、堆積副生成物の腐食
を低下させる。次いで、ガスの流れは、ステップ320において2秒後にさらに
増大され、ステップ325において3秒後に再度増大される。ステップ310か
らステップ325までの間、ガスの流量は、最初の流量から最後の流量まで段階
的に増加(または、傾斜)され、ヒータへの熱衝撃を低減させる。ガスの最終流
量は非常に大きいため、一度オンに切り換えられるとウェーハを過度に冷却する
ことになる。このようなガスを段階的または傾斜して供給を開始させることは、
ヘリウムや水素などのガスを用いる場合、これらのガスは熱伝達特性が高いため
特に重要である。
【0052】 次のステップであるステップ325は、上述したプラズマ前処理ステップであ
る。プラズマ前処理ステプでは、低周波数(例えば、300から450KHz、
最も好ましくは350KHz)RFエネルギーがシャワーヘッド40に印加され
て、H2およびアルゴンのプロセスガスからプラズマを形成する。上述したよう
に、このプラズマは、コンタクトホール210の形成後に基板200上か、また
はコンタクトホール210内にエッチングされずに残った任意の層230上のい
ずれかに成長したあらゆる薄い酸化層をすべてまたは部分的にエッチングして取
り除くことにより、基板200への電気的接触を高めることができる。このよう
なエッチングプロセスは、基本的な化学反応であるSiO2+H2→SiH4+H2 Oで表され、ここでシラン(SiH4)および水(H2O)はともにチャンバから
排気される。他の中間反応が起こり、排気される化合物には、これらの中間反応
からのイオンおよび他の分子も含まれることは言うまでもない。
【0053】 ステップ320において、酸化蓄積物または残留酸化シリコンをエッチングし
て取り除くために、前処理ガスと呼ばれる他のガスを使用することができる。前
処理ガスは、シリコン接触領域に損傷を与えることなく、酸化蓄積物または残留
酸化物をエッチングするように、酸化シリコンとシリコン基板との間のエッチン
グ選択比は高い必要がある。ステップ320において使用可能な他の前処理ガス
には、酸化シリコンをエッチングすることで知られているアンモニア(NH3
およびさまざまなハロゲン種が含まれる。フッ素含有ガス(例えば、CHF3
CF4、C26、BF3、NH3など)は、最も好適なハロゲン種であると考えら
れているのに対して、ヨウ素含有ソースは、ほとんどのヨウ素ソースが室温で固
体であり作用しにくいため、最も好ましくないものであると考えられている。ま
た、臭素含有種は、後の堆積プロセスに影響をほとんど及ぼさないと考えられて
いる点で、塩素含有種よりも一般的に好ましい。前処理ガスは、プラズマとその
結果得られるエッチングプロセスを安定化させやすくするために、キャリヤまた
は別の不活性ガスと混合可能であり、さらに混合されることが好ましい。
【0054】 ステップ325中に、TiCl4(ソースガス)およびヘリウムの流れが開始
される。しかしながら、このときこれらの流れをチャンバ30に導入する代わり
に、フォアラインに直接流れるように方向を変えられる。このように流れ、特に
、TiCl4の流れの方向を変えることにより、堆積が始まる前に流れを安定化
させることができることで、マルチウェーハ堆積シーケンス(例えば、2000
枚のウェーハの作業量)におけるさまざまなチタン堆積ステップでの処理条件の
均一性を高めることができる。任意に、TiCl4およびヘリウムの流れは、別
のステップ330の一環としてプラズマを開始した後にすぐ始められてもよい。
いずれの場合も、堆積ステップ335よりも前の少なくとも6から8秒の間、T
iCl4の流れが安定化されることが好ましい。
【0055】 堆積ステップ335において、TiCl4およびヘリウムガスの流れは、アル
ゴンとH2の流れに沿ってチャンバ30に流入するように再度方向付けされ、R
F電力をシャワーヘッド40に印加し続けることによりプラズマが維持される。
TiCl4は液状のもので、ヘリウムキャリガガスと混合される前に、STEC
Corporation製のガスパネル精密液体注入システム(GPLIS)
のような液体注入システムを用いて気化される。以下、表1に示されるように、
現在の好適な実施形態において、H2とTiCl4の比率は106:1である。こ
の比率は、当業者に行われるように、表に与えられたTiCl4mgmの流量を
それと同等のsccm流量に換算して算出可能である。この場合、TiCl4
、47.23sccmの気体流量と同等の400mg/mの流量で導入される。
【0056】 堆積ステップ335は、選択された厚みの膜を堆積するのに必要な間維持され
る。高温の堆積温度、高いガス流量および他の要因により、本発明のチタン膜は
、少なくとも100Å/分から約400Å/分を超える堆積速度で堆積される。
したがって、ステップ335の総時間は、従来のプロセスで必要とされるものよ
りも一般に短く、したがってウェーハのスループットが上がる。
【0057】 堆積ステップ335が終了した後、H2、TiCl4、およびヘリウムの流れが
OFFにされ、RF電力が急激に低下されて、上側のアルゴンの流れが急激に減
少されて(ステップ340)、堆積ステップ中にチャンバに形成した可能性のあ
る大きな粒子を離す。次に、約3秒後、RF電力がOFFに切り換えられ、チタ
ン層がパッシベーションされてよい。チタン層は、チタン層の表面にある窒化チ
タンの薄膜を形成することによりパッシベーションされることで、炭素や酸素な
どの不純物がチタン内に吸収されなくなる。このような不純物は、チタン層の抵
抗を変えて、窒化チタンバリヤ層の堆積に不適切な表面を形成する可能性がある
。パッシベーションは、パッシベーションステップ345としてH2の流れとN2 の流れをアルゴンの流れに加えることにより、および/またはステップ350に
おいて窒素プラズマを形成することにより達成されてよい。ステップ345およ
び350が両方とも実行されることが好ましい。このように実行される場合、ス
テップ345により、堆積後プラズマ処理ステップ350の前にチャンバを安定
化させて、チャンバからTiCl4の残留物をパージしやすくなる。また、窒素
は表面のチタンと反応して、窒化チタンの薄層の形成を開始する。
【0058】 ステップ345の後、チタン層は、RFエネルギーをチャンバにあるH2/N2 /Arパッシベーションガスに印加してプラズマを形成することにより、ステッ
プ350においてさらにパッシベーションされる。この代わりとして、パッシベ
ーションプラズマは、リモートプラズマ源に形成されて、チャンバに送られてよ
い。パッシベーションプラズマにあるイオン化窒素は、チタン層の表面と反応し
て、およそ10秒の露出中に窒化チタンの薄層の形成を完了する。チャンバにお
いてプラズマを形成するために、RF電力がシャワーヘッド40に一般に印加さ
れる。しかしながら、RF電力は、ペデスタルの電極22に、またはペデスタル
の電極22とシャワーヘッド40の両方に印加されてよい。ステップ345と3
50の両方が用いられる好適な実施形態では、ステップ345は約8秒間持続す
る。ステップ345のみ、またはステップ350のみが用いられる他の実施形態
では、ステップは、より長い時間、例えば、10から30秒の間行われてよい。
【0059】 ステップ350の後、第2のプラズマパージステップ355が実行されて、チ
ャンバ内にある大きな粒子をさらに引き離す。プラズマパージステップ355は
、アルゴンの流れに加えて、ステップ355においてN2およびH2の流れが維持
される以外は、プラズマパージステップ340と類似している。最後に、ステッ
プ360において、すべてのガスの流れが遮断され、チャンバが排気されて、ウ
ェーハがチャンバから取り除かれる(ステップ365)。ウェーハは、一般に、
パッシベーションされたため、酸素や炭素などの不純物をチタン層が吸収して不
利益を被ることなく、ウェーハを空気に露出することができる。例えば、空気に
長期間露出しても、例えば、数日露出しても、チタン層の特性は損なわれない。
さらに、窒化チタンパッシベーション層は、次の処理が窒化チタンバリヤ層を堆
積可能な「きれいな」表面を提供する。ウェーハが除去された後、次のウェーハ
が装填され(ステップ410)、プロセッサ85がウェーハ数を増加する(ステ
ップ415)前に、温度は約680℃に予め設定される(ステップ405)。
【0060】 各ウェーハの堆積後に実行されるプラズマパージクリーニングステップ340
および355に加えて、ドライクリーニングプロセス(チャンバの蓋を開けるこ
となく実行される)が、所定数のウェーハ堆積プロセス後に定期的にチャンバに
実行されて、ウェーハの汚染をさらになくす。本発明によれば、このクリーニン
グプロセス中、チャンバにはウェーハ(例えば、ダミーウェーハ)はない。ドラ
イクリーニングプロセスは、一般に、「X」ウェーハごと、好ましくは、2から
300枚ウェーハごとに実行される。ドライクリーニングは、例えば、特定の実
施形態では、3から5ウェーハごとに実行されてよい。ドライクリーニングプロ
セスを効率的に保つことが望ましいため、このプロセスは全システムのウェーハ
生産量にあまり影響を及ぼすものではない。特定の実施形態による好適なドライ
クリーニングプロセスを以下にさらに詳細に記載する。
【0061】 図3を再度参照すると、X(例えば、X=3)枚のウェーハが処理されたなら
ば(ステップ370)、チャンバはドライクリーニングをすることになる。最初
に、ヒータがシャワーヘッドから約650milの距離に離れるように移動され
(ステップ375)、680℃の処理温度に維持される。このとき、N2または
同様の非反応性ガスはチャンバに流入され、チャンバは、約0.1から10to
rr、好ましくは、約5torrより低く、特定の実施形態では、約0.6to
rrのクリーニング圧力に維持される。これにより、ヒータからシャワーヘッド
への熱の流れが最小限に抑えられるため、ヒータに対してシャワーヘッドを冷却
する。
【0062】 ステップ375の3秒後、約250sccmの流量で塩素ガス(Cl2)がチ
ャンバに流入され、ペデスタルは、シャワーヘッド40から60milまで上昇
される(ステップ380)。次に、2秒後、プラズマが約400ワットの電力で
生成される(ステップ385)。この状態は所定の時間機関維持されることで、
塩素種が望ましくない堆積物と反応し、その堆積物をチャンバ構成部品からエッ
チングすることができる。堆積プロセスからの望ましくない堆積物は、チャンバ
の最も高温の露出部分、すなわち、ウェーハにより覆われず、または流量制限リ
ングにより保護されていなかったヒータの上面で一般に最も厚みがある。シャワ
ーヘッドからヒータを移動させることにより、チャンバの構成部品、特にシャワ
ーヘッドをオーバーエッチングすることなく、上述した条件ですべてのチャンバ
構成部品を十分にクリーニングすることができる。
【0063】 ステップ390の長さは、チャンバ30内の堆積蓄積物の量に依存し、この量
は、特に、ドライクリーニング動作間に処理するウェーハ枚数と堆積プロセスの
長さ(すなわち、ウェーハ36上に堆積するチタン膜の厚み)に依存する。特定
の実施形態では、ステップ390は15秒間続く。この代わりとして、ステップ
390の長さは、クリーニングのエンドポイント技術を用いて決定されてよい。
このような技術は公知のものであり、光学エンドポイント検出方法および圧力ベ
ースのエンドポイント検出方法を含む。光学エンドポイント検出は、適切な動作
でチャンバ30の壁に石英または同様に不透明なウィンドウを必要とし、このよ
うなウィンドウが適切なエンドポイント検出を妨げるチタン堆積を受けやすいた
め、あまり好ましくない実施形態もある。同様に、公知の圧力ベースのエンドポ
イント検出方法も、このような圧力ベースのエンドポイント検出方法を各チャン
バ30に個々に較正して、クリーニングステップ390の終わりを適切かつ正確
に特定しなければならないため、理想的なものとは言えない。
【0064】 したがって、本発明者等は、測定された反射RF電力をベースにしたステップ
390の新規のエンドポイント検出手法を開発した。このエンドポイント検出手
法は、全クリーニングステップ390を通して、RF電源5(図1A)用の電源
ラインにチャンバ30から反射される電力を測定する。クリーニングステップ3
90の始まりで、反射電力はチャンバ壁から堆積物がエッチングされるのに伴い
増大する。このような反射電力の増大は、エッチングして取り除かれたチタン堆
積物からイオン種と励起分子を取り込むため、クリーニングプラズマの密度が高
いことを表す。堆積された材料がチャンバ壁からさらにエッチングされるにつれ
、測定された反射電力はピークに達した後、下降し始める。これらの観察結果を
、時間とチタン堆積ステップ335の長さの関数としてクリーニングステップ3
90を通して測定された反射電力を示す線図である図4に表す。図4に示すデー
タは、X=1の場合、すなわち、1枚のウェーハが処理された後にチャンバ30
がドライクリーニングプロセスを受ける場合の実施形態を表す。
【0065】 チャンバクリーニングプロセスは、測定された反射電力が最小流量またはそれ
よりも下の流量で低下するときに完了する。例えば、1つの実施形態では、ステ
ップ390は、測定された反射電力の減少速度が0ワット/秒に下がった後10
秒で停止される。別の実施形態では、ステップ390は、測定された反射電力の
減少速度が2ワット/秒以下に到達した場合に停止される。
【0066】 プラズマクリーニング後、塩素ガスがオフにされ、プラズマ電力がOFFに切
り換えられる(ステップ390)。N2の流れが、約3秒間チャンバをパージす
るために維持される。次いで、ペデスタルは、約650mil間隔に戻されて(
ステップ395)、底側のアルゴンの流れは10秒間増大されて、チャンバをさ
らにパージする。最後に、チャンバは、約5秒間真空される(ステップ400)
。「ウェットクリーニング」または予備メインテナンスクリーニング(数百また
は数千枚の処理ウェーハごとに行う)は、チャンバのさまざまな部品を手動でク
リーニングするためにチャンバ蓋を開けて実行されてよいことは言うまでもない
【0067】 ウェーハ堆積間に定期的にドライクリーニングプロセスを実行することにより
、非常に時間がかかる場合が多いこれらのウェットクリーニング予備メインテナ
ンスの頻度が最小限に抑えられることで、堆積プロセスの効率性を高め、高速の
堆積速度に寄与すると考えられる。さらに、定期的にドライクリーニングプロセ
スを採用することで、多数枚のウェーハ作業でのチタン堆積プロセスの再現性が
高められる。すなわち、例えば、2000枚の多数枚のウェーハ作業中、このよ
うな定期的なドライクリーニングが実行されなかった場合の多数枚のウェーハ作
業と比較すると、最初の組のウェーハでの堆積チタン層の特性は、最後の組での
堆積層の特性に酷似したものである。
【0068】 また、本発明者等は、TiCl4の流れが停止された(ステップ340)後に
ガスラインに残る液体TiCl4が、プロセス再現性を妨げることを発見した。
すなわち、ラインに接続された適切な流量制限弁を遮断することにより、TiC
4の流れが堆積ステップ340において停止されると、残りのTiCl4液体が
ラインに少し残留する。本発明者等は、この残留液体の量が堆積プロセスごとで
ばらつきがあり、残留TiCl4により堆積が不安定になることで、堆積プロセ
スに悪影響を及ぼすことを発見した。例えば、残留TiCl4の量にばらつきが
あるため、多数枚のウェーハ作業での任意の2つの個々の基板に対して、チャン
バに流入するTiCl4の量が異なることがあり、特定の基板上の堆積が多い場
合や少ない場合が生じることになる。また、残留TiCl4は、チャンバ内に移
送されてTiO2を形成し、望ましくない粒子を生成するときに、新しい基板上
に存在する水分と反応することがある。最後に、残留TiCl4は、ウェーハ堆
積ステップ間でチャンバ内に漏れ、チャンバまたはチャンバ構成部品の部分を被
覆することにより、被覆部分を変色することがあり、これによりチャンバまたは
構成部品の該当部分の放射率も変化する。表面の放射率が変化すると、表面の温
度や他の特性も望ましくないように変化してしまうことがある。
【0069】 このような残留TiCl4の悪影響に対抗するために、本発明者等は、ドライ
クリーニングプロセス中にラインを介してヘリウムまたは別の不活性ガスソース
(残留TiCl4と反応しないガス)を流すことにより、TiCl4ガスラインを
乾燥させる新規かつ独特のステップを案出した。例えば、ステップ375から3
95のそれぞれにおいて、500sccmのヘリウムの流れがTiCl4ライン
に導入されて、残留TiCl4を乾燥させ、ラインからパージすることができる
。このように、本発明の方法により、それぞれのウェーハに堆積を行う前に、ガ
スラインが再現可能な状態にすることができる。また、TiCl4ラインをパー
ジした後、流入させたヘリウムが堆積チャンバへと送られ、ドライクリーニング
プラズマの安定化を促す。当業者に公知であるように、適切な弁およびフローコ
ントローラを使用することにより、ヘリウムの流れはTiCl4ラインを介して
流される。
【0070】 図3を参照して上述した本発明の現在の好適な実施形態によるガスの流量、圧
力レベルおよび他の情報を、図6および図7のそれぞれにある表1(堆積プロセ
ス)および表2(クリーニングプロセス)に示す。表1および表2に示されたガ
ス導入流量は、8インチウェーハ用に装備されたアプライドマテリアルズ社製の
抵抗加熱TixZ CVDチャンバにおいて、図3に示すプロセスを利用したも
のに基づいている。当業者であれば理解されるように、他の実施形態においてガ
スが導入される実際の流量は、異なるデザインおよび/または量の他のチャンバ
用いられると異なる。
【0071】 表1および表2に示す堆積条件および流量は、本発明の現在の好適な実施形態
において用いられる流量を表すが、他の堆積条件および他の流量が用いられてよ
いことは理解されよう。例えば、ソースおよび反応ガスが堆積ステージ中に導入
される流量に関して、本発明者等は、H2とTiCl4の比率が、約64:1から
2034:1にすべきであることを発見した。好適な比率は、堆積温度、圧力、
ペデスタル間隔、RF電力レベルおよび他の要因を含む他の堆積条件に一部依存
する。しかしながら、本発明者等は、上述した比率を用いると、少なくとも63
0から700℃のヒータ温度範囲および少なくとも1から10torrの堆積圧
力範囲を含む好適な堆積条件で、良質のチタン膜が堆積されることを発見した。
ある特定のテストでは、良質のチタン膜は、3000sccmのH2の流量と、
400mg/m(47.23sccmと同等)のTiCl4の流量を用いて、6
4:1のH2/TiCl4の比率で、そして12,000sccmのH2流量と、
50mg/m(5.9sccmと同等)と低いTiCl4流量を用いて、203
4:1のH2/TiCl4の比率で堆積された。64:1よりも小さいH2/Ti
Cl4の流れの比率では、反応は水素が不足して不安定になり、2034:1よ
りも大きい流れの比率では、堆積膜がコンタクト内に許容できない低質のボトム
カバレッジを生じ始め、排気の管理が困難になる。
【0072】 IV.テスト結果と測定値 本発明の効果を示すために、本発明の方法の利点を取り入れたものと、取り入
れていないものとでチタン層を堆積する実験を行った。実験は、アプライドマテ
リアルズ社製の抵抗加熱TixZチャンバで実行した。TixZチャンバは、2
00mmウェーハ用に装備されたもので、アプライドマテリアルズ社製のCen
turaマルチチャンバ基板処理システムに設置した。
【0073】 これらの実験のセットの1つでは、二酸化シリコン層を堆積させたウェーハに
チタン堆積ステップを行う前に、さまざまな前処理ステップ(ステップ325)
を実行した。これらの前処理ステップの最初のステップで、Cl2(125sc
cm)、N2(500sccm)およびAr(200sccm)のプロセスガス
からプラズマを形成した。400WのRF電力レベルを用いてプラズマを形成し
、異なるテストにおいて40から100秒間維持した。テスト結果によれば、こ
のステップは、1.1C/secの速度で酸化シリコン層をエッチングしたが、
エッチングはあまり均一なものではく、制御不能で保持力が強いため、酸化シリ
コン以外にもシリコンをエッチングした。
【0074】 さらなるテストによれば、Cl2プラズマ前処理ステップからの塩素により、
次のチタン堆積ステップが妨げられた。特に、残留塩素は、ステップ335にお
いてチタン膜の堆積速度を落とす原因であると考えられる。また、その結果生じ
るチタン層は、Cl2プラズマ前処理ステップを行わずに堆積されたチタン層よ
りも均一性が低い。
【0075】 また、本発明者等は、本発明の現在の好適な実施形態によりH2を用いてプラ
ズマ前処理ステップのテストを行った。これらのテスト結果によれば、H2(1
2slm)およびAr(5500sccm)プラズマ(RF電力900W)は、
約0.8C/secの速度で酸化シリコンを均一にエッチングした。また、エッ
チプロセスは、シリコンにダメージを与える兆候はまったく示さなかった点では
比較的穏やかであった。図5Aおよび図5Bは、この処理を用いたエッチングの
均一性を示す。図5Aは、本発明のプラズマ前処理ステップを受ける前のウェー
ハに堆積された二酸化シリコン層の厚みを示す。当業者に公知のものであるルド
ルフフォーカスエリプソメータを用いて測定を行った結果によると、前処理ステ
ップを行う前、酸化物層の厚みは、132”で15.61Cであった。図5Bは
、90秒の前処理ステップの直後の酸化物層の厚みを表す。図5Bにおいて、酸
化物層の厚みは、58”で16.7Cである。図5Aと図5Bを比較すると明ら
かなように、図5Bの酸化物層の厚みの変化は、図5Aに示す変化とほぼ同一で
ある。したがって、この比較から、ステップ325のエッチは非常に均一なもの
であることは明白である。
【0076】 また、本発明者等は、本発明により堆積されたチタン層の抵抗率と、同様のプ
ロセスではあるが、プラズマ前処理ステップを行わず、チタン堆積前に酸化堆積
物を除去するのに半導体製造業者により一般に通常使用されているHF浸漬ステ
ップを行わずに堆積されたチタン層の抵抗率を測定した。これらのテストの結果
によれば、300Åのチタン層の場合、プラズマ前処理ステップで処理されたも
のと比較すると、層の抵抗率は、プラズマ前処理ステップで処理されていないチ
タン膜では0.5から1.0S/G高いものであった。
【0077】 これらの結果により、本発明のプラズマ前処理ステップを使用すると、チタン
層の堆積前にシリコン基板上にある望ましくない酸化堆積物をエッチングして取
り除くことができることが証明された。前述したように、このような酸化は、通
常基板上に蓄積され、チタン膜の堆積用に別のチャンバに基板を移送する前に、
地籍物をエッチングして取り除くために、HF溶液への浸漬などの別の処理ステ
ップが予め必要となる。このようなHF浸漬ステップでは、ウェーハを後で乾燥
した直後に、さらなる酸化が生じる前に堆積チャンバに移送する必要がある。こ
のプロセスは、手間および時間がかかり、本発明のプロセスよりも本質的に信頼
性が低い。
【0078】 他のテストによれば、本発明のプロセスは、ホール210(図2A)などのコ
ンタクトホールの側壁上にまったくチタンを堆積せず、ボトムカバレッジは30
0%を超えるものであった。300%を超えるボトムカバレッジを示す膜には、
100Åチタン層がコンタクト内に堆積される場合、コンタクトの底部に形成さ
れた300Åのケイ化チタンがある。
【0079】 上記プロセスおよび実験に挙げたパラメータは、本願明細書に示されているよ
うに、請求項を限定するものではない。当業者であれば、好適な実施形態に関し
て記載したもの以外の化学物質、チャンバパラメータおよび条件を用いて、上述
したプロセスを修正可能である。このように、上述した記載は、説明を目的とし
たものであって制限を加えるものではなく、本発明は、さまざまな異なる堆積お
よびクリーニングプロセスにおいてチタン膜を堆積することに応用可能である。
例えば、ドライクリーニングプロセスでリモートプラズマシステム4を用いて、
Cl2ガス分子および/または他のガスを解離させてよい。同様に、リモートマ
イクロ波プラズマシステム4を用いて、堆積プロセス中にチタンおよび他のプロ
セスガスを解離してよく、解離したイオンは、チャンバ30に送られてよい。本
発明は、F2、ClF3などを含む異なるクリーニングソースと共に使用されてよ
く、本発明の開示は、例えば、TiI4(固体)およびあらゆる他のハロゲン化
チタン化合物などの異なるチタンソースと共に用いられてよい。また、プラズマ
前処理ステップ325を用いて、ウェーハを加熱し、堆積ステップ前にウェーハ
全体を均一な温度に安定させてよい。例えば、N2やNH3などの他のガスを用い
て、ステップ345および350においてチタン層をパッシベーションしてよい
。したがって、本発明の範囲は、上記記載を参照せずに決定されるべきものであ
り、それと同等の全範囲と共に添付の請求項を参照して決定されるべきものであ
る。
【0080】 本発明の開示を取り入れたさまざまな実施形態を本願明細書に示し記載してき
たが、当業者であれば、これらの開示を取り入れた多くの他の変形実施形態を容
易に案出できるであろう。
【図面の簡単な説明】
【図1A】 本発明による簡潔に示したプラズマ強化形化学気相堆積システムの1つの実施
形態の縦断面図である。
【図1B】 本発明の1つの実施形態による図1Aに示したセラミックペデスタル36の簡
潔に示した断面図である。
【図1C】 本発明の1つの実施形態による図1Aに示した堆積チャンバ30の簡潔に示し
た断面図である。
【図1D】 ユーザと本発明の堆積システムを制御可能なプロセッサとの間のインタフェー
スを示す図である。
【図1E】 本発明の1つの実施形態によるウェーハ全体および排気システム内へのガスの
流れを簡潔に示した部分断面斜視図である。
【図1F】 本発明の1つの実施形態によるシステム制御ソフトウェアの階層制御構造の説
明ブロック図である。
【図2A】 本発明により堆積されたチタン層が用いられた例示的コンタクト構造の簡潔に
示した断面図である。
【図2B】 図2Aのコンタクト構造における欠陥の形成を示す簡潔に示した断面図である
【図3】 本発明の方法の現在好適な実施形態によるチタン層を堆積するために使用され
るプロセスシーケンスのフローチャートである。
【図4】 チャンバクリーニングステップ中に時間と堆積の長さの関数として測定された
反射電力を示す線図である。
【図5A】 本発明の実験結果を示す膜厚測定である。
【図5B】 本発明の実験結果を示す膜厚測定である。
【図6】 本発明のチタンプロセスの好適なCVDを実施するためのデータの表(表1)
である。
【図7】 本発明のチタンクリーニングプロセスの好適なCVDを実施するためのデータ
の表(表2)である。
【符号の説明】
3、3A、3B、3C、3D 制御ライン 4 任意のリモートプラズマシステム 5 RF電源 6 熱交換システム 10 CVDシステム 30 チャンバ 32 ペデスタル 34 ウェーハポケット 36 ウェーハ 38 リフトピン 39 リフトリング 40 シャワーヘッド 42 孔 44 中央ガス入口 45 ガス供給カバープレート 46 流量制限リング 48 マニホールド 52 バフルプレート 53 環状絶縁体 54 ディスク状マニホールド 56 スリット弁 58 プロセスゾーン 60 ポンプチャネル 64 セラミックリング 66 チャンバ蓋 70、72 チャンバ蓋ライナ 74 排気孔 76 ポンププレナム 78 弁 80 排気口 82 真空ポンプ 83 絞り弁 85 プロセッサ 86 メモリ 88 真空システム 89 ガス分配システム 90 ガス供給パネル 91A、91B、91C 液体源 92A、92B、92C 供給ライン
───────────────────────────────────────────────────── フロントページの続き (72)発明者 スリニヴァス, ラマヌヤプラム, エ ー. アメリカ合衆国, カリフォルニア州, サン ノゼ, マウント ホーリー ドラ イヴ 6609 (72)発明者 ウー, リ アメリカ合衆国, カリフォルニア州, フレモント, オリーヴ アヴェニュー 2589 Fターム(参考) 4K030 AA03 AA16 AA17 BA18 CA04 DA08 FA10 LA15 4M104 BB14 BB30 DD22 DD43 DD86 FF16

Claims (23)

    【特許請求の範囲】
  1. 【請求項1】 反応チャンバにおいて基板上に堆積されたチタン層をパッシ
    ベーションする方法であって、 (A)水素の流れと窒素の流れを前記チャンバに加えるステップを含む方法。
  2. 【請求項2】 前記水素および窒素の流れが、それぞれおよそ800scc
    mである請求項1に記載の方法。
  3. 【請求項3】 前記水素および窒素の流れが、それぞれおよそ10から30
    秒間続く請求項1に記載の方法。
  4. 【請求項4】 前記チタン層が、CVDにより堆積されたものである請求項
    1に記載の方法。
  5. 【請求項5】 (B)チャンバにプラズマを形成するステップをさらに含む
    請求項1に記載の方法。
  6. 【請求項6】 前記プラズマが、窒素プラズマである請求項5に記載の方法
  7. 【請求項7】 前記水素および窒素の流れが、それぞれおよそ800scc
    mである請求項5に記載の方法。
  8. 【請求項8】 前記水素および窒素の流れが、それぞれおよそ8秒間続く請
    求項5に記載の方法。
  9. 【請求項9】 前記プラズマが、およそ10秒間続く請求項5に記載の方法
  10. 【請求項10】 前記プラズマが、前記チャンバ内に設けられた電極にRF
    電力を印加することにより形成される請求項5に記載の方法。
  11. 【請求項11】 前記プラズマが、リモートプラズマ源に形成され、前記反
    応チャンバに送られる請求項5に記載の方法。
  12. 【請求項12】 反応チャンバにおいて基板上に堆積されたチタン層をパッ
    シベーションする方法であって、 (A)前記チャンバにおいてプラズマを形成するステップを含む方法。
  13. 【請求項13】 前記プラズマが、窒素プラズマである請求項12に記載の
    方法。
  14. 【請求項14】 前記プラズマが、およそ10から30秒間続く請求項12
    に記載の方法。
  15. 【請求項15】 前記プラズマが、チャンバにおよそ600WのRF電力を
    印加することにより生成される請求項12に記載の方法。
  16. 【請求項16】 前記プラズマが、前記チャンバ内に設けられた電極にRF
    電力を印加することにより形成される請求項12に記載の方法。
  17. 【請求項17】 前記プラズマが、リモートプラズマ源に形成され、前記反
    応チャンバに送られる請求項15に記載の方法。
  18. 【請求項18】 前記プラズマが、水素とアルゴンをさらに含む請求項12
    に記載の方法。
  19. 【請求項19】 前記チタン層が、CVDにより堆積されたものである請求
    項12に記載の方法。
  20. 【請求項20】 チタン層が堆積された半導体ウェーハを処理するための反
    応チャンバと、前記反応チャンバの動作を制御するためのプロセッサとを備える
    半導体ウェーハ処理システムにおいて、前記プロセッサが実行されると、 前記半導体ウェーハが存在する前記反応チャンバに、窒素の流れと水素の流れ
    を加えるステップを実行することにより、前記反応チャンバに前記チタン層をパ
    ッシベーションさせるプログラムを含むプロセッサ読取り可能媒体。
  21. 【請求項21】 前記プロセッサが実行されると、 前記半導体ウェーハが存在する前記反応チャンバにプラズマを形成するステッ
    プを実行することにより、前記反応チャンバに前記チタン層をパッシベーション
    させるプログラムをさらに含む請求項20に記載のプロセッサ読取り可能媒体。
  22. 【請求項22】 前記プラズマが、窒素プラズマである請求項21に記載の
    プロセッサ読取り可能媒体。
  23. 【請求項23】 前記窒素と水素の流れが、およそ10から30秒間続く請
    求項20に記載のプロセッサ読取り可能媒体。
JP2000580240A 1998-10-29 1999-10-21 化学気相堆積膜のその場での堆積後表面パッシベーション方法 Withdrawn JP2002529912A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/182,955 1998-10-29
US09/182,955 US6432479B2 (en) 1997-12-02 1998-10-29 Method for in-situ, post deposition surface passivation of a chemical vapor deposited film
PCT/US1999/024916 WO2000026952A1 (en) 1998-10-29 1999-10-21 Method for in-situ, post deposition surface passivation of a chemical vapor deposited film

Publications (1)

Publication Number Publication Date
JP2002529912A true JP2002529912A (ja) 2002-09-10

Family

ID=22670782

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000580240A Withdrawn JP2002529912A (ja) 1998-10-29 1999-10-21 化学気相堆積膜のその場での堆積後表面パッシベーション方法

Country Status (4)

Country Link
US (1) US6432479B2 (ja)
JP (1) JP2002529912A (ja)
KR (1) KR100798552B1 (ja)
WO (1) WO2000026952A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008311457A (ja) * 2007-06-15 2008-12-25 Renesas Technology Corp 半導体装置の製造方法

Families Citing this family (277)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6841203B2 (en) * 1997-12-24 2005-01-11 Tokyo Electron Limited Method of forming titanium film by CVD
US6869870B2 (en) 1998-12-21 2005-03-22 Megic Corporation High performance system-on-chip discrete components using post passivation process
JP4515550B2 (ja) * 1999-03-18 2010-08-04 東芝モバイルディスプレイ株式会社 薄膜形成方法
EP1077480B1 (en) * 1999-08-17 2008-11-12 Applied Materials, Inc. Method and apparatus to enhance properties of Si-O-C low K films
US7189332B2 (en) * 2001-09-17 2007-03-13 Texas Instruments Incorporated Apparatus and method for detecting an endpoint in a vapor phase etch
US7214289B2 (en) * 2001-10-24 2007-05-08 Tokyo Electron Limited Method and apparatus for wall film monitoring
TW523939B (en) * 2001-11-07 2003-03-11 Nat Univ Chung Hsing High-efficient light emitting diode and its manufacturing method
US6750146B2 (en) * 2002-04-03 2004-06-15 United Microelectronics Corp. Method for forming barrier layer
GB0217553D0 (en) * 2002-07-30 2002-09-11 Sheel David W Titania coatings by CVD at atmospheric pressure
JP3574651B2 (ja) * 2002-12-05 2004-10-06 東京エレクトロン株式会社 成膜方法および成膜装置
US20040118436A1 (en) * 2002-12-21 2004-06-24 Joyce James M. Method and apparatus for thermal gas purging
US6703317B1 (en) * 2003-01-30 2004-03-09 Taiwan Semiconductor Manufacturing Co., Ltd Method to neutralize charge imbalance following a wafer cleaning process
US6911093B2 (en) * 2003-06-02 2005-06-28 Lsi Logic Corporation Lid liner for chemical vapor deposition chamber
US7608300B2 (en) * 2003-08-27 2009-10-27 Applied Materials, Inc. Methods and devices to reduce defects in dielectric stack structures
US8152922B2 (en) 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
US7727588B2 (en) * 2003-09-05 2010-06-01 Yield Engineering Systems, Inc. Apparatus for the efficient coating of substrates
JP4593477B2 (ja) * 2003-11-14 2010-12-08 東京エレクトロン株式会社 基板処理方法
US20050221612A1 (en) * 2004-04-05 2005-10-06 International Business Machines Corporation A low thermal budget (mol) liner, a semiconductor device comprising said liner and method of forming said semiconductor device
US20070286965A1 (en) * 2006-06-08 2007-12-13 Martin Jay Seamons Methods for the reduction and elimination of particulate contamination with cvd of amorphous carbon
KR20060041497A (ko) * 2004-11-09 2006-05-12 동부일렉트로닉스 주식회사 건식 식각장치
KR100636036B1 (ko) * 2004-11-19 2006-10-18 삼성전자주식회사 티타늄 질화막 형성 방법 및 이를 수행하기 위한 장치
KR100636037B1 (ko) * 2004-11-19 2006-10-18 삼성전자주식회사 티타늄 질화막 형성 방법 및 이를 수행하기 위한 장치
US20080314320A1 (en) * 2005-02-04 2008-12-25 Component Re-Engineering Company, Inc. Chamber Mount for High Temperature Application of AIN Heaters
WO2007084493A2 (en) * 2006-01-19 2007-07-26 Asm America, Inc. High temperature ald inlet manifold
JP2008108703A (ja) * 2006-09-28 2008-05-08 Covalent Materials Corp 面状ヒータ及びこのヒータを備えた半導体熱処理装置
KR20090068221A (ko) * 2006-10-13 2009-06-25 오므론 가부시키가이샤 플라즈마 반응로 처리 시스템을 이용한 전자 장치의 제조 방법
US20100177454A1 (en) * 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US8318269B2 (en) * 2009-02-17 2012-11-27 Mcalister Technologies, Llc Induction for thermochemical processes, and associated systems and methods
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5220062B2 (ja) 2009-06-10 2013-06-26 株式会社日立国際電気 半導体装置の製造装置及び半導体装置の製造方法
US8912096B2 (en) * 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
DE102011055061A1 (de) * 2011-11-04 2013-05-08 Aixtron Se CVD-Reaktor bzw. Substrathalter für einen CVD-Reaktor
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
RU2500025C2 (ru) * 2012-07-20 2013-11-27 Гарри Романович Аванесян Корреляционный измеритель временных сдвигов случайных сигналов
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9653282B2 (en) * 2014-07-29 2017-05-16 Applied Materials, Inc. Silicon-containing substrate cleaning procedure
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9397011B1 (en) * 2015-04-13 2016-07-19 Lam Research Corporation Systems and methods for reducing copper contamination due to substrate processing chambers with components made of alloys including copper
US20160329213A1 (en) * 2015-05-04 2016-11-10 Lam Research Corporation Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US20200131634A1 (en) * 2018-10-26 2020-04-30 Asm Ip Holding B.V. High temperature coatings for a preclean and etch apparatus and related methods
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115341194B (zh) * 2022-07-05 2024-02-23 华灿光电(苏州)有限公司 提高微型发光二极管发光一致性的生长方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3656995A (en) * 1969-05-02 1972-04-18 Texas Instruments Inc Chemical vapor deposition coatings on titanium
US4006073A (en) 1975-04-03 1977-02-01 The United States Of America As Represented By The United States Energy Research And Development Administration Thin film deposition by electric and magnetic crossed-field diode sputtering
US4243865A (en) 1976-05-14 1981-01-06 Data General Corporation Process for treating material in plasma environment
US4718976A (en) 1982-03-31 1988-01-12 Fujitsu Limited Process and apparatus for plasma treatment
DE3429899A1 (de) 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo Verfahren zur bildung eines abscheidungsfilms
US4557943A (en) 1983-10-31 1985-12-10 Advanced Semiconductor Materials America, Inc. Metal-silicide deposition using plasma-enhanced chemical vapor deposition
US4678679A (en) 1984-06-25 1987-07-07 Energy Conversion Devices, Inc. Continuous deposition of activated process gases
JPS61137367A (ja) 1984-12-10 1986-06-25 Hitachi Ltd 半導体集積回路装置の製造方法
FR2630133B1 (fr) * 1988-04-18 1993-09-24 Siderurgie Fse Inst Rech Procede pour l'amelioration de la resistance a la corrosion de materiaux metalliques
IT1241922B (it) 1990-03-09 1994-02-01 Eniricerche Spa Procedimento per realizzare rivestimenti di carburo di silicio
US5292555A (en) * 1990-07-04 1994-03-08 Degussa Aktiengesellschaft Process for applying nitride layers to titanium
KR100228259B1 (ko) 1990-10-24 1999-11-01 고지마 마따오 박막의 형성방법 및 반도체장치
CA2049809C (en) * 1990-11-05 2001-02-06 H. Ravindranath Shetty Method of surface hardening orthopaedic implant devices
US5709958A (en) 1992-08-27 1998-01-20 Kabushiki Kaisha Toshiba Electronic parts
WO1995034092A1 (en) 1994-06-03 1995-12-14 Materials Research Corporation A method of nitridization of titanium thin films
DE4440386A1 (de) * 1994-11-11 1996-05-15 Pacesetter Ab Elektroden für medizinische Anwendungen
FR2733255B1 (fr) * 1995-04-21 1997-10-03 France Etat Procede de fabrication d'une piece metallique recouverte de diamant et piece metallique obtenue au moyen d'un tel procede
KR100218728B1 (ko) 1995-11-01 1999-09-01 김영환 반도체 소자의 금속 배선 제조방법
TW319891B (en) 1996-02-02 1997-11-11 Taiwan Semiconductor Mfg Method for improved aluminium-copper deposition and robust via contact resistance
JP2882352B2 (ja) 1996-04-19 1999-04-12 日本電気株式会社 半導体装置の製造方法
JP3105788B2 (ja) * 1996-07-15 2000-11-06 日本電気株式会社 半導体装置の製造方法
DE69815163T2 (de) 1997-01-24 2004-05-06 Applied Materials, Inc., Santa Clara Verfahren und Vorrichtung zur Abscheidung von Titanschichten
US5989652A (en) * 1997-01-31 1999-11-23 Tokyo Electron Limited Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications
JP3381767B2 (ja) * 1997-09-22 2003-03-04 東京エレクトロン株式会社 成膜方法および半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008311457A (ja) * 2007-06-15 2008-12-25 Renesas Technology Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
KR100798552B1 (ko) 2008-01-28
KR20010080370A (ko) 2001-08-22
WO2000026952A1 (en) 2000-05-11
US20010003015A1 (en) 2001-06-07
US6432479B2 (en) 2002-08-13

Similar Documents

Publication Publication Date Title
JP2002529912A (ja) 化学気相堆積膜のその場での堆積後表面パッシベーション方法
US5926743A (en) Process for chlorine trifluoride chamber cleaning
KR100562206B1 (ko) 최적의낮은유전상수hdp-cvd처리를위한처방단계의시퀀싱
US5843239A (en) Two-step process for cleaning a substrate processing chamber
US6802933B2 (en) Apparatus for performing self cleaning method of forming deep trenches in silicon substrates
US6121161A (en) Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US6020035A (en) Film to tie up loose fluorine in the chamber after a clean process
KR100870852B1 (ko) 배면 오염의 저감을 위한 인 시츄 웨이퍼 열처리
JP4176864B2 (ja) 四弗化珪素/酸素の化学作用を用いて低誘電率のSi−O−F膜を堆積させる方法
US6125859A (en) Method for improved cleaning of substrate processing systems
JP4999823B2 (ja) チャッキングの再現性を向上するための技術的手段
US20060219169A1 (en) Hdp-cvd seasoning process for high power hdp-cvd gapfil to improve particle performance
JPH1167746A (ja) Hdp−cvd装置内の粒子特性を改善するシーズニングプロセスにおける酸素対シランの比の制御
JP4511721B2 (ja) インサイチュプレクリーニングステップを含むウェーハ上のチタン化学気相堆積法
KR100527811B1 (ko) Cvd챔버내의과도한알루미늄축적을최소화하기위한방법및장치
WO2003064724A1 (en) Process for tungsten deposition by pulsed gas flow cvd

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20070109