JP4176864B2 - 四弗化珪素/酸素の化学作用を用いて低誘電率のSi−O−F膜を堆積させる方法 - Google Patents

四弗化珪素/酸素の化学作用を用いて低誘電率のSi−O−F膜を堆積させる方法 Download PDF

Info

Publication number
JP4176864B2
JP4176864B2 JP09008698A JP9008698A JP4176864B2 JP 4176864 B2 JP4176864 B2 JP 4176864B2 JP 09008698 A JP09008698 A JP 09008698A JP 9008698 A JP9008698 A JP 9008698A JP 4176864 B2 JP4176864 B2 JP 4176864B2
Authority
JP
Japan
Prior art keywords
chamber
gas
oxygen
sif
flow rate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP09008698A
Other languages
English (en)
Other versions
JPH10284487A (ja
Inventor
シヴァラマクリシュナン ヴィスウェスワレン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH10284487A publication Critical patent/JPH10284487A/ja
Application granted granted Critical
Publication of JP4176864B2 publication Critical patent/JP4176864B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、ウエーハ処理中における誘電体層の堆積に関し、より詳細には、低誘電率と、良好な隙間埋込み能力とを有する弗素ドープ層(fluorine doped layer)を形成するための方法と装置に関する。
【0002】
【従来の技術】
最新の半導体デバイスの製作における主要なステップの一つは、ガスの化学反応による半導体基板上への薄膜の形成である。上記堆積プロセスは化学的気相堆積、または「CVD」と呼ばれる。従来の熱CVDプロセスは、基板表面に反応性ガスを供給し、その表面で熱誘導化学反応が起こって所望の膜を生成する。
【0003】
半導体デバイスの幾何学的形状は、数十年前に上記デバイスが最初に導入されて以来、寸法が劇的に小さくなった。それ以来、集積回路は、概ね2年/ハーフサイズ、の法則(しばしば「ムーアの法則」と呼ばれる)に従ってきたが、これは、チップに装備されるデバイスの数が2年毎に2倍になることを意味する。今日のウェーハ製作プラントは、日常的に0.5ミクロンの、そして0.35ミクロンもの寸法形状 サイズのデバイスまでも生産しており、明日のプラントは、いずれ更に小さい幾何学的形状を持つデバイスを生産していることとなろう。
【0004】
デバイスのサイズが小型化して集積密度が上がるにつれて、以前は業界で重要視されなかった問題が重大な関心を呼ぶようになった。3つか4つ以上の金属層が半導体上に形成されるマルチレベル金属テクノロジーの出現によって、半導体メーカーの一つの目標は、絶縁層、例えば熱CVD法やプラズマ強化CVD(PECVD)法によって堆積した金属間誘電体層の誘電率を低下させることである。低誘電率膜は、金属間誘電(IMD)層が相互接続メタライゼーションのRC時間遅れを削減して、異なるレベルのメタライゼーション間のクロストークを防止すると共に、デバイスの電力消費を削減するために特に望ましい。
【0005】
より低い誘電率を獲得するための多数の方法が提案されている。より有望な解決策の一つは、弗素またはその他のハロゲン元素、例えば塩素や臭素を、酸化珪素層に入れ込んで、酸化物/ハロゲンのネットワークを形成することである。ハロゲン内蔵の例は、1994年11月24日に出願された米国特許出願第08/344,283号に記載されている。弗素は、酸化珪素膜用の好ましいハロゲンドーパントだが、弗素が全Si−O−Fネットワークの分極率を減少させる陰性原子なので、酸化珪素膜の誘電率を低下させる。弗素ドープ酸化珪素膜は、また、弗化珪酸ガラス膜または短縮してFSGとも呼ぶ。
【0006】
誘電率の低下に加えて、金属間酸化珪素層に弗素を入れ込むことは、小型デバイスの製作で遭遇する共通の問題、例えば半導体構造上の、密接に配置された隙間の埋めみを解決することにも役立つ。弗素はエッチング性種なので、弗素ドーピングは酸化物の形成に関して堆積/エッチング/堆積の効果をもたらす。堆積/エッチング/堆積の効果は、FSG膜が、1.8以上のアスペクト比を持つ隣接金属層を適切に覆うことができるような隙間埋込み能力の向上を可能とする。
【0007】
【発明が解決しようとする課題】
かくして、メーカーは、弗素を様々な誘電体層、特に金属間誘電体層に含ませることを望んでいる。様々な前駆ガスと前駆液が、これらのFSG膜の形成時に弗素ソースとして使用されている。これらの前駆物質の中には、NF3、HF、SF6、CF4、C26、C2Cl33、およびトリエトキシフルオロシラン(triethoxyfluorosilane) (TEFS)を含む。
【0008】
SiF4は、FSG膜の形成用に上記の弗素ソースの一部との関連で使用されている。しかし、上記のプロセスで、SiF4は珪素ソースとして使用され、第2ガス、例えばNF3、HF、SF6、CF4、C26、C2Cl33、SF6、またはF2が弗素ソースとして使用されている。SiF4がテトラエチルオルソシラン(tetraethylorthosilane) (TEOS)のような有機珪素ソース等の珪素含有ソースと組み合わされて、FSG膜の形成用の唯一の弗素ソースとして使用されたことはない。
【0009】
【課題を解決するための手段】
酸化物層は通常、プラズマ強化プロセスまたは熱プロセスによって形成される。プラズマ強化プロセスは次式で表される。
【0010】
TEOS+O2 --(プラズマ)-- −> SiO2
プラズマプロセスから生じる酸化物層は、小さな幾何学的形状で高いアスペクト比を持つボイドを基板上に形成し、従って上記基板上に金属間層(intermetallic layers)を形成するには適当でない。
【0011】
他方、熱プロセスは次式で表される。
【0012】
TEOS+O3 --(熱)-- −> SiO2+H2
オゾンの使用は、熱プロセスがプラズマプロセスとほぼ同一温度、すなわち約400℃で進行することを可能にする。更に、熱プロセスは3:1のアスペクト比を持つ0.25ミクロンの幾何学的形状に対して非常に良好な隙間埋込み特性を提供する。
【0013】
上記の熱プロセス中、弗素が例えばSiF4等の弗素含有化合物によって導入された場合、弗素はH2Oと反応してHFを形成する。上記のTEOS熱CVDプロセスに対する酸化物の堆積速度は約1500オングストローム/分だが、SiF4の導入は堆積速度を100オングストローム/分以下まで減少させる。弗素反応の間に形成されたHFは、膜の一部をエッチングして堆積速度を減少させると考えられている。
【0014】
SiF4が熱プロセスでオゾンと直接に反応した場合、熱エネルギーは反応の限界エネルギーに打ち勝つには充分でないので、膜は堆積しない。
【0015】
本発明では、SiF4はCVDチャンバから離れた排出チューブ内で処理されて、SiF4をSiF*やSiF2*等の解離SiFラジカル(dissosiated SiF4 radicals) に解離する。これらのラジカルは次に、オゾン(O3)と共にチャンバ内に導入されて、上記の熱プロセスと一致した隙間埋込み特性を持つと予想されるSi−O−F膜を形成する。SiF4とオゾンが反応しない上記のプロセスとは対照的に、解離SiF4ラジカルは、はるかに低い反応限界を持ち、解離SiF4ラジカルとオゾンが充分高い速度で反応して、商業的に許容できる堆積速度で酸化物層を形成するものと予想される。更に、膜中のFの存在によって、膜がより低い誘電率と良好な隙間充填能力を持つことになるに違いない。
【0016】
本発明の別の側面によれば、酸素(O2)がSiF4ラジカルと共にチャンバ内に導入されて、上記の望ましい特性を持つSi−O−F膜を形成する。反応は解離SiF4ラジカルの高い反応性によって、酸素に対して許容可能な堆積速度で進行するものと予想される。
【0017】
このプロセスに関して重大なことは、処理チャンバから離れてSiF4が解離されることである。解離がチャンバ内で行なわれるとすれば、プラズマが形成されて、基板の表面近くでシース(sheath)を造るだろう。当技術分野で周知のように、シースの場は基板の表面に垂直で、プラズマ電子を基板の表面上へ加速することによって堆積膜の品質を劣化させる。かくして、チャンバから離れてSiF4を解離することによって、インシチュ(in situ:その場)プラズマの表面劣化と損傷効果が回避される。
【0018】
【発明の実施の形態】
I.典型的なCVDチャンバ
本発明の方法が実行される一つの適当なCVD装置を、図1と図2に示す。これらの図は、チャンバ壁15aとチャンバ蓋アセンブリ15bとを含む真空チャンバ15を持つ化学的気相堆積チャンバ10、つまり処理チャンバの縦断面図である。チャンバ部品を図3と図4に斜視図で示す。
【0019】
処理チャンバ10は、ガス分配マニホルド11を含んでおり、プラテン12上に置かれたウェーハ(図示せず)へ処理ガスをマニホルド内の穿孔ホールを通して分散させるようになっている。一般に、各処理ガス用の処理ガス供給ラインは、(i)チャンバへの処理ガスの流れを自動、または手動で充填するために使用できる安全遮断バルブ(図示せず)、および(ii)ガス供給ラインを通るガスの流れを測定する質量流量コントローラ(これも図示せず)を含む。有毒ガスをプロセスで使用するときは、いくつもの安全遮断バルブが従来の構成で各ガス供給ライン上に配置される。マニホルド11に達する前に、堆積ガスおよびキャリヤガスはガスライン8を介して混合システム19にインプットされ、そこで両ガスが合わされた後、マニホルド11に送られる。
【0020】
処理チャンバ10内の中心に位置する加熱されるペデスタル12a上に設けられた支持面の形をなすプラテン12は、半導体ウェーハないしは基板(図示せず)を、ペデスタル12aの平らな(または、わずかに凸状の)円形面上でウェーハ処理位置に支持する。ペデスタル12aは、下方のローディング/オフローディング位置(図1に示す)と、マニホルド11に隣接する上方処理位置(図1の点線で表示すると共に、図2で示す)との間で制御可能に移動できる。センターボード(図示せず)は、ウェーハ位置の情報を提供するためのセンサを含む。
【0021】
上述のように、堆積プロセスの間、半導体ウェーハ(図示せず)はペデスタル12aの面上に支持される。この面は、処理ガスがチャンバに入る孔13b(図4参照)を持つ処理ガス分配面板13aに平行かつ近接して配置される。より詳細には、堆積プロセスガスは入口マニホルド11を通ると共に、従来型の平らな、円形ガス分配面板13aの孔13bを通ってチャンバに流入する。
【0022】
処理チャンバ10で実行される堆積プロセスは熱プロセスで、基板に供給される熱エネルギーによってCVD反応が促進されることにより、プロセスが反応生成物を基板の表面上に堆積させる。層へと堆積しない、反応生成物を含む混合ガスの残りは、真空ポンプ(図示せず)によってチャンバから排出される。詳細には、ガスは、反応領域を充分に囲む環状のスロット型オリフィス16を通って環状の排気プレナム17に排気される。環状スロット16とプレナム17は、チャンバの円筒形側壁18(壁上の上部誘電ライニングを含む)の上部と円形チャンバリッド20の底部の間の隙間によって画成される。スロットオリフィス16とプレナム17の360度の円対称と均一性が、ウェーハ上の処理ガスの均一な流れを獲得して均一な膜をウェーハ上に堆積させるために重要である。
【0023】
排気プレナム17から、ガス流は排気プレナム17の側方延長部分の下を流れ、覗き窓(viewing port)22を過ぎて、下方に延びるガス通路23を通り、真空遮断バルブ24(その本体は下部チャンバ本体10aと一体)を過ぎて、外部真空ポンプ(図示せず)に連結する排気出口に至る。
【0024】
ペデスタル12(アルミニウムが望ましい)のウェーハ支持プラテンは、平行な同心円を形成するように構成された二重フルターン単ループ埋込み式ヒータ素子を使って加熱される。ヒータ素子の外側部分は支持プラテンの外周に隣接して延び、一方、内側部分は、より小さい半径を持つ同心円の経路に沿って延びる。ヒータ素子への配線はペデスタル12aのステムの中を通る。
【0025】
通常、チャンバライニング、ガス入口マニホルド面板、およびその他の各種チャンバハードウェアは、どれもすべて、アルミニウムか陽極処理アルミニウム等の材料で作られる。そのようなCVD装置の例は、Zhao 他に発行された米国特許第 5,558,717号、1994年11月30日出願、発明の名称「CVD処理チャンバ」、に記載されている。
【0026】
リフト機構とモータ32は、ウェーハがチャンバ10の側面の挿入/取出開口部26を通ってロボットブレード(図示せず)によってチャンバ本体に出入れされるときに、加熱されるペデスタルアセンブリ12aとそのウェーハリフトピン12bとを上下させる。モータ32は処理位置14と下部のウェーハローディング位置との間でプラテン12を昇降させる。ヒータ、モータ、供給ライン8に接続されるバルブまたは流量コントローラ、ガス送出システム、スロットルバルブ24、および基板加熱システムは、すべて、一部のみを図示する制御ライン36を介して、システムコントローラ34によって制御される。
【0027】
モータ32とガス混合システム19は、制御ライン36を介してシステムコントローラ34によって制御される。チャンバは、質量流量コントローラ(MFC)等のアナログアセンブリと、記憶装置38に格納されたシステム制御ソフトウェアを実行するシステムコントローラ34によって制御される加熱ユニットとを含む。記憶装置38は、好ましい実施形態ではハードディスクドライブである。モータと光学センサを使って、スロットルバルブやプラテン等の可動機械アセンブリの位置を移動、決定する。
【0028】
システムコントローラ34はCVD装置の動作のすべてを制御する。システムコントローラはシステム制御ソフトウェアを実行するが、そのソフトウェアは記憶装置38等のコンピュータ読取可能媒体に格納されたコンピュータプログラムである。記憶装置86はハードディスクドライブが望ましいが、他のタイプの記憶装置でもよい。コンピュータプログラムは、タイミング、ガスの混合、チャンバ圧力、チャンバ温度、プラテン位置、および特定プロセスのその他パラメータを指示する命令のセットを含む。勿論、例えば、フロッピーディスクその他の別の適切なドライブを含む別の記憶デバイスに格納されたプログラム等の他のコンピュータプログラムを使ってプロセッサ34を動作させてもよい。
【0029】
好ましい実施形態では、システムコントローラは、ハードディスクドライブ(記憶装置38)、フロッピーディスクドライブ、およびカードラックを含む。カードラックはシングルボードコンピュータ(SBC)プロセッサ37、アナログおよびディジタルI/Oボード、インターフェースボード、およびステッパーモータ・コントローラボードを含む。CVDシステム10の各種パーツは、ボード、カードケージ、およびコネクタの寸法とタイプを規定する Versa Modular European(VME)規格に適合する。VME規格は、16ビットデータバスと24ビットアドレスバスを有するバス構造も規定する。
【0030】
ユーザーとプロセッサ34間のインターフェースは、図5に示すCRTモニタ50aとライトペン50bによる。図5は、一つ以上のチャンバを含むマルチ・チャンバシステムにおけるシステムモニタとCVDシステムの簡略図である。好ましい実施形態では2つのモニタ50aが使用されるが、一つはオペレータ用にクリーンルーム壁に取り付けられ、もう一つはサービス技術者用にその壁の後に取り付けられる。両モニタ50aは同一情報を同時に表示するが、一本のライトペン50bだけが使用可能である。ライトペン50bは、ペンの先端の光センサによって、CRTディスプレイが放射する光を検出する。特定の画面やファンクションを選択するには、オペレータが表示画面の指定区域に触れて、ペン50bのボタンを押す。触れられた区域はそのハイライト色を変えるか、または新しいメニューか画面が表示されて、ライトペンと表示画面の間のコミュニケーションを確認する。ユーザーによるプロセッサ34とのコミュニケーションを可能にするために、ライトペン50bの代わりか、それに加えて他の装置、例えばキーボード、マウスその他の指示またはコミュニケーション装置を使用してもよい。
【0031】
膜を堆積させるためのプロセスは、プロセッサ34によって実行されるコンピュータプログラム製品を使って実行できる。コンピュータプログラムコードは、6800アセンブリ言語、C、C++、パスカル、フォートラン等の任意の従来形コンピュータ読取可能プログラム言語で書けるものである。適当なプログラムコードは、従来のテキストエディタを使って単一ファイルまたはマルチファイルに入力され、コンピュータの記憶装置システム等のコンピュータ使用可能媒体に格納されるか、組み込まれる。入力されたコードテキストが高レベル言語の場合、コードがコンパイルされ、結果のコンパイラコードが次に、プリコンパイルされたウィンドウのライブラリ・ルーチンの目的コードとリンクされる。リンクされたコンパイル済み目的コードを実行するために、システムユーザーが目的コードを呼び出すことによって、コンピュータシステムがコードを記憶装置にロードして、その記憶装置からCPUがコードを読み取って実行し、プログラムで識別されたタスクが実行される。
【0032】
図6は、特定実施形態によるシステム制御ソフトウェア、コンピュータプログラム70の階層的制御構造の例示的なブロック図を示す。ライトペンインターフェースを使って、ユーザーは、CRTモニタに表示されたメニューまたは画面に応じてプロセスのセット番号と処理チャンバ番号をプロセスセレクタ・サブルーチン73に入力する。プロセスセットは、特定プロセスを実行するために必要なプロセスパラメータの所定のセットであり、あらかじめ定められたセット番号によって識別される。プロセスセレクタ・サブルーチン73は、(i)所望のプロセス番号、および(ii)所望のプロセスを実行するために処理チャンバを運転するのに必要なプロセスパラメータの所望のセットを識別する。特定プロセスを実行するためのプロセスパラメータは、プロセス条件、例えば処理ガスの組成と流量、温度、圧力、冷却ガス圧力、およびチャンバ壁温度に関係し、レシピの形でユーザーに提供される。プロセスレシピによって規定されるパラメータは、ライトペン/CRTモニターインターフェースを利用して入力される。
【0033】
プロセスをモニタするための信号は、システムコントローラのアナログ入力およびディジタル入力ボードによって提供され、プロセスを制御するための信号はCVDシステム10のアナログ出力およびディジタル出力ボード上に出力される。
【0034】
プロセスシーケンサ・サブルーチン75は、識別された処理チャンバとプロセスパラメータのセットをプロセスセレクタ・サブルーチン73から受け付けるためと、各種処理チャンバの運転を制御するためのプログラムコードを持つ。複数のユーザーがプロセスセット番号と処理チャンバ番号を入力できるし、また1ユーザーが複数のプロセスセット番号と処理チャンバ番号を入力できるので、シーケンサ・サブルーチン75は、所望のシーケンスの選択されたプロセスをスケジュールするように動作する。シーケンサ・サブルーチン75は、(i)処理チャンバの運転をモニタしてチャンバが使用されているか否かを決定するステップ、(ii)使用中のチャンバで何のプロセスが実行されているかを決定するステップ、および(iii)処理チャンバの利用可能度と実行されるプロセスのタイプに基づいて所望のプロセスを実行するステップ、を実行するためのプログラムコードを含むことが望ましい。処理チャンバをモニタする従来方法、例えばポーリングを使用できる。どのプロセスを実行すべきかをスケジュールするために、シーケンサ・サブルーチン75は、選択されたプロセスに対する所望のプロセス状態と比較した使用中の処理チャンバの現状、ユーザーによって入力されたそれぞれの特定リクエストの「年令(age) 」、あるいはスケジュールの優先度を決定するためにシステムプログラマが含めることを望む他の任意の要因、を考慮する。
【0035】
シーケンサ・サブルーチン75が、どの処理チャンバとプロセスセットのコンビネーションを次に実行しようとしているかを決定すると、シーケンサ・サブルーチン75は、該サブルーチンによって決定されたプロセスセットに従って処理チャンバ10内で多重処理タスクを制御するチャンバマネジャーサブルーチン77a〜77cに特定プロセスセットパラメータを渡すことによって、プロセスセットの実行をもたらす。例えば、チャンバマネジャーサブルーチン77aは、処理チャンバ10内でのスパッタリングとCVDプロセス運転とを制御するためのプログラムコードを持つ。チャンバマネジャーサブルーチン77は、選択されたプロセスセットを実行するために必要なチャンバコンポーネントの運転を制御する様々なチャンバコンポーネントサブルーチンの実行も制御する。チャンバコンポーネントサブルーチンの例は、基板位置決めサブルーチン80、プロセス制御サブルーチン83、圧力制御サブルーチン85、およびヒータ制御サブルーチン87である。当業者なら、どのプロセスが処理チャンバ10での実行を希望されるかによって、他のチャンバ制御サブルーチンを含め得ることは容易に理解されよう。オペレーションでは、チャンバマネジャー77aは、実行される特定のプロセスセットに従って、プロセスコンポーネントサブルーチンを選択的にスケジュールするか、呼び出す。チャンバマネジャーサブルーチン77aは、シーケンサ・サブルーチン75がどの処理チャンバ10とプロセスセットを次に実行すべきかをスケジュールするように、プロセスコンポーネントサブルーチンをスケジュールする。通常、チャンバマネジャーサブルーチン77aは、各種チャンバコンポーネントをモニタするステップ、実行されるプロセスセット用のプロセスパラメータに基づいてどのコンポーネントを運転する必要があるかを決定するステップ、および該モニタステップと決定ステップとに応答するチャンバコンポーネントサブルーチンの実行を起こすステップ、を含む。
【0036】
ここで、特定チャンバコンポーネントサブルーチンのオペレーションについて図6に関して説明する。基板位置決めサブルーチン80は、基板をプラテン12上にローディングするためと、オプションとして、基板を真空チャンバ15内の所望の高さに上昇させて、基板とガス分配マニホルド11間の間隔を制御するためとに使用されるチャンバコンポーネントを制御するためのプログラムコードを持つ。基板が処理チャンバ10にローディングされると、プラテン12が基板を受取るために下降させられ、その後、プラテン12は、CVDプロセスの間、基板をガス分配マニホルドから第1の距離または間隔に保つ。オペレーションでは、基板位置決めサブルーチン80は、チャンバマネジャーサブルーチン77aから転送された、サポート高さに関するプロセスセットパラメータに応じてプラテンの動きを制御する。
【0037】
処理ガス制御サブルーチン83は、処理ガス組成と流量とを制御するためのプログラムコードを持つ。処理ガス制御サブルーチン83は、安全遮断バルブの開/閉位置を制御すると共に、所望のガス流量を得るために、質量流量コントローラを傾きをもって増減調節する。処理ガス制御サブルーチン83は、すべてのチャンバコンポーネントサブルーチンと同様、チャンバマネジャーサブルーチンによって呼び出されて、チャンバマネジャーサブルーチンから、所望のガス流量に関するプロセスパラメータを受け取る。通常、処理ガス制御サブルーチン83は、ガス供給ラインを開くことにより、更には、反復して(i)必要な質量流量コントローラを読み取り、(ii)その読みを、チャンバマネジャーサブルーチン77aから受け取った所望の流量と比較し、そして(iii)必要に応じてガス供給ラインの流量を調節することによって、動作する。更に、処理ガス制御サブルーチン83は、ガス流量が不安全な流量か否かをモニタするためのステップ、および不安全な状態が検出されたときに安全遮断バルブを作動させるためのステップを含む。
【0038】
プロセスによっては、アルゴン等の不活性ガスが真空チャンバ15に導入されて、反応性処理ガスが導入される前にチャンバ内の圧力を安定させる場合がある。これらの目的のために、処理ガス制御サブルーチン83が、チャンバ内の圧力の安定化に必要な期間、真空チャンバ15内に不活性ガスを流入させるためのステップを含むようにプログラムされた後、上記の各ステップが実行されるだろう。更に、処理ガスが液体前駆物質、例えばテトラエチルオルソシラン(「TEOS」)から気化されるようなときは、処理ガス制御サブルーチン83は、ヘリウム等の送出ガスをバブラーアセンブリ内で液体前駆物質を通してバブリングするための、またはヘリウム等のキャリヤガスを液体噴射システムに導入するためのステップを含むように書かれるだろう。バブラーがこのタイプのプロセスに使用されるときは、処理ガス制御サブルーチン83は、所望の処理ガス流量を得るために、送出ガスの流量、バブラー内の圧力、およびバブラー温度を調整する。上記のように、所望の処理ガス流量がプロセスパラメータとして処理ガス制御サブルーチン83に転送される。更に、処理ガス制御サブルーチン83は、所定の処理ガス流量のための必要値を含む記憶されたテーブルにアクセスすることにより、所望の処理ガス流量のための必要な送出ガス流量、バブラー圧力、およびバブラー温度を得るためのステップを含む。一旦、必要な値が獲得されると、送出ガス流量、バブラー圧力、およびバブラー温度がモニタされ、必要な値と比較されて、それに従って調節される。
【0039】
圧力制御サブルーチン85は、チャンバの排気システム115のスロットルバルブの開口サイズを調整することによって真空チャンバ15内の圧力を制御するためのプログラムコードを持つ。スロットルバルブの開口サイズをセットして、処理ガス総流量、処理チャンバのサイズ、および排気システム用のポンピング設定点圧力に対してチャンバ圧力を所望のレベルに制御する。圧力制御サブルーチン85が呼び出されると、所望の、または目標圧力レベルがパラメータとしてチャンバマネジャーサブルーチン77aから受け取られる。圧力制御サブルーチン85は、チャンバに接続される1つ以上の従来型圧力計を読み取り、その測定値を目標圧力と比較して、目標圧力に対応するPID(比例、積分、および微分)値を、記憶された圧力テーブルから獲得し、圧力テーブルから得られたPID値に従ってスロットルバルブを調節することによって、真空チャンバ15内の圧力を測定する。その他、圧力制御サブルーチン85を、スロットルバルブを特定の開口サイズに開閉することによって真空チャンバ15を所望の圧力に調整するように書くことができる。
【0040】
ヒータ制御サブルーチン87は、基板を加熱するために使用される加熱ユニットへの電流を制御するためのプログラムコードを持つ。ヒータ制御サブルーチン87はチャンバマネジャーサブルーチン77aによっても呼び出され、目標または設定点温度パラメータを受け取る。ヒータ制御サブルーチン87は、プラテン12に配置された熱電対の電圧出力を測定し、測定した温度を設定点温度と比較し、設定点温度を獲得するために加熱ユニットに加えられる電流を増減することによって、温度を測定する。温度は、記憶された変換テーブルの対応する温度を調べることにより、または4次の多項式を使って温度を計算することによって、測定された電圧から獲得される。埋め込み式ループを用いてプラテン12を加熱するときは、ヒータ制御サブルーチン87は、ループに加えられる電流の昇降を徐々に制御する。更に、フェイルセーフモードを内蔵することによってプロセスの安全コンプライアンスを検出して、処理チャンバ10が適切に準備されない場合に加熱ユニットの運転を停止できる。
【0041】
上記チャンバ10の記述は主として説明のためであって、上記システムのバリエーション、例えばプラテン設計やヒータ設計のバリエーションは種々あることは理解されたい。例えば、ウェーハは石英ランプによって支持、加熱されてもよい。また、本発明の上記の層を形成するための装置と方法は特定の装置に限定されない。
【0042】
II.オゾンまたは酸素、および遠隔解離(remotely disassocated) SiF4ラジカルを使用したSi−O−F膜の堆積
図7は本発明の好ましい実施形態のブロック図である。図7では、酸素(O2 )のソース(供給源)51がオゾン発生器放電セル(励起チャンバ)52に接続され、四弗化珪素(SiF4)のソース(供給源)54が解離放電セル(励起チャンバ)56に接続される。放電セルからの出力は、上記のように、ガス混合チャンバ19の入力に連結されて真空チャンバに提供される。
【0043】
ガス混合チャンバに酸素を直接に提供するためのシステムを図8に示す。図7のシステムからの唯一の違いは、酸素ソース51がガス混合チャンバ19に直接に連結されていることである。
【0044】
図9と図10は好ましい実施形態で利用するための放電セルの特定の構成を示す。図9では、SiF4は、SiF4をラジカルに解離する市販のマイクロ波アプリケータ60、例えばマサチューセッツ州、Woburn の Applied Science and Technology(ASTeX)によって製造されたモデル7610の中を通される。解離ラジカルはガス混合チャンバ10に提供される。図10では、SiF4は市販のオゾン発生器52、例えばASTeX製のモデル8200に類似した構造の平行プレートチャンバ56の中を通される。
【0045】
図11は、酸素ソースとしてオゾンを利用してSi−O−F膜を形成するステップを示す流れ図である。膜の典型的な使用は、金属被覆層上に堆積した金属間誘電体層としてのものであろう。かくして、適当なウェーハがチャンバ内にローディングされて(500)、プラテン上に置かれ、チャンバが規定のプロセス圧まで減圧排気される。プラテンとウェーハは処理位置に移され(502)、規定の温度に加熱される(504)。SiF4が次に解離SiF3*とSiF*ラジカルに遠隔位置で解離されて(506)、オゾンが生成され(508)、解離ラジカルとオゾンとが混合されて(510)、処理ガスを形成する。処理ガスは選ばれた流量でチャンバに導入されて(512)、チャンバは、プロセスの期間中、選ばれたプロセス温度と圧力に維持される(514)。プロセスは、堆積したSi−O−F膜が規定の厚さになると終了する(516)。
【0046】
また、図12の酸素分子を酸素ソースとして利用する類似のプロセスを示す。オゾン発生ステップ508が省略され、酸素はSiF4ラジカルと反応して低誘電率を持つSi−O−F膜を形成する。
【0047】
適当なプロセス温度は400〜500℃の範囲で、適当なプロセス圧力は約1〜700torrの範囲である。堆積速度は、解離SiF4ラジカルの流量より大きなオーダーのSiF4の流量によって制御される。オゾンまたは酸素のプロセス流量は約4000〜5000sccmの範囲で、解離SiF4ラジカルのプロセス流量は約100〜300sccmの範囲である。従って、(解離SiF4ラジカルの流量対オゾン/酸素の流量)比は、1:50と3:40の間である。高圧プロセスは良好な隙間充填特性と低水分のSi−O−F膜を与えるものと予想される。
【0048】
上記の特定の流量と圧力は、直径8インチのウェーハに対する図1〜図6に示すチャンバでの本発明のプロセスの実行に関係する。当業者であれば、本明細書の開示を知った後、プロセスパラメータを修正して、異なる設計の真空チャンバのプロセスを実行することができるであろう。従って、上記の実施形態は本発明を限定しない。
【0049】
III.代表的構造
図13は、本発明による集積回路600の概略断面図を示す。図13に示すように、集積回路600はNMOSとPMOSのトランジスタ603、606とを含み、それらはフィールド酸化物領域620によって互いに分離されて電気的に絶縁される。各トランジスタ603、606はソース領域612、ゲート領域615、およびドレーン領域618から成る。
【0050】
プリメタル(premetal)誘電体層621は、金属層M1とトランジスタ間の接続部をコンタクト624で形成した状態で、トランジスタ603、606を金属層M1から分離する。金属層M1は、集積回路600に含まれる4つの金属層M1〜M4の一つである。各金属層M1〜M4は、隣接する金属層から、それぞれの金属間誘電体層627(IMD1、IMD2、およびIMD3)によって分離される。IMD層627はPECVDライニング層630、SACVD隙間充填層633、およびキャップ層636を含んでもよい。隣接する金属層は、選択された開口部でバイア(via)626によって接続される。金属層M4上に堆積するのは平担化パッシベーション層(planarized passivation layer)640である。IMD層627と同様、パッシベーション層640はライニング層642、隙間充填層645、およびキャップ層648を含んでもよい。
【0051】
本発明の層は、集積回路600に示す誘電体層のそれぞれに使用できる。また、本発明の層は一部の集積回路に含まれるダマシン(damascene)層にも使用できる。ダマシン層では、ブランケット層が基板上に堆積されて、基板まで選択的にエッチングされた後、金属で充填されて、再びエッチングされるか研磨されてM1等の金属コンタクトを形成する。金属層が堆積した後、第2のブランケット堆積が行なわれて選択的にエッチングされる。エッチング区域が次に金属で充填され、再びエッチングされるか研磨されてバイア626を形成する。
【0052】
言うまでもなく、簡略化した集積回路600は説明用に過ぎない。当業者ならば、マイクロプロセッサ、用途限定集積回路(ASICS)、記憶装置デバイス等の他の集積回路の製作のために本方法を実行することができるであろう。
【図面の簡単な説明】
【図1】本発明による化学的気相堆積装置の一実施形態の縦断面図である。
【図2】本発明による化学的気相堆積装置の一実施形態の縦断面図であり、ペディスタルを処理位置に上昇させた状態を示す図である。
【図3】図1に示すCVDチャンバの一部分の分解斜視図である。
【図4】図1に示すCVDチャンバの他の部分の分解斜視図である。
【図5】一つ以上のチャンバを含むマルチ・チャンバシステムのシステムモニタとCVDシステム10の概略図である。
【図6】特定の実施形態によるシステム制御ソフトウェア、コンピュータプログラム70の階層的制御構造の例示的なブロック図である。
【図7】本発明の好ましい実施形態のブロック図である。
【図8】本発明の好ましい他の実施形態のブロック図である。
【図9】好ましい実施形態で利用するための放電セルの一例を示すブロック図である。
【図10】好ましい実施形態で利用するための放電セルの別の例を示すブロック図である。
【図11】本発明の方法の一実施形態によるSi−O−F膜の形成におけるプロセス・ステップを示す流れ図である。
【図12】本発明の方法の他の実施形態によるSi−O−F膜の形成におけるプロセス・ステップを示す流れ図である。
【図13】本発明の方法によって製造された半導体デバイスの概略断面図である。
【符号の説明】
10…処理チャンバ(真空チャンバ)、11…ガス分配マニホルド、12…プラテン、12a…ペディスタル(基板ホルダ)、19…ガス混合システム、25…RF電源、34…コントローラ、37…プロセッサ、38…記憶装置、50,51…酸素ソース、52…放電セル(励起チャンバ)、54…SiF4ソース、56…放電セル(励起チャンバ)、60…マイクロ波アプリケータ。

Claims (7)

  1. CVD処理チャンバ内でSi−O−F絶縁層を基板に堆積させるための方法であって、
    前記処理チャンバを減圧排気して、所定の処理圧力を確立するステップと、
    所定の処理温度まで前記基板を加熱するステップと、
    所定の量の酸素含有ソースガスと遠隔解離SiFラジカルとから成る処理ガスを前記処理チャンバに導入するステップと
    を含み、
    前記処理チャンバに導入される前記酸素含有ソースガスの流量に対する前記処理チャンバに導入される前記遠隔解離SiF ラジカルの流量の比が1:50〜3:40の間である、方法。
  2. 前記酸素含有ソースガスは、酸素(O)またはオゾン(O)を含む、請求項1に記載の方法。
  3. 前記基板を加熱するステップは更に、該基板を400〜500℃の間の温度に加熱するステップを有する、請求項1又は2に記載の方法。
  4. 前記処理チャンバを減圧排気するステップは更に、該処理チャンバを1〜700torrの間の圧力に減圧排気するステップを有する、請求項1〜3のいずれかに記載の方法。
  5. 前記酸素含有ソースガスは4000〜5000sccmの間の流量で前記処理チャンバに導入されると共に、前記遠隔解離SiFラジカルは100〜300sccmの間の流量で前記処理チャンバに導入される、請求項1〜4のいずれかに記載の方法。
  6. 化学的気相堆積システムであって、
    真空チャンバと、
    前記真空チャンバ内に配置された、基板を保持するための基板ホルダと、
    前記解離SiF ラジカルと酸素含有ソースガスとをガス混合チャンバに提供するため、SiF ガスを解離する遠隔励起装置に連結され、処理ガスを前記ガス混合チャンバに導入するためのガス分配システムと、
    前記基板を加熱するためのヒータと、
    前記真空チャンバを減圧排気するための真空システムと、
    前記ガス分配システム、前記ヒータおよび前記真空システムを制御するためのコンピュータを含むコントローラと、
    前記化学的気相堆積システムの運転を指示するためのコンピュータ読取可能プログラムコードが内部に組み込まれたコンピュータ使用可能媒体を含む、前記コントローラに連結された記憶装置と
    を備え、
    前記コンピュータ読取可能プログラムコード
    記ガス分配システムをして、前記真空チャンバに導入される前記酸素含有ソースガスの流量に対する前記真空チャンバに導入される前記遠隔解離SiF ラジカルの流量の比が1:50〜3:40の間となるように、前記解離SiFラジカルと、前記酸素含有ソースとが前記ガス分配システムから前記ガス混合チャンバに導入される流量を選択的に制御させるためのプログラムコードを含む、
    化学的気相堆積システム。
  7. 前記酸素含有ソースガスは、酸素(O )またはオゾン(O )を含む、請求項6に記載の化学的気相堆積システム。
JP09008698A 1997-04-02 1998-04-02 四弗化珪素/酸素の化学作用を用いて低誘電率のSi−O−F膜を堆積させる方法 Expired - Fee Related JP4176864B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/825880 1997-04-02
US08/825,880 US5872065A (en) 1997-04-02 1997-04-02 Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry

Publications (2)

Publication Number Publication Date
JPH10284487A JPH10284487A (ja) 1998-10-23
JP4176864B2 true JP4176864B2 (ja) 2008-11-05

Family

ID=25245133

Family Applications (1)

Application Number Title Priority Date Filing Date
JP09008698A Expired - Fee Related JP4176864B2 (ja) 1997-04-02 1998-04-02 四弗化珪素/酸素の化学作用を用いて低誘電率のSi−O−F膜を堆積させる方法

Country Status (2)

Country Link
US (1) US5872065A (ja)
JP (1) JP4176864B2 (ja)

Families Citing this family (276)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6191026B1 (en) * 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US6042901A (en) * 1996-02-20 2000-03-28 Lam Research Corporation Method for depositing fluorine doped silicon dioxide films
KR100343134B1 (ko) * 1998-07-09 2002-10-25 삼성전자 주식회사 유전막형성방법
US6001747A (en) 1998-07-22 1999-12-14 Vlsi Technology, Inc. Process to improve adhesion of cap layers in integrated circuits
US6300672B1 (en) * 1998-07-22 2001-10-09 Siemens Aktiengesellschaft Silicon oxynitride cap for fluorinated silicate glass film in intermetal dielectric semiconductor fabrication
US6166427A (en) * 1999-01-15 2000-12-26 Advanced Micro Devices, Inc. Integration of low-K SiOF as inter-layer dielectric for AL-gapfill application
EP1050601A1 (en) * 1999-05-04 2000-11-08 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
EP1050600B1 (en) * 1999-05-04 2006-07-19 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
EP1077274A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
DE69940114D1 (de) * 1999-08-17 2009-01-29 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten SiO2-Filmen zur Erhöhung der Stabilität während der O2-Veraschung
EP1077479A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US6503843B1 (en) * 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
JP2002057212A (ja) * 2000-08-09 2002-02-22 Mitsubishi Electric Corp 半導体装置、及び半導体装置の製造方法
US6429126B1 (en) * 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US7074489B2 (en) 2001-05-23 2006-07-11 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US20050136684A1 (en) * 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US7273811B2 (en) * 2005-06-27 2007-09-25 The Regents Of The University Of California Method for chemical vapor deposition in high aspect ratio spaces
JP2007211326A (ja) 2006-02-13 2007-08-23 Nec Electronics Corp 成膜装置および成膜方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN103098187B (zh) * 2010-12-08 2015-09-09 日新电机株式会社 氮氧化硅膜及其形成方法、半导体器件以及薄膜晶体管
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6963900B2 (ja) 2017-03-10 2021-11-10 東京エレクトロン株式会社 成膜方法
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4300989A (en) * 1979-10-03 1981-11-17 Bell Telephone Laboratories, Incorporated Fluorine enhanced plasma growth of native layers on silicon
JPS61189626A (ja) * 1985-02-18 1986-08-23 Canon Inc 堆積膜形成法
US5244698A (en) * 1985-02-21 1993-09-14 Canon Kabushiki Kaisha Process for forming deposited film
US4818563A (en) * 1985-02-21 1989-04-04 Canon Kabushiki Kaisha Process for forming deposited film
JPS61276977A (ja) * 1985-05-30 1986-12-06 Canon Inc 堆積膜形成法
EP0283311B1 (en) * 1987-03-18 2001-08-01 Kabushiki Kaisha Toshiba Thin film forming method
US4894352A (en) * 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5013691A (en) * 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
JP2960466B2 (ja) * 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
JP2697315B2 (ja) * 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JPH04341568A (ja) * 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
JP3670277B2 (ja) * 1991-05-17 2005-07-13 ラム リサーチ コーポレーション 低い固有応力および/または低い水素含有率をもつSiO▲X▼フィルムの堆積法
JP2699695B2 (ja) * 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
JPH05226480A (ja) * 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
US5407529A (en) * 1992-03-04 1995-04-18 Nec Corporation Method for manufacturing semiconductor device
JP2773530B2 (ja) * 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) * 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
JP3190745B2 (ja) * 1992-10-27 2001-07-23 株式会社東芝 気相成長方法
US5753564A (en) * 1992-11-24 1998-05-19 Sumitomo Metal Industries, Ltd. Method for forming a thin film of a silicon oxide on a silicon substrate, by BCR plasma
JPH0878408A (ja) * 1994-09-08 1996-03-22 Fujitsu Ltd 半導体装置の製造方法

Also Published As

Publication number Publication date
US5872065A (en) 1999-02-16
JPH10284487A (ja) 1998-10-23

Similar Documents

Publication Publication Date Title
JP4176864B2 (ja) 四弗化珪素/酸素の化学作用を用いて低誘電率のSi−O−F膜を堆積させる方法
JP4323583B2 (ja) 高堆積速度のハロゲンドープトシリコン酸化物層を堆積させるプロセス
US6190233B1 (en) Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US5990000A (en) Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6020035A (en) Film to tie up loose fluorine in the chamber after a clean process
US5807785A (en) Low dielectric constant silicon dioxide sandwich layer
US5908672A (en) Method and apparatus for depositing a planarized passivation layer
US6733955B1 (en) Methods for forming self-planarized dielectric layer for shallow trench isolation
US6503843B1 (en) Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US5827785A (en) Method for improving film stability of fluorosilicate glass films
US5843239A (en) Two-step process for cleaning a substrate processing chamber
JP4386573B2 (ja) 炭素を堆積させる方法と装置
US6523494B1 (en) Apparatus for depositing low dielectric constant oxide film
EP1097473A1 (en) Plasma process to deposit silicon nitride with high film quality and low hydrogen content
KR100297421B1 (ko) 이산화규소막의불소화에의한응력제어방법및기판처리시스템
US6451686B1 (en) Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
US6753270B1 (en) Process for depositing a porous, low dielectric constant silicon oxide film
EP1054444A1 (en) Process for depositing a porous, low dielectric constant silicon oxide film
JPH10144683A (ja) Fsg膜のギャップ充填能及び膜安定性向上のための装置及び方法
WO1999045165A1 (en) Method of forming phosphosilicate glass having a high wet-etch rate

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050404

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080318

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080618

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080623

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080717

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20080717

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080805

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080821

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110829

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110829

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

LAPS Cancellation because of no payment of annual fees