JP4386573B2 - 炭素を堆積させる方法と装置 - Google Patents

炭素を堆積させる方法と装置 Download PDF

Info

Publication number
JP4386573B2
JP4386573B2 JP2000513989A JP2000513989A JP4386573B2 JP 4386573 B2 JP4386573 B2 JP 4386573B2 JP 2000513989 A JP2000513989 A JP 2000513989A JP 2000513989 A JP2000513989 A JP 2000513989A JP 4386573 B2 JP4386573 B2 JP 4386573B2
Authority
JP
Japan
Prior art keywords
carbon
gas
layer
chamber
process gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000513989A
Other languages
English (en)
Other versions
JP2001518560A (ja
Inventor
ステュアルド ロブルス,
ウェイ−ファン ヤウ,
ピン シュ,
カウシャル シン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001518560A publication Critical patent/JP2001518560A/ja
Application granted granted Critical
Publication of JP4386573B2 publication Critical patent/JP4386573B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Description

【0001】
関連出願とのクロスリファレンス
本出願は、Stuardo Roblesによって発明された米国特許出願第08/774,930号、発明の名称「炭素、ケイ素、及びフッ素ベースの材料を用いたIMD用途の集積低誘電率プロセス」、に関連する。本出願は、本発明の譲受人であるアプライド マテリアルズ インコーポレイテッドに譲渡され、引用によって本明細書に組み込まれる。
【0002】
発明の背景
本発明は集積回路の製造に関する。特に、本発明は、低下した誘電率を持つ誘電体膜の堆積を制御するための方法と装置を含む技術を提供する。更に、その誘電体膜は、低周波数のラジオ周波(RF)パワーの新たな使用によって、ガス放出と収縮を阻止するように製造できる。
【0003】
多くの超大規模集積(VLSI)半導体デバイスは、基板上のデバイスの記憶密度を増加させるためにマルチレベルの相互接続を使用する。通常、このようなデバイスは、隣接するメタライゼーション層を相互に絶縁する金属間誘電体(IMD)層を含む。これらのメタライゼーション層間のキャパシタンスは、それらの間のIMDの誘電率を小さくすることによって削減されるだろう。これらの層の誘電率は、生産できるデバイスの大きさに直に影響する。例えば、ある半導体工業会によれば、サブ0.25ミクロンデバイスを量産する能力は、2.9以下の誘電率を持つIMD層の使用が必要になるものと予測している。かくして、低下した誘電率を持ったIMD層に対する永続的なニーズが存在する。
【0004】
これらのIMD層の他の特性もまた重要である。例えば、IMD層は良好な「隙間充填」特性も持たなければならない。即ち、下地基板のステップと開口部を完全に充填するばかりでなく円滑で平坦化した誘電層を形成する無ボイド層を作るために、層は良好なステップカバレージと平坦化特性を示さなければならない。層は、下地メタライゼーション層に対する損傷を避けるために、好ましくは約400度以下の低い温度で堆積できなければならない。
【0005】
IMD層の堆積に対する多数の既存のアプローチは、酸化ケイ素膜の幾つもの層の形成と堆積を含む。この堆積は通常、化学的気相堆積(CVD)を使って行われる。従来の熱CVDプロセスは反応ガスを基板に供給し、そこで熱誘導化学反応が起って所望の膜を作り出す。他のプロセスはプラズマを使って膜を堆積させる(プラズマ強化CVD、つまりPECVD)。他の堆積技術は、堆積膜の誘電率を小さくして隙間充填能力を改善するためにハロゲンドーパントを使用する。これらの膜は望ましい品質を持つことが分かっており、また一部の用途には好適だが、他の用途では、更に低い誘電率を持つ膜の使用が必要となるだろう。従って、これらの他の用途での使用に適する低下した誘電率を持つ誘電体膜に対するニーズが存在する。
【0006】
更に、このような低誘電体膜は良好な膜安定性を示さなければならない。これは、例えば許容不能なレベルのガス放出と収縮を経験するようなハロゲンドープト膜の安定性に関して特に当てはまる。また、商用環境で関心があるのは、基板の処理システムの処理能力である。システムの処理能力は、基板処理システムが膜を堆積させる速度を最大にすることによって増加するだろう。かくして、膜の堆積速度を最大にすることが望ましい。
【0007】
従って、必要なのは、低下した誘電率と良好な隙間充填能力を持つ膜を許容速度で堆積させるようなプロセスである。更に、そのように堆積した膜は、許容可能な安定性を示さなければならない。
発明の要約
本発明は、低下した誘電率と望ましい隙間充填特性を持つ炭素ベース誘電体膜を、許容可能な堆積速度で堆積させるための混合周波プラズマプロセスを提供することによって、これらの要件に取り組む。プラズマに加えられる低周波RFパワーを適切に調節することによって、そのように堆積した膜を、その後の処理時のドーパントのガス放出と膜収縮を防止するように製造できる。
【0008】
本発明の一実施の形態によれば、炭素ベース誘電体膜を、最初にプロセスガスを処理チャンバへ流入させることによって、処理チャンバ内の基板上に堆積させる。プロセスガスは、炭素の気体ソース(メタンCH4 など)とハロゲンの気体ソース(フッ素のソース(例えばC48 )など)とを含む。プラズマは次に、第1及び第2RFパワー成分を加えることによって、そのプロセスガスから形成される。第2RF成分は約200kHzと2MHz間の比較的低い周波数と、約0.004W/cm2 〜0.06W/cm2のプラズマ密度を持つことが望ましい。第1及び第2RFパワー成分をある期間加えることによって、ハロゲンドープト炭素ベース層を堆積させる。結果としての膜は低い誘電率と良好な隙間充填性を持つ。膜はまた、その後の処理の間、最小の収縮を示す。
【0009】
ハロゲンドープト炭素ベース層の堆積に先立って、ハロゲンドーパントのガス放出を削減して誘電体膜と基板間の密着を改善する炭素ベースのライニング層を堆積させてもよい。まず、炭素の第2気体ソースを含む第2プロセスガスが処理チャンバへ導入される。このプロセスガスは次に、第3RF成分を使って励起されて、第2プロセスガスからプラズマを形成する。プラズマは、炭素ベースのライニング層を堆積させるために、ある期間維持される。炭素ベースのライニング層は約100オングストローム〜300オングストロームの厚さまで堆積することが望ましい。
【0010】
ハロゲンドープト炭素ベース層の堆積の後で、ハロゲンドーパントのガス放出を削減するとともに誘電体膜とその後に誘電体膜上に堆積する層の間の密着を改善する炭素ベースのキャッピング層の堆積を行ってもよい。まず、炭素の第2気体ソースを含む第2プロセスガスが処理チャンバへ導入される。このプロセスガスは次に、第3RF成分を使って励起されて、第2プロセスガスからプラズマを形成する。プラズマは、炭素ベースのキャッピング層を堆積させるために、ある期間維持される。炭素ベースのキャッピング層は約100オングストローム〜300オングストロームの厚さまで堆積することが望ましい。
【0011】
本発明の更なる実施の形態によれば、少なくとも第2RF成分は、基板が配置される電極に対向して配置される電極によってプラズマに結合される。
【0012】
本発明の目的と利点の更なる理解のために、添付図面に関する次の詳細説明を参照するのがよい。
好ましい実施の形態の詳細な説明
I 「概要」
本発明は、層の堆積に使用される低周波RFパワーを制御することによって、膜の安定性と誘電体膜の堆積速度に対する制御を可能する。このような誘電体膜は、少なくとも部分的にアモルファス炭素(ダイヤモンド状炭素、つまりDLCとしても知られている)から構成され、比較的低い誘電率(一部の実施の形態では2.2〜3.5)を持ち、望ましい隙間充填特性を示す。誘電体膜はまた、適切なレベルの低周波RFパワーを加えることによってガス放出と収縮を防止するように製作できる。低周波RFパワーを、処理される基板の反対側の(基板が置かれた電極に対向する)電極からプラズマに加えることが望ましい。本発明による誘電体膜を従来設計のCVDチャンバで堆積させてもよい。
II 「代表的なCVDシステム」
本発明の特定の実施の形態は、各種の化学的気相堆積(CVD)又はその他のタイプの基板処理システムを使って堆積させてもよい。本発明の方法が実行される一つの適当な基板処理システムを図1Aと1Bに示す。これらの図は、チャンバ壁15aとチャンバリッドアセンブリ15bとを含む真空又は処理チャンバを有するCVDシステム10の縦断面図である。チャンバ壁15aとチャンバリッドアセンブリ15bを図1Cと1Dに分解斜視図で示す。
【0013】
CVDシステム10は、プロセスチャンバ内の中央に配置された抵抗加熱式ペデスタル12の上に置かれた基板(図示せず)にプロセスガスを分散させるためのガス分配マニホルド11を含む。ガス分配マニホルド11とペデスタル12間の容積を、本明細書では堆積ゾーンと呼ぶ。この容積の一部をこのように呼んでもよい。処理の間、基板(例えば半導体基板)はペデスタル12の平らな(又は、わずかに凸状の)表面12aに配置される。望ましくは窒化アルミニウムなどのセラミックの表面を持つペデスタル12は、下部ローディング/オフローディング位置(図1Aに示す)と、マニホルド11に密接する上部処理位置(図1Aの鎖線で示すとともに図1Bに示す)の間で制御可能に移動させることができる。センタボード(図示せず)は、基板の位置に関する情報を提供するセンサを含む。堆積及びキャリアガスは、従来型の平らな円形ガス分配面板の有孔部13bを通ってチャンバ15に流入する。より詳細には、堆積プロセスガスは入口マニホルド11を通り、従来型の穿孔ブロッカープレート42を通った後、ガス分配面板13aの孔13bを通ってチャンバに流入する(図1Bに矢印40で示す)。
【0014】
マニホルドに達する前に、堆積及びキャリアガスは、ガスソース7からガス供給管路8(図1B)を通ってガス混合ボックス又はシステム9に配送され、ここで組み合わされた後、マニホルド11に送られる。堆積及びキャリアガスを、ガス混合システム9を迂回して、供給管路8からマニホルド11へ直に向けることも可能で、一部の例では好ましい場合もある。他の状況では、ガス管路8の何れかがガス混合システム9を迂回して、チャンバ12の底部の通路(図示せず)を通してガスを導入してもよい。
【0015】
一般に、各プロセスガス用供給管路は、(i)チャンバへのプロセスガスの流れを自動又は手動で遮断するために使用できる幾つもの安全遮断バルブ(図示せず)、及び(ii)供給管路を通るガスの流れを測定する質量流量コントローラ(MFC)(これも図示せず)を含む。有毒ガスがプロセスで使用されるときは、幾つもの安全遮断バルブが各供給管路に従来の構成で配置される。
【0016】
CVDシステム10で実行される堆積プロセスは、熱プロセスでもプラズマ強化プロセスでもよい。プラズマ強化プロセスでは、RFパワーソース44がガス分配面板13aとペデスタル12間にパワーを与えてプロセスガス混合物を励起して、面板13aとペデスタル12間の円筒領域内にプラズマを形成するようにする。プラズマの成分が反応して、所望の膜を、ペデスタル12上に支持される半導体基板の表面に堆積させる。RFパワーソース44は、通常は13.56MHzの高RF周波数(RF1)と360kHzの低RF周波数(RF2)でパワーを供給して真空チャンバ15内に導入された反応核種の堆積を向上させる混合周波RFパワーソースでよい。もちろん、RFパワーソース44は、チャンバ15に導入された反応核種の堆積を向上させるために、単一又は混合周波RFパワーの何れをマニホルド11へ供給してもよい。熱プロセスでは、RFパワーソース44は利用されず、プロセスガス混合物が熱的に反応して、所望の膜を、ペデスタル上に支持された半導体基板の表面に堆積させる。ペデスタルは、反応に必要な熱エネルギを供給するために抵抗加熱される。
【0017】
熱CVD堆積プロセスの間、ペデスタル12が加熱されてCVDシステム10を加熱させる。ペデスタル12はまた、処理チャンバ15内の反応を高めるためにプラズマCVDプロセス時にも加熱される。先に述べたタイプの高温壁システムでは、高温液をチャンバ壁15aの中を循環させて、プラズマが点火していないときや熱堆積プロセス時にチャンバ壁15aを高温に保つようにしてもよい。チャンバ壁15aの加熱のために使用される流体は、代表的な流体タイプ(即ち、水ベースのエチレングリコール、又はオイルベースの伝熱流体)を含む。この加熱は、望ましくない反応生成物の凝縮を有利に削減又は除去して、さもなければ冷却真空通路の壁に凝縮してガス流のない期間にプロセスチャンバに再移動するかもしれないプロセスガスと汚染物質の揮発生成物の除去を改善する。冷温壁システムではチャンバ壁15aは加熱されない。これは、例えば、プラズマ強化堆積プロセス時に行われるかもしれない。このようなプロセスでは、プラズマは、排気通路23と遮断バルブ24を囲むチャンバ壁15aを含むチャンバ15を加熱する。しかしながら、プラズマがすべてのチャンバ表面に等しく近接することはありそうにないので、前述のような表面温度の変動が起こる可能性がある。
【0018】
反応生成物を含む、層として堆積しないガス混合物の残りは、真空ポンプ(図示せず)によってチャンバから真空排気される。詳細には、ガスは、反応領域を囲む環状スロット16を通して環状排気プレナム17に排気される。環状スロット16とプレナム17とはチャンバ壁15aの頂部(上部誘電体ライニング19を含む)と円形チャンバリッド20の底部の間の隙間によって画成される。環状スロット16及びプレナム17の360°の円形対称と一様性が、基板上のプロセスガスの均一な流れを達成して基板上に均一な膜を堆積させるために重要である。ガス流は、排気プレナム17の横方向延長部分21の下を流れ、覗き窓(図示せず)を過ぎて、下向きに延びるガス通路を通り、遮断バルブ24(その本体はチャンバ壁15aの下部と一体である)を過ぎて、前管路(図示せず)を通って外部真空ポンプに連結される排気出口25に至る。
【0019】
抵抗加熱式ペデスタル12の基板支持プラッタが、同心円の形の完全2回巻きになるように構成された埋込式単一ループ埋込ヒータ素子を使って加熱される。ヒータ素子の外側部分は支持プラッタの外周に隣接して走り、内側部分はより小さな半径を持った同心円の経路で走る。ヒータ素子への配線はペデスタル12のステム内を通る。ペデスタル12はアルミニウム、セラミック、又はそれらの組合せを含む材料から製作できる。
【0020】
通常、チャンバライニング、ガス入口マニホルド面板、及びその他の各種チャンバハードウェアの何れか又はすべてが、アルミニウム、陽極処理アルミニウム、又はセラミック材料などの材料から製作される。そのようなCVD装置の例は、Zhao 他に付与されて通常的に譲渡された米国特許第 5,558,717 号、発明の名称「CVD処理チャンバ」、に記載され、本明細書に引用によってその全体が組み込まれている。
【0021】
リフト機構とモータ32(図1A)は、基板がロボットブレード(図示せず)によってチャンバ10の側面の挿入/取出し開口部26を通ってチャンバの本体に出入されるとき、ペデスタル12とその基板リフトピン12bとを昇降する。モータ32はペデスタル12を、処理位置14と下方の基板ローディング位置の間で昇降する。モータ32、ガス配送システムの各種バルブとMFC、及びCVDシステムの他のコンポーネントは、制御管路36(その一部のみを示す)を介してシステムコントローラ34(図1B)によって制御される。コントローラ34は光学センサからのフィードバックに頼って、コントローラ34で制御される適切なモータによって動かされるスロットルバルブやペデスタルなどの可動機械アセンブリの位置を決定する。
【0022】
好ましい実施の形態では、システムコントローラ34はハードディスクドライブ(メモリ38)、フロッピーディスクドライブ(図示せず)、及びプロセッサ37を含む。プロセッサ37はシングルボードコンピュータ(SBC)、アナログ及びデジタル入出力ボード、インタフェースボード、及びステッパモータコントローラボードを含む。CVDシステム10の各種部品は、ボード、カードケージ、コネクタの寸法とタイプを定義する Versa Modular European(VME)規格に適合している。VME規格はまた、バス構造も、16ビットデータバスと24ビットアドレスバスを持つものとして定義している。
【0023】
システムコントローラ34はCVDシステム10のすべての活動を制御する。システムコントローラ34は、メモリ38などのコンピュータ読取可能媒体に記憶されるコンピュータプログラムであるシステム制御ソフトウェアを実行する。メモリ38はハードディスクドライブが望ましいが、メモリ38は他の種類のメモリでもよい。コンピュータプログラムは、タイミング、ガスの混合、チャンバ圧力、チャンバ温度、RFパワーレベル、ペデスタル位置、及び特定プロセスのその他のパラメータを指図する命令のセットを含む。例えば、フロッピーディスクその他の別の適切なドライブを含む他のメモリデバイスに記憶された他のコンピュータプログラムを使用して、システムコントローラ34を作動させることができる。
【0024】
ユーザとコントローラ34間のインタフェースは、図1Eに示すCRTモニタ50aとライトペン50bを介して行われる。図は、一つ以上のチャンバを含む基板処理システムのシステムモニタとCVDシステムの簡略線図である。好ましい実施の形態では、2つのCRTモニタ50aが使用され、一方はオペレータ用にクリーンルーム壁に取り付けられ、他方はサービスエンジニア用にその壁の背後に取り付けられる。これらのCRTモニタ50aは同一情報を同時に表示するが、一つのライトペン50bのみが使用できる。ライトペン50bの先端の光センサが、CRTモニタ50aによって放射される光を検出する。特定画面又は機能を選択するには、オペレータは表示画面の指定部分に触れてペン50bのボタンを押す。触れた部分はそのハイライトカラーを変えるか、新しいメニュー又は画面が表示されて、ライトペンと表示画面間のコミュニケーションを確認する。ライトペン50bの代わりかそれに加えて、他のデバイス、例えばキーボード、マウス、その他の指示又はコミュニケーション装置を使って、ユーザがシステムコントローラ34と連絡できるようにしてもよい。
【0025】
膜を堆積させるプロセスは、システムコントローラ34によって実行されるコンピュータプログラムプロダクトを使用して実施できる。コンピュータプログラムコードは、任意の従来のコンピュータ読取可能プログラミング言語、例えば、6800アセンブリ言語、C、C++、パスカル、フォートランその他で書くことができる。適当なプログラムコードは、従来のテキストエディタを使用して単一ファイルかマルチファイルに入力され、コンピュータの記憶システムなどのコンピュータ使用可能媒体に格納されるか組み込まれる。入力されたコードテキストが高級言語による場合、コードはコンパイルされて、結果のコンパイラコードが次に、プレコンパイルされたウインドウズライブラリルーチンのオブジェクトコードにリンクされる。リンクされてコンパイルされたオブジェクトコードを実行するために、システムユーザはそのオブジェクトコードを呼び出して、コンピュータシステムにそのコードをメモリにロードさせる。CPUは次に、プログラムで識別されたタスクを実行するためにそのコードを読み取って実行する。
【0026】
図1Fは、特定の実施の形態によるシステム制御ソフトウェアであるコンピュータプログラム70の階層制御構造の説明ブロック線図である。ライトペンインタフェースを使用して、CRTモニタ50aに表示されたメニュー又は画面に応じて、ユーザはプロセスセットナンバとプロセスチャンバナンバとをプロセスセレクタサブルーチン73に入力する。プロセスセットは指定のプロセスを実行するのに必要なプロセスパラメータの所定のセットであり、予め決められたセットナンバによって識別される。プロセスセレクタサブルーチン73は、(i)所望のプロセスチャンバと、(ii)プロセスチャンバを運転して所望のプロセスを実行するために必要な所望のセットのプロセスパラメータとを識別する。特定プロセスを実行するためのプロセスパラメータは、例えば、プロセスガス組成と流量、温度、圧力、マイクロウェーブパワーレベルかRFパワーレベル及び低周波RF周波数などのプラズマ条件、冷却ガス圧力、及びチャンバ壁温度などのプロセス条件に関する。これらのパラメータはレシピの形でユーザに提供されて、ライトペン/CRTモニタインタフェースを利用して入力される。プロセスをモニタする信号は、システムコントローラのアナログ・デジタル入力ボードによって供給され、プロセスを制御する信号はCVDシステム10のアナログ・デジタル出力ボードに出力される。
【0027】
プロセスシーケンサーサブルーチン75は、プロセスセレクタサブルーチン73からの識別されたプロセスチャンバとプロセスパラメータのセットを受信するためと各種プロセスチャンバの運転を制御するためのプログラムコードとを備えている。複数のユーザがプロセスセットナンバとプロセスチャンバナンバとを入力できるか、或いは1ユーザが複数のプロセスセットナンバとプロセスチャンバナンバとを入力できるので、プロセスシーケンサーサブルーチン75は、選択プロセスを所望のシーケンスでスケジュールするように動作する。プロセスシーケンサーサブルーチン75は、(i)プロセスチャンバの動作をモニタしてそれらのチャンバが使用中か否かを決定するステップ、(ii)使用中のチャンバでどのプロセスが実行されているかを決定するステップ、及び(iii)プロセスチャンバの可用性と実行すべきプロセスのタイプに基づいて所望のプロセスを実行するステップ、を実行するためのコードを含むことが望ましい。プロセスチャンバをモニタする従来の方法、例えばポーリングなどを使用できる。どのプロセスを実行すベきかをスケジュールするときは、プロセスシーケンサーサブルーチン75が、選択プロセスの所望のプロセス条件と比較して、使用されるプロセスチャンバの現行条件を考慮するか、各特定ユーザによって入力された要求の「年令 (age) 」を考慮するか、或いはシステムプログラマがスケジュールの優先度の決定のために含めることを希望する他の任意の関連要因を考慮する。
【0028】
一旦、どのプロセスチャンバとプロセスセットとの組合せを実行すべきかを決定すると、プロセスシーケンサーサブルーチン75は、そのプロセスセットパラメータをチャンバマネージャサブルーチン77a〜cへ渡すことによってプロセスセットの実行を開始する。それらのチャンバマネージャサブルーチンは、プロセスシーケンサーサブルーチン75によって決定されたプロセスセットに従ってプロセスチャンバ15での複数の処理タスクを制御する。例えば、チャンバマネージャサブルーチン77aは、プロセスチャンバ15のスパッタとCVDプロセスオペレーションを制御するためのプログラムコードを備えている。チャンバマネージャサブルーチン77a〜cはまた、選択プロセスセットを実行するのに必要なチャンバコンポーネントの運転を制御する各種チャンバコンポーネントサブルーチンの実行を制御する。チャンバコンポーネントサブルーチンの例は、基板位置決めサブルーチン80、プロセスガス制御サブルーチン83、圧力制御サブルーチン85、ヒータ制御サブルーチン87、及びプラズマ制御サブルーチン90である。当業者なら、どのプロセスをプロセスチャンバ15で実行すべきかによって、他のチャンバ制御サブルーチンを含め得ることを直ちに理解するであろう。
【0029】
運転時には、チャンバマネージャサブルーチン77aは、実行される特定プロセスセットに従ってプロセスコンポーネントサブルーチンを選択的にスケジュールしたり、呼び出したりする。チャンバマネージャサブルーチン77aはプロセスコンポーネントサブルーチンをスケジュールするが、それは、プロセスシーケンサーサブルーチン75が、実行すべきプロセスセットとそれを実行するチャンバをスケジュールするのとほぼ同様である。通常、チャンバマネージャサブルーチン77aは各種チャンバコンポーネントをモニタするステップ、実行すべきプロセスセット用のプロセスパラメータに基づいてどのコンポーネントを運転すべきかを決定するステップ、及びモニタリングと決定ステップに応じてチャンバコンポーネントサブルーチンを実行させるステップを含む。
【0030】
次に特定チャンバコンポーネントサブルーチンの動作を図1Fに関して説明する。基板位置決めサブルーチン80は、基板をペデスタル12にローディングするためと、基板をプロセスチャンバ15内の所定の高さに持ち上げるためと、基板とガス分配マニホルド11間のスペーシングを制御するために使用されるチャンバコンポーネント制御用のプログラムコードを備えている。基板がプロセスチャンバ15にローディングされるときに、ペデスタル12を下降させて基板を受け取った後、ペデスタル12をプロセスチャンバ15の所望の高さに上昇させて、処理の間、基板をガス分配マニホルド11から所望の距離又はスペーシングに保つようにする。運転中、基板位置決めサブルーチン80は、チャンバマネージャサブルーチン77aから転送された、サポート高さに関連するプロセスセットパラメータに応じて、ペデスタル12の動きを制御する。
【0031】
プロセスガス制御サブルーチン83は、プロセスガスの組成と流量を制御するためのプログラムコードを持つ。プロセスガス制御サブルーチン83は安全遮断バルブの開/閉位置を制御するとともに、所望のガス流量を得るために質量流量コントローラを上下に調整する。プロセスガス制御サブルーチン83は、すべてのチャンバコンポーネントサブルーチンと同様に、チャンバマネージャサブルーチン77aによって呼び出され、所望のガス流量に関連するプロセスパラメータをチャンバマネージャサブルーチンから受信する。通常、プロセスガス制御サブルーチン83は、ガス供給管路を開き、反復して(i)必要な質量流量コントローラを読み、(ii)その読みを、チャンバマネージャサブルーチン77aから受信した所望の流量と比較し、(iii)必要に応じてガス供給管路の流量を調節することによって、動作する。更に、プロセスガス制御サブルーチン83は、ガス流量が危険な流量か否かをモニタして、危険な状態が検出されたときは安全遮断バルブを作動させるステップを含む。
【0032】
プロセスの中には、ヘリウムやアルゴンなどの不活性ガスをプロセスチャンバ15へ流して、反応プロセスガスが導入される前のチャンバ内の圧力を安定化するものもある。これらのプロセスでは、プロセスガス制御サブルーチン83は、チャンバ15内の圧力を安定化するのに必要な期間、不活性ガスをチャンバ15へ流入させるステップを含み、その後で上記の各ステップが実行されるようにプログラムされる。更に、プロセスガスを液状前駆物質(例えばTEOS)から気化させようとする場合、プロセスガス制御サブルーチン83は、バブラアセンブリ内の液状前駆物質を介してヘリウムなどの送出ガスをバブリングするか、或いは液体噴射システムへ、ヘリウム又は窒素などのキャリアガスを導入するステップを含むように書かれる。このタイプのプロセスにバブラを使用するときは、プロセスガス制御サブルーチン83は所望のプロセスガス流量を得るために、送出ガスの流れ、バブラ内の圧力、及びバブラ温度を調整する。上述のように、所望のプロセスガス流量は、プロセスガス制御サブルーチン83にプロセスパラメータとして転送される。更に、プロセスガス制御サブルーチン83は、所定のプロセスガス流量に対する必要な値を含む格納テーブルにアクセスすることによって、所望のプロセスガス流量のために必要な送出ガス流量、バブラ圧力、及びバブラ温度を得るためのステップを含む。一旦、必要な値が得られると、送出ガス流量、バブラ圧力、及びバブラ温度がモニタされて必要な値と比較され、それに従って調節される。
【0033】
圧力制御サブルーチン85は、チャンバの排気システムのスロットルバルブの開口部のサイズを調整することによって処理チャンバ15内の圧力を制御するためのプログラムコードを備えている。スロットルバルブの開口部のサイズは、チャンバ圧力を、総プロセスガス流量、プロセスチャンバ15のサイズ、及び排気システム用のポンプ設定点圧力に対して所望レベルに制御するように設定される。圧力制御サブルーチン85が呼び出されると、目標圧力レベルがチャンバマネージャサブルーチン77aからパラメータとして受信される。圧力制御サブルーチン85は、チャンバに連結された一つ以上の従来型圧力計を読み取ることによって処理チャンバ15内の圧力を測定し、その測定値(単数、複数)を目標圧力と比較し、格納圧力テーブルから、目標圧力に対応するPID(比例、積分、及び微分)値を求め、そしてスロットルバルブを、圧力テーブルから求めたPID値に従って調節するように動作する。その他に、圧力制御サブルーチン85は、スロットルバルブを特定開口サイズに開閉して処理チャンバ15を所望圧力に調整するように書くこともできる。
【0034】
ヒータ制御サブルーチン87は、基板の加熱のために使用される加熱ユニットへの電流を制御するためのプログラムコードを備えている。ヒータ制御サブルーチン87もまた、チャンバマネージャサブルーチン77aによって呼び出されて、目標又は設定点温度パラメータを受信する。ヒータ制御サブルーチン87は、ペデスタル12に配置された熱電対の電圧出力を測定することによって温度を測定し、その測定温度を設定点温度と比較し、そして設定点温度を得るために加熱ユニットに加えられる電流を増減する。その温度は、格納変換テーブルの対応温度を調べることによってその測定電圧から求めるか、4次の多項式を用いて温度を計算することによって求める。加熱ペデスタル12に埋込式ループを使用するときは、ヒータ制御サブルーチン87は、ループに加えられる電流の上下調整を徐々に制御する。更に、内蔵のフェイルセーフモードを含むことによってプロセスの安全コンプライアンスを検出できるし、プロセスチャンバ15が適切に構成されていない場合は加熱ユニットの運転を停止することができる。
【0035】
プラズマ制御サブルーチン90は、処理チャンバ15内のプロセス電極に加えられる低周波と高周波のRFパワーレベルを設定するためと、使用される低周波RF周波数を設定するためのコードを備えている。プラズマ制御サブルーチン90もまた、本発明に使用されるマグネトロンその他のマイクロウェーブソースのスイッチを入れてそれに加えられるパワーレベルを設定/調節するためのプログラムコードを備えている。プラズマ制御サブルーチン90は、前述のチャンバコンポーネントサブルーチンに似た方式で、チャンバマネージャサブルーチン77aによって呼び出される。
【0036】
上記の記述は主として説明のためであって、混合周波数技術を使用する他のプラズマCVD装置を使って本発明の層を堆積させてもよい。更に、上記システムのバリエーション、例えばペデスタル設計、ヒータ設計、RFパワー周波数、及びRFパワー接続部の位置のバリエーション、ならびにその他の変更が可能である。例えば、基板はクオーツ水銀灯によって加熱できる。本発明が必ずしも特定装置による使用に限定されないことは言うまでもない。
III 「代表的構造」
図2は、本発明の特徴を組み込んだ集積回路100の簡略断面図を示す。図2に示すように、集積回路100はNMOSとPMOSトランジスタ103、106を含み、それらはフィールド酸化膜領域120によって互いに分離されて電気的に隔離されている。各トランジスタ103、106はソース領域112、ゲート領域115、及びドレイン領域118から構成される。
【0037】
プリメタル誘電体層121は、金属層Mとトランジスタ間をコンタクト124で接続された状態で、トランジスタ103と106を金属層M1から分離する。金属層M1は、集積回路100に含まれる4つの金属層M1〜M4の一つである。各金属層M1〜M4は、それぞれの金属間誘電体層127(IMD1、IMD2、及びIMD3)によって隣接金属層から分離される。隣接金属層はバイア126によって選択開口部で接続される。金属層M4の上に堆積しているのは、平坦化されたパッシベーション層140である。
【0038】
本発明の実施の形態はIMD層(例えば、金属間誘電体層127)に対して特に有効だが、集積回路100に示される誘電体層の各々に使用してもよい。当然のことながら、簡略化した集積回路100はもっぱら説明のためである。当業者なら、マイクロプロセッサ、特定用途の集積回路(ASIC)、メモリデバイスなどの他の集積回路の製造に本方法を実施できるだろう。更に、本発明の方法はBiCMOS、NMOS、バイポーラなどの他の技術を用いた集積回路の製造に使用できる。
IV 「炭素とフッ素を使って形成される誘電体膜」
次に図3について説明すると、本発明の実施の形態に従って形成される誘電体膜300を示す。誘電体膜300は、下層302や金属ライン304などのフィーチャを含む段付トポグラフの上に形成してもよい。誘電体膜300は、例えば、回路100の各誘電体層の何れに使用してもよい。誘電体膜300はオプションとして、誘電体膜300と下層302の間のより良い密着性を提供するためと、ドーパントのガス放出を減少さるためのライニング層306を含む。
【0039】
次に、ドープト炭素層をライニング層306の上に堆積させる。ハロゲンドーパントを含むことによって、この層は、誘電体膜300の総誘電率を削減して望ましい隙間充填特性を提供するように堆積する。誘電体膜300は、本発明の実施の形態によって形成されると2.2〜3.5の誘電率を示す。更に、堆積中に加えられる低周波RFパワーを入念に制御することによって、ドープト炭素層308を、改善した膜安定性を示すように製造できる(例えば、低下した膜収縮とガス放出)。オプションとして、次に、キャップ層310をドープト炭素層308の上に堆積させてもよい。キャップ層310はアンドープトα炭素の層であることが望ましく、ライニング層306と類似の利益を提供する。
【0040】
特定の実施の形態では、ドープト炭素層308は、それぞれメタン(CH4 )とオクタフルオロシクロブタン(C48 )などの炭素とフッ素のソースを含むプロセスガスから形成されたハロゲンドープのダイヤモンド状炭素(DLC)材料である。フレオン−14(CF4 )、アセチレンその他の炭化水素を含む他の炭素ソースを使用してもよい。本発明はまた、ライニング層306とキャッピング層310用にDLCを使用することが望ましい。また、「アモルファス炭素」、「硬質炭素」、又はα炭素と称されるものは、ダイヤモンド特性の多く(すべてではない)を持つアモルファス材料である。DLCは、化学的に不活性のアモルファス誘電体材料である。誘電体膜300を構成する層は、平行板RF堆積その他の技術を使用するシステムを含む従来型堆積システムを使って堆積させてもよい。本発明の各層にDLCを使用することによって、完全に単一基板処理システム内の誘電体膜300の形成が可能になる。
【0041】
例えば、CVDシステム10などのPECVD基板処理システムを使って、本発明の誘電体膜を堆積させることができる。その他に、先に組み込まれた特許出願第 08/774,930 号に記載のシステムなどの高密度プラズマCVD(HDP−CVD)基板処理システムを使って、複雑な構造(スタックとしても知られている)を堆積させることができる。例えば、誘電体膜300の各種の層は上記のシステムで堆積した後、例えば、シリコンオキシニトライド(silicon oxynitride)から構成されてエッチング停止層として機能可能な誘電体反射防止被覆(DARC)層を堆積できるだろう。このようなDARC層は、David Cheung、Judy H. Huang 、Wai-Fan Yau を発明者とする1997年5月7日提出の特許出願第 08/852,788 号、発明の名称「誘電体酸化物層の同時堆積と反射防止被覆」、に記載され、その開示は本明細書に引用によって包含されている。その他に、高ケイ素(silicon-rich)の酸化ケイ素層を堆積させることもできるだろう。そのような層を堆積させることによって、上記出願第 08/852,788 号に記載のダマシン(damascene) 用途に有効なスタックの形成を可能にするだろう。更に、そのようなプロセスは、その場で(即ち、その層の堆積中、処理される基板を基板処理システムから取り外すことなく)実行できるだろう。
【0042】
ここで、本発明の実施の形態を使用した誘電体膜300の形成を図4のフローチャート400を参照して説明しよう。誘電体膜300の部分を図3に関して説明して、基板処理システムの要素を図1A〜1Dに関して説明する。誘電体膜300は同時PECVDプロセス(処理される基板はライニング層306、ドープト炭素層308、キャッピング層310の堆積の間、同一処理チャンバに残っている)を使って下層302の上に形成されることが望ましい。その他に、各層(又は層の組合せ)を異なる処理チャンバで堆積させることも可能だろう。最初にステップ400で、処理チャンバ15内でプロセスパラメータが安定化される。選択されるパラメータは、ライニング層306を堆積させるか否か、又はドープト炭素層308を下層302に直接に堆積させるかどうかによって決まる。次に、希望すれば、ライニング層306を堆積させる(ステップ410)。
【0043】
好ましい実施の形態によれば、ライニング層306は、CVDシステム10などのPECVDチャンバで形成されるアンドープトDLC層である。層は、好ましくはメタンを含むプロセスガスから形成される。メタンは、約10sccm〜150sccmの流量で処理チャンバ15に導入されることが望ましく、最も望ましくは約100sccmの流量である。プロセスガスが処理チャンバ15に導入される間に、温度、圧力その他の処理条件がセットされる。この実施の形態では、ライニング層306の堆積中、約500mtorrと3torrのチャンバ圧力が維持される。CVDシステム10は、チャンバ圧力を約1torrにセットすることが望ましい。処理チャンバ15内の温度は約100度〜400度で、好ましくは325度の温度に維持される。
【0044】
ライニング層306は、単一周波RFパワーか混合周波RFパワーの何れかを加えて発生させたプラズマを使って形成してもよい。ライニング層306は、単一周波RFソースを使って堆積させることが望ましい。高周波RFソースRF1は約13.56MHzの周波数で約75W〜200Wのパワー(パワー密度にして約0.06W/cm2〜0.16W/cm2 )を供給する。高周波RFソースRF1は約120WのRFパワーを供給することが望ましく、パワー密度にすると約0.09W/cm2 になる。高周波RFソースRF1は、記載した13.56MHzの周波数で運転されることが望ましいが、約2MHz〜20MHzの周波数を使用してもよい。また、ライニング層306の堆積中、低周波RFパワー成分を加えないことが望ましい。
【0045】
プラズマは、ライニング層306を約100オングストローム〜300オングストロームの厚さに堆積させるのに充分な期間、維持される。ライニング層306はドープされていないので、ドープト炭素層308と下地層302間の改善された密着性を提供する。単にドープト炭素層308を直接に下地層302上に堆積させるのに対して、ライニング層306の使用は、誘電体膜300が、その後の処理時に下層302から層剥離する可能性を減少させる。もっとも、炭素層308を直接に下地層302に首尾良く堆積させることは可能である。その上、ライニング層306は、使用ドーパントのマイグレーションに対するバリヤとして働くことによって、ドープト炭素層308に用いられるドーパントのガス放出を防止するのに役立つ。しかしながら、誘電体膜300の総誘電率を最小にするには、ライニング層306の誘電率がドープト炭素層308よりも明らかに高いので、ライニング層306の厚さを最小に保たなければならない。その他に、ドープト炭素層308を、下地層302へ直接に堆積させてもよい。
【0046】
ライニング層306を堆積させる場合、処理チャンバ15のプロセスパラメータはドープト炭素層308の堆積の準備のために再び安定化を必要とするだろう(ステップ420)。好ましい実施の形態では、ドープト炭素層308の堆積中、約500mtorr〜3torrのチャンバ圧力を維持する。チャンバ圧力はCVDシステム10によって約1torrにセットされることが望ましい。処理チャンバ15内の温度は約100度〜400度、好ましくは325度の温度に維持される。
【0047】
一旦、ステップ400かステップ420の何れかでチャンバパラメータが安定化されると、ドープト炭素層308をステップ430で堆積させる。好ましい実施の形態によれば、ドープト炭素層308はDLC層で、フッ素又はハロゲンでドープされて、CVDシステム10などのPECVDチャンバ内で形成される。ドープト炭素層308の堆積を開始するために、プロセスガスをプロセスチャンバ15に導入して、それからプラズマを形成する。プロセスガスは、好ましくは炭素の気体ソースとフッ素の気体ソースとを含む気体混合物である。例えば、好ましい気体混合物はメタンとオクタフルオロシクロブタン(C48 )を含む。メタンは、好ましくは約0sccm(メタンは、希望すれば、ドープト炭素層308を堆積させるプロセスから完全に排除できることを示す)〜150sccm、最も好ましくは約100sccmの流量で処理チャンバ15に導入される。C48 は、好ましくは約5sccm〜100sccm、最も好ましくは約50sccmの流量で処理チャンバ15に導入される。C48 とメタンは0.8:1(C48 対メタン)の比率で処理チャンバ15に導入されることが望ましい。異なる膜特性を獲得するために、炭素とフッ素の相対量を変化させてもよい。例えば、低い誘電率の膜は、プロセスガスに使用されるフッ素の%を増加させることによって作られるのに対して、膜安定性はフッ素の使用量を少なくすることによって増大する。
【0048】
処理条件がセットされた後、RFパワーソース44はRFパワーをガス分配面板13aに加えて、面板13aとペデスタル12間の円筒領域内でプロセスガスからプラズマを形成することによって、ドープト炭素層308を堆積させる。RFパワーソース44は混合周波RFパワーを供給するように構成され、高周波RFソースRF1は約13.56MHzの周波数で約75W〜200W(パワー密度にして約0.06W/cm2 〜0.16W/cm2 )で、最も好ましくはその周波数で約120WのRFパワー(パワー密度にして約0.09W/cm2 )を供給する。高周波RFソースRF1は前記の13.56MHzの周波数で運転されることが望ましいが、約2MHz〜20MHzの周波数を使用してもよい。また、ドープト炭素層308を、低周波RFソースRF2が約350kHzの周波数で約5W〜75W(パワー密度にして約0.004W/cm2 〜0.06W/cm2 )で、最も好ましくはその周波数で約35WのRFパワー(パワー密度にして約0.03W/cm2 )を供給する状態で、堆積させる。低周波RFソースRF2は上記の350kHzの周波数で運転されることが望ましいが、約200kHz〜2MHzの周波数を使用してもよい。低周波RFソースRF2は、処理される基板が配置される電極に対向する電極に加えることが望ましい(例えば、ガス分配面板13a)。
【0049】
実験結果に関するセクションで述べたように、約75W(即ち、0.06W/cm2 )を超える低周波RFパワーは膜収縮に関して特に役立つことはなく、ハロゲン含有ガスの過剰な解離を生じて、基板と処理チャンバの内部表面とのエッチングを招く場合がある。更に、ドープト炭素層308の堆積速度を最大にすると同時に所望の膜品質を提供する低周波RFパワーを使用することによって、システム処理能力を最大にすることが望ましい。
【0050】
希望すれば、キャッピング層310をドープト炭素層308の上に堆積させてもよい。これは、ライニング層306によって提供されるものと似た利益を提供する。キャッピング層310の堆積はライニング層306の堆積によく似ている。キャッピング層310の堆積は、処理チャンバ15内のプロセスパラメータの安定化で開始される(ステップ440)。好ましい実施の形態によれば、キャッピング層310は、CVDシステム10などのPECVDチャンバで形成されるアンドープトDLC層である。層は、好ましくはメタンを含むプロセスガスから形成される。メタンは、約10sccm〜150sccmの流量で処理チャンバ15に導入されることが望ましく、最も望ましくは約100sccmの流量である。
【0051】
プロセスガスが処理チャンバ15に導入される間に、キャッピング層310の堆積を可能にするように、ステップ440で温度、圧力その他の処理条件が調節される。キャッピング層310の堆積中、約500mtorrと3torrのチャンバ圧力が維持される。CVDシステム10は、チャンバ圧力を約1torrにセットすることが望ましい。処理チャンバ15内の温度は約100度〜400度で、好ましくは325度の温度に維持される。
【0052】
次に、キャッピング層310をステップ450で堆積させる。キャッピング層310は、単一周波RFパワーか混合周波RFパワーの何れかを加えて発生させたプラズマを使って形成してもよい。キャッピング層310は、単一周波RFソースを使って堆積させることが望ましく、高周波RFソースRF1は約13.56MHzの周波数で約75W〜200Wのパワー(パワー密度にして約0.06W/cm2 〜0.16W/cm2 になる)を供給する。高周波RFソースRF1は約120WのRFパワー(パワー密度にして約0.09W/cm2 )を供給することが望ましい。高周波RFソースRF1は、記載した13.56MHzの周波数で運転されることが望ましいが、約2MHz〜20MHzの周波数を使用してもよい。また、キャッピング層310の堆積中、低周波RFパワー成分を加えないことが望ましい。
【0053】
プラズマは、キャッピング層310を約100オングストローム〜300オングストロームの厚さに堆積させるのに充分な期間、維持される。キャッピング層310はドープされていないので、ドープト炭素層308とその後に堆積する層の間の密着性を改善して、層剥離の可能性を減少させる。キャッピング層310はまた、ドープト炭素層308からのガス放出の可能性も減少させる。しかしながら、誘電体膜300の総誘電率を最小にするには、キャッピング層310の厚さを最小に保つことが必要である。
【0054】
その他に、一つ以上の後続層をドープト炭素層308の上に直接に堆積させてもよい。例えば、前述のタイプのDARC層のようなシリコンオキシニトライド層、高ケイ素の酸化ケイ素層その他の層をドープト炭素層308の上に直接に堆積させてもよい。本発明の利点は、基板を、例えば、CVDシステム10の処理チャンバから取り外すことなく、誘電体膜300の層と、ここで述べたその他の層(例えばDARC層)とを基板上に堆積させる能力である。これが、処理量を増加させるとともに汚染のリスクを減少させる。
【0055】
他の炭素ソース(アセチレンなどの他の炭化水素を含む)を使って、本発明によるプロセスで誘電体膜300の各種の層を形成することができる。NF3 、CF4 、C26 その他のような他のフッ素ソースを使って、本発明によるプロセスでドープト炭素層308を形成することもできる。その他に、C4 FやC26 などの単一ガスをドープト炭素層308の堆積に使用してもよい。結果としての誘電体層は、低下した誘電率と良好な隙間充填能力を持つ。そのような誘電体膜は、例えば、IMD用途に適しており、それを使って、最大2:1かそれ以上のアスペクト比を持つ隣接導電ライン間の隙間を実質的に無ボイドの状態に充填できる。
【0056】
上記のガス導入流量は、8インチ基板用にアプライド マテリアルズ社によって製造された抵抗加熱式PECVDチャンバでの誘電体膜300の層の堆積に基づくものである。当業者の承知するように、異なる設計及び/又は容積の他のチャンバを使用する場合は、ガス流量、温度、圧力、RFパワーその他のパラメータが変わるだろう。従って、上記のプロセスで列記されたパラメータは、本明細書に記載する特許請求範囲を制限するものと見做してはならない。当業者なら、他の化学物質や環境パラメータや条件を本発明の実行に際して使用できることも充分理解されるであろう。
V 「実験結果」
それぞれ本発明の誘電体膜を堆積した一連の基板が、期待される膜特性の確認のために調査された。CVDシステム10に類似のPECVDを使って本発明の誘電体膜を各基板上に堆積させて、その間、プラズマを形成するために加えられる低周波RFパワーソースからのパワーを変化させた。誘電体膜は、先に記載したライニング層とキャッピング層とを含めた。堆積の後、各基板上の誘電体膜は窒素(N2 )雰囲気中で約60分、400度でアニールされた。下記の観察は、上述の方法で形成された本発明の誘電体膜のみに適用される。
【0057】
図5は、結果の誘電体膜の膜収縮対膜の堆積に用いられた低周波RFパワーのグラフを示す。低周波RFパワーは0Wから約53Wまで変更された。図で分かるように、膜収縮は低周波RFパワーが加わらない状態で約15%だった。その点から膜収縮は低下して、最後は、約53Wの低周波RFパワーを使って堆積した膜では収縮が検出されなかった。発明者は、プラズマの形成時に加えられる低周波RFパワーを調節することによって、本発明の方法を使用して膜収縮を小さくできることを発見した。また、膜収縮が起こらないようにするには、より多くの低周波RFパワー(約75Wまで)の使用が有効だが、更なる低周波RFパワー(例えば100W)は膜収縮に関して特に役立たないであろうことも判明した。更に、追加の低周波RFパワーはハロゲン含有ガスの過剰な解離を発生させて、基板とプロセスチャンバ内部表面とのエッチングを招く場合がある。
【0058】
図6は、アニール後の基板の加熱時に放出(ガス放出)される物質の分圧のグラフを示す。テストされる膜は、55Wの低周波RFパワーレベルを堆積に使用することを除いて、前述の好ましいパラメータを使って堆積させた。温度が0度から増加するに従って、幾つかの物質の小量のガス放出が図6から明らかであり、幾つかの化合物の分圧として図示されている。HFを表す痕跡600は、温度が約400度に達するまでわずかに上昇して、その点でHFの分圧が急速に上昇し始める。これは、本発明が約400度以下の温度でHFのガス放出を明らかに減少させることを示す。フッ素を表す痕跡610は、約500度まで実質的に一定の状態を保つが、その点でHFの分圧が徐々に上昇し始める。CFを表す痕跡620は、変動はするが、約400度まで実質的に比較的一定の値を保つかそれ以下の状態にあり、その点でCFの分圧が徐々に上昇し始める。CF2 を表す痕跡630は、変動はするが、約400度まで実質的に比較的一定の値を保つかそれ以下の状態にあり、その点でCF2 の分圧が徐々に上昇し始める。CH3 を表す痕跡640は、変動はするが、約500度まで実質的に比較的一定の値を保つかそれ以下の状態にあり、その点でCH3 の分圧が急速に上昇し始める。
【0059】
かくして、発明者は、本発明の誘電体膜がガス放出を減少させることによって膜安定性を改善することを発見した。これは、図6のグラフで、約400度〜500度の温度まで観察された比較的一定の分圧によって示される。発明者はまた、痕跡640に示すように、膜安定性は、使用ドーパント(単数、複数)だけでなく、それ以外の成分に関しても測定しなければならないことを発見した。上記の方法で堆積したドープト炭素層の向上した安定性を条件として、膜の誘電率は、膜温度が約400度を超えない限り、その誘電体膜のその後の処理中に実質的に変化しないものと予想できる。
【0060】
堆積した膜の誘電率に関して、図7は、誘電率対プラズマの形成時に加えられる低周波RFパワーのグラフを示す。各堆積誘電体膜の誘電率は、水銀プローブを使って1MHzの周波数で測定された。誘電率は、0W〜50Wの低周波RFパワーレベルに対して約2.4〜2.5で、実質的に一定の状態を保つことが分かった。従って、発明者は、誘電体膜の誘電率に大きく影響することなく、最適の低周波RFパワーレベルを選択できるだろうとの結論を得た。
【0061】
図8は、誘電体膜の堆積速度対膜の堆積に使用される低周波RFパワーのグラフを示す。低周波RFパワーは0W〜約46W変更された。発明者は、膜の堆積速度は、低周波RFパワーが加わらない状態で約1400オングストローム/分であることを発見した。その点から膜堆積速度は、約30Wの低周波RFパワーまでは徐々に増加して、その点で約1750オングストローム/分の堆積速度が観察された。堆積速度はその点の後、比較的急速に増加することが分かった。約46Wの低周波RFパワーを使用すると、膜の堆積速度は約2400オングストローム/分になることが分かった。かくして、発明者は、本発明で考慮される好ましいレベルの低周波RFパワーで、比較的高い堆積速度(高周波RFのみの使用に比較して)が獲得できることを発見した。
【0062】
かくして、発明者は、膜堆積時に加えられる低周波RFパワーを調節することによって許容可能な堆積速度を維持しながら、ガス放出と膜収縮を制御できることを発見した。更に、これらの膜特性は、膜の誘電率に明らかな悪影響を与えることなく、最適化できることが発見された。
【0063】
本発明の方法は、上記の特定パラメータによって制限されるものではない。当業者の承知するように、発明の精神から逸脱することなく、異なる処理条件と異なる反応物質ソースを使用できる。例えば、CF4 、C26 その他のような、CH4 以外の炭素ソース、及びNF3 、CF4 、C26 その他のような、C48 以外のフッ素ソースを使って、本発明の層を堆積させることができる。更に、フッ素以外のハロゲンを本発明の誘電体膜にドーパントとして使用できる。混合周波技術を使用する他のプラズマCVD装置、例えば混合周波数、容量結合RFバイアスなどを使用した高密度プラズマCVDシステムを本発明の層の堆積に使用してもよい。本発明による誘電体膜の堆積の他の同等又は代替方法は当業者にとって自明であろう。これらの同等及び代替案は、本発明の範囲内に包含されるものである。従って、付属の特許請求項で与えられる場合を除いて、本発明を制限するものではない。
【図面の簡単な説明】
【図1A】 図1Aは、本発明に従って使用される代表的な基板処理システムの一実施の形態の縦断面図である。
【図1B】 図1Bは、本発明に従って使用される代表的な基板処理システムの一実施の形態の縦断面図である。
【図1C】 図1Cは、図1Aに示すCVDシステムの要部の分解斜視図である。
【図1D】 図1Dは、図1Aに示すCVDシステムの要部の分解斜視図である。
【図1E】 図1Eは、一つ以上のチャンバを含むシステムのシステムモニタとCVDシステムの簡略線図である。
【図1F】 図1Fは、特定の実施の形態によるシステム制御ソフトウェアの階層制御構造の説明ブロック線図である。
【図2】 図2は、本発明による集積回路の簡略断面図である。
【図3】 図3は、本発明によって堆積した誘電体膜の集積回路の簡略断面図である。
【図4】 図4は、本発明のプロセスによる誘電体膜を適用する場合に実行されるステップを説明するフローチャートである。
【図5】 図5は、本発明の誘電体膜の膜収縮対フィルを堆積させる場合に使用される低周波RFパワーのグラフである。
【図6】 図6は、アニール後の基板の加熱時にガス放出された物質の分圧のグラフである。
【図7】 図7は、誘電率対低周波RFパワーのグラフである。
【図8】 図8は、「誘電体膜の堆積速度」対「膜を堆積させる場合に使用される低周波RFパワー」のグラフである。

Claims (14)

  1. 処理チャンバ内で基板上に誘電体膜を堆積させる方法であって、
    炭素の第1気体ソースを含む第1プロセスガスを前記処理チャンバへ流入させるステップ、
    前記第1プロセスガスを励起して前記第1プロセスガスからプラズマを形成するステップ、
    前記第1プロセスガスの前記励起を第1期間、維持して、前記基板の上に炭素ベースのライニング層を堆積させるステップ、
    炭素の第2気体ソースとハロゲンの気体ソースとを含む第2プロセスガスを処理チャンバへ流入させるステップ、
    第2周波数よりも高い第1周波数を有する第1RF成分と前記第2周波数を有する第2RF成分とを用いて前記第2プロセスガスを励起して前記第2プロセスガスからプラズマを形成するステップ、及び
    前記第2のプロセスガスの前記励起を第2期間、維持して、前記炭素ベースのライニング層の上にハロゲンドープト炭素ベース層を堆積させるステップ、
    を有する方法。
  2. 前記第1期間は、前記炭素ベースのライニング層を100オングストローム〜300オングストロームの厚さに堆積させるような期間である、請求項1記載の方法。
  3. 炭素の第3気体ソースを含む第3プロセスガスを前記処理チャンバへ流入させること、
    前記第3プロセスガスを励起して前記第3プロセスガスからプラズマを形成すること、及び、
    前記第3プロセスガスの前記励起を第3期間、維持して、炭素ベースのキャッピング層を堆積させること、
    によって、前記第2のプロセスガスの前記励起を第2期間、維持する前記ステップの後で、炭素ベースのキャッピング層を堆積させるステップを更に有する、請求項1又は請求項2に記載の方法。
  4. 前記第2RF成分は0.004W/cm〜0.06W/cmのパワー密度で加えられ、前記第2周波数は200kHz〜2MHzである、請求項1から請求項3のいずれかに記載の方法。
  5. 前記第2RF成分は第1電極に加えられ、前記第1電極は第2電極から分離され、前記基板は前記第2電極と接触する、請求項1から請求項4のいずれかに記載の方法。
  6. 前記ハロゲンの気体ソースを、前記誘電体膜に2.2〜3.5の総誘電率を持たせる流量で処理チャンバへ流入させる、請求項1から請求項5のいずれかに記載の方法。
  7. 前記方法は更に、前記誘電体膜を窒素雰囲気中で350度以上の温度でアニールするステップを有する、請求項1から請求項6のいずれかに記載の方法。
  8. 前記ハロゲンの気体ソースはフッ素の気体ソースである、請求項1から請求項7のいずれかに記載の方法。
  9. 前記フッ素の気体ソースはCである、請求項8記載の方法。
  10. 前記炭素の第1気体ソース及び前記炭素の第2気体ソースは気体の炭化水素ソースである、請求項9記載の方法。
  11. 前記気体の炭化水素ソースCHである、請求項10記載の方法。
  12. 前記第3期間は、前記炭素ベースのキャッピング層を100オングストローム〜300オングストロームの厚さに堆積させるような期間である、請求項3記載の方法。
  13. 前記ハロゲンの気体ソースはCであり、前記炭素の第1気体ソース、前記炭素の第2気体ソース及び前記炭素の第3気体ソースは気体の炭化水素ソースである、請求項3又は請求項12に記載の方法。
  14. 前記気体の炭化水素ソースCHである、請求項13記載の方法。
JP2000513989A 1997-09-29 1998-08-12 炭素を堆積させる方法と装置 Expired - Fee Related JP4386573B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/939,179 1997-09-29
US08/939,179 US6035803A (en) 1997-09-29 1997-09-29 Method and apparatus for controlling the deposition of a fluorinated carbon film
PCT/US1998/016730 WO1999016930A1 (en) 1997-09-29 1998-08-12 Method and apparatus for deposition of carbon

Publications (2)

Publication Number Publication Date
JP2001518560A JP2001518560A (ja) 2001-10-16
JP4386573B2 true JP4386573B2 (ja) 2009-12-16

Family

ID=25472677

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000513989A Expired - Fee Related JP4386573B2 (ja) 1997-09-29 1998-08-12 炭素を堆積させる方法と装置

Country Status (3)

Country Link
US (1) US6035803A (ja)
JP (1) JP4386573B2 (ja)
WO (1) WO1999016930A1 (ja)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5709772A (en) * 1996-03-29 1998-01-20 Applied Materials, Inc. Non-plasma halogenated gas flow to prevent metal residues
US6127262A (en) * 1996-06-28 2000-10-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6277235B1 (en) 1998-08-11 2001-08-21 Novellus Systems, Inc. In situ plasma clean gas injection
DE19844102C2 (de) * 1998-09-25 2000-07-20 Siemens Ag Herstellverfahren für eine Halbleiterstruktur
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US6284644B1 (en) 2000-10-10 2001-09-04 Chartered Semiconductor Manufacturing Ltd. IMD scheme by post-plasma treatment of FSG and TEOS oxide capping layer
US6566263B1 (en) 2000-08-02 2003-05-20 Taiwan Semiconductor Manufacturing Company Method of forming an HDP CVD oxide layer over a metal line structure for high aspect ratio design rule
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
DE10310524A1 (de) * 2003-03-11 2004-09-23 Micronas Gmbh Verfahren zum Ätzen einer Probe sowie Ätzanlage
US7704893B2 (en) * 2003-08-15 2010-04-27 Tokyo Eectron Limited Semiconductor device, method for manufacturing semiconductor device and gas for plasma CVD
US7132201B2 (en) * 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US7407893B2 (en) * 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US20050199585A1 (en) * 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application
US7079740B2 (en) * 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7981810B1 (en) * 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
DE102006053956B4 (de) * 2006-11-15 2011-03-31 Qimonda Ag Verfahren zur Herstellung einer Halbleitereinrichtung, Halbleitereinrichtung, insbesondere Halbleiterspeichereinrichtung
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
US20090269923A1 (en) * 2008-04-25 2009-10-29 Lee Sang M Adhesion and electromigration improvement between dielectric and conductive layers
US7820556B2 (en) * 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US7955990B2 (en) * 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
GB201222395D0 (en) * 2012-12-12 2013-01-23 Element Six Ltd Microwave plasma CVD synthetic diamond growth on non-planar and/or non-refractory substrates
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
JP6142629B2 (ja) * 2013-03-29 2017-06-07 東京エレクトロン株式会社 原料ガス供給装置、成膜装置及び原料ガス供給方法
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
KR20160147482A (ko) * 2015-06-15 2016-12-23 삼성전자주식회사 가스 혼합부를 갖는 반도체 소자 제조 설비
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3165304B2 (ja) * 1992-12-04 2001-05-14 株式会社半導体エネルギー研究所 半導体装置の作製方法及び半導体処理装置
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5804259A (en) * 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film

Also Published As

Publication number Publication date
JP2001518560A (ja) 2001-10-16
WO1999016930A1 (en) 1999-04-08
US6035803A (en) 2000-03-14

Similar Documents

Publication Publication Date Title
JP4386573B2 (ja) 炭素を堆積させる方法と装置
US5908672A (en) Method and apparatus for depositing a planarized passivation layer
US5990000A (en) Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US5827785A (en) Method for improving film stability of fluorosilicate glass films
US6020035A (en) Film to tie up loose fluorine in the chamber after a clean process
JP4323583B2 (ja) 高堆積速度のハロゲンドープトシリコン酸化物層を堆積させるプロセス
JP4176864B2 (ja) 四弗化珪素/酸素の化学作用を用いて低誘電率のSi−O−F膜を堆積させる方法
US6190233B1 (en) Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6523494B1 (en) Apparatus for depositing low dielectric constant oxide film
US6211065B1 (en) Method of depositing and amorphous fluorocarbon film using HDP-CVD
US6624064B1 (en) Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US5937323A (en) Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6323119B1 (en) CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
US6413871B2 (en) Nitrogen treatment of polished halogen-doped silicon glass
EP0959496A2 (en) Methods for forming self-planarized dielectric layer for shallow trench integration
EP1097473A1 (en) Plasma process to deposit silicon nitride with high film quality and low hydrogen content
US6451686B1 (en) Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
US6797646B2 (en) Method of nitrogen doping of fluorinated silicate glass (FSG) while removing the photoresist layer
US6753270B1 (en) Process for depositing a porous, low dielectric constant silicon oxide film
US6204174B1 (en) Method for high rate deposition of tungsten
EP1054444A1 (en) Process for depositing a porous, low dielectric constant silicon oxide film
EP1050600B1 (en) Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
JPH10313000A (ja) 炭素、ケイ素、フッ素をベースとした材料を用いた、imd用途のための低誘電定数プロセス

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050801

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080729

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20080808

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081029

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090526

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090618

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090915

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090929

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121009

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121009

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121009

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131009

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees