JP2007211326A - 成膜装置および成膜方法 - Google Patents

成膜装置および成膜方法 Download PDF

Info

Publication number
JP2007211326A
JP2007211326A JP2006035243A JP2006035243A JP2007211326A JP 2007211326 A JP2007211326 A JP 2007211326A JP 2006035243 A JP2006035243 A JP 2006035243A JP 2006035243 A JP2006035243 A JP 2006035243A JP 2007211326 A JP2007211326 A JP 2007211326A
Authority
JP
Japan
Prior art keywords
gas
reaction chamber
line
film
raw material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006035243A
Other languages
English (en)
Inventor
Akira Furuya
晃 古谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Electronics Corp
Original Assignee
NEC Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Electronics Corp filed Critical NEC Electronics Corp
Priority to JP2006035243A priority Critical patent/JP2007211326A/ja
Priority to US11/704,295 priority patent/US8679253B2/en
Priority to CN2007100057955A priority patent/CN101021005B/zh
Publication of JP2007211326A publication Critical patent/JP2007211326A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】複数のガスにより成膜を行う際に、ガスの利用効率を高めるとともに成膜特性を良好にする。
【解決手段】成膜装置100は、成膜処理を行う反応室102と、第1の原料AおよびガスBをそれぞれ反応室102に供給する第1のガス供給ライン112および第2のガス供給ライン152と、反応室102に供給されるガスをプラズマ励起する励起部106とを含む。このような構成の成膜装置100において、第1の原料A由来のガスおよびガスBを反応室102に供給して、第1の原料A由来のガスを基板上に吸着させて堆積層を形成する第1の工程と、第2のガスを反応室102に供給して、プラズマ励起した状態で、堆積層に作用させる第2の工程と、により成膜処理を行う。
【選択図】図1

Description

本発明は、成膜装置および成膜方法に関する。
近年の半導体素子では、配線における信号伝搬の遅延が素子動作を律速している。配線における信号伝搬の遅延定数は配線抵抗と配線間容量との積で表される。そのため、素子動作を高速化するために、層間絶縁膜には従来のシリコン酸化膜(SiO)よりも比誘電率の小さい低誘電率材料が、配線には比抵抗値の小さい銅(Cu)が用いられるようになっている。
配線材料として銅を用いた多層配線はダマシン法(damascene process)で形成される。ダマシン法では、層間絶縁膜に配線溝やビアホール等の凹部を形成し、当該凹部内にバリアメタル膜を堆積し、さらに凹部を銅膜で埋め込んだ後、凹部外部に露出した銅膜およびバリアメタル膜をCMP(化学機械研磨法:chemical mechanical polishing)で除去することにより銅配線または銅ビアが形成される。
このような銅配線または銅ビアにおいて、バリアメタル膜は、たとえばTiN膜等により構成される。バリアメタル膜の比抵抗は銅に比べて1〜2桁以上高い。そのため、配線寸法を微細化するに伴い、バリアメタル膜の膜厚も薄くしないと、配線中のバリアメタルの寄与が大きくなり、配線抵抗が上昇してしまう。このため、45nm技術ノード以降においてはバリアメタル膜の薄膜化がとくに重要となる。一方、バリアメタル膜は、銅拡散に対するバリア性や銅膜と層間絶縁膜との密着性を維持するために、ある程度の膜厚が必要となる。これらの要望に応えるために、バリアメタル膜の成膜には高い段差被覆性とウェハ面内の均一性が求められる。そのため、バリアメタル膜の高い段差被覆性とウェハ面内の均一性を実現可能な原子層気相成長法(ALD法:atomic layer deposition)の開発が進められている。
特許文献1には、プラズマを用いたALD法(PEALD法:plasma enhanced ALD)が開示されている。この方法では、反応ガス供給サイクル毎、または反応ガス供給サイクルを数回繰り返した後にプラズマ処理を行い、TiN膜等が形成される。
特許文献2には、第1のガスと第1のガスを還元する第2のガスとを用いて第1のバリアメタル薄膜を基体に形成する第1のバリアメタル薄膜形成工程と、基体を大気に暴露することなく、第1のバリアメタル薄膜形成工程により形成された第1のバリアメタル薄膜の上に、第3のガスと第3のガスを還元する第4のガスとを用いて第2のバリアメタル薄膜を形成する第2のバリアメタル薄膜形成工程と、を備えた半導体装置の製造方法が開示されている。第2のバリアメタル薄膜形成工程において、第4のガスのプラズマ雰囲気に基体を晒す手順が開示されている。
特許文献3には、反応容器と、反応容器からガスを外部に排出する排気ラインと、第1反応ガスを反応容器または排気ラインに選択的に供給するための第1反応ガス供給部と、第1反応ガス供給部と反応容器とを連結する第1反応ガス移送ラインと、第1反応ガス供給部と排気ラインとを連結する第1バイパスラインと、ラジカルを生成し、そのラジカルを反応容器または排気ラインに選択的に供給するためのラジカル供給部と、ラジカル供給部と反応容器とを連結するラジカル移送ラインと、ラジカル供給部と排気ラインとを連結する第2バイパスラインと、メインパージガスを第1反応ガス移送ライン及び/またはラジカル移送ラインに供給するメインパージガス供給部とを含むリモートプラズマALD装置が開示されている。
特許文献4には、励起する必要のあるガスと励起する必要のないガスを励起手段の配下に置かれる共通供給系に流しても励起する必要のないガスを励起させないで適切に反応室に供給するようにした基板処理装置が開示されている。特許文献5には、CVD(chemical vapor deposition)処理チャンバ内でSi−O−F絶縁層を基板に堆積させるための方法が開示されている。この方法を実行するシステムは、真空チャンバと、解離SiF4ラジカルと酸素含有ガスとを含むガスを、真空チャンバに導入して、処理ガスの熱反応によってSi−F−O膜をウェハ上に堆積させるためのガス分配システムと、チャンバから遠隔の位置にあり、ガス分配システムに連結された、SiF4ガスを解離SiF4ラジカルに解離するための励起チャンバとを備える。
特開2005−229129号公報 特開2005−203569号公報 特表2005−523580号公報 特開2003−41367号公報 特開平10−284487号公報
ところで、ALD装置等の成膜装置において、反応室に供給される原料ガスの流量はMFC(mass flow controller)により制御される。一方、ALD成膜においては、スループット向上のため、MFCの開閉動作よりも短い間隔で原料を供給する必要がある。このため、MFCと反応室との間にバルブを設け、成膜時にはMFCを開動作させたまま、バルブを開閉することにより原料ガスの供給を制御する処理が行われている(特許文献3、4)。
しかし、このような処理を行うと、バルブを閉じて原料ガスを反応室に供給していない間は、原料ガスの供給時に比べてMFCとバルブとの間の圧力が高くなってしまう。この影響により、バルブを開いた直後には反応室が高圧となるため原料ガスの供給量が多くなるが、その後原料の供給量が減ってしまう。このような供給量の変化は成膜特性に影響を与え、面内均一性の劣化の原因となっていた。特に、特許文献1、2および4に示されるようなPEALDにおいては、プラズマ処理を行うガスの供給量が成膜される膜の純度等に影響を与える。そのため、プラズマ処理を行うガスの流量を他方のガスの流量よりも多くすることが多い。このため、プラズマを用いないALDに比べて、PEALDではプラズマ処理を行うガスの圧力変動がより大きな問題となる。しかし、特許文献1、2および4に記載の技術では、このような圧力変動を低減することが考慮されていなかった。
また、ALDの他の問題として、多数種のガスを用いるため、配管構成が複雑になるという点がある。特許文献5に記載の技術では、ガス混合器を用いて、当該ガス混合器から反応室までの配管を同一にすることで配管の単純化を図っている。しかし、この構成では、ガスを同時供給するためALD成膜を行うことができないという課題があった。また、この技術でも、上記、圧力変動の問題が避けられない。
特許文献3に示したような構成により、ガスが反応容器または排気ラインに常時流れるようにすることができる。これにより、前述の課題であるMFCとバルブとの間の圧力の変化を抑制することができる。しかし、このような方法だと、原料が排出されてしまうので、原料消費量が増加してしまう。また、特許文献3に示されるような反応性の良好な2種類の原料ガスが成膜室を経由することなく直接に排気ラインに同時に排出されると、排気ライン中で原料が反応してラインが詰まってしまう可能性がある。これを回避するためには、配管や除害設備を別にする等装置構成が複雑になるという問題がある。
本発明によれば、
成膜処理を行う反応室と、
第1のガスおよび第2のガスを前記反応室に供給するガス供給系と、
前記第1のガスの前記反応室への供給または停止を切り替える切替部と、
前記反応室に供給されるガスをプラズマ励起する励起部と、
前記第1のガスおよび前記第2のガスを反応室に供給して、前記第1のガスを基板上に吸着させて堆積層を形成する第1の段階の間は前記励起部のプラズマ励起を行わず、前記第2のガスを前記反応室に供給してプラズマ励起した状態で前記堆積層に作用させる第2の段階の間は前記励起部をプラズマ励起させる制御部と、
を含む成膜装置が提供される。
このようにすれば、第1のガスを基板上に吸着させる際にも、第2のガスが反応室に供給され、第2のガスを連続的に反応室に供給することができる。これにより、ガス供給系における第2のガスの圧力を一定に保つことができ、ガスの供給量を一定に保つことができる。そのため、成膜特性を良好にすることができる。
また、本発明によれば、第2のガスが連続的に反応室に供給され、第1のガスおよび第2のガスが同時に直接排気ラインに排出されることがない。そのため、排気ライン内でこれらのガスが反応してラインが詰まるのを防ぐことができる。また、第2のガスが励起される際には、第1のガスの供給が停止され、第1のガスと第2のガスとの混合ガスに対してプラズマ励起を行わない。そのため、配管内等でこれらのガスが反応して成膜されることがない。なお、励起部は、反応室の近傍に設けることができる。これにより、反応室内でより多くのガスを活性に保つことができる。
本発明の成膜装置において、前記ガス供給系は、さらに第3のガスを前記反応室に供給することができ、前記切替部は、前記第3のガスの前記反応室への供給または停止を切り替えることができ、前記第2の段階において、前記第3のガスの供給も停止されるようにすることができる。また、制御部は、前記第3のガスおよび前記第2のガスを反応室に供給して、前記第3のガスを基板上に吸着させて堆積層を形成する第3の段階の間に前記励起部のプラズマ励起を行わないようにすることができる。
このように、本発明の成膜装置は、さらに複数種類のガスを用いて成膜可能な構成とすることができる。ここで、第3のガスは、第1のガスと同様の材料群から選択される材料により構成することができる。
本発明の成膜装置において、前記ガス供給系は、前記反応室に接続され、前記第1のガスを前記反応室に供給する第1の供給ラインと、前記反応室に接続され、前記第2のガスを前記反応室に供給する第1のラインおよび当該第1のラインから分岐して前記第1の供給ラインに接続する第2のラインを含む第2の供給ラインと、を含むことができる。また、前記切替部は、前記第1の段階において前記第2のガスを前記第2のラインに供給するとともに前記第2の段階において前記第1のガスを前記第1のラインに供給する第1の切替部と、前記第1の段階において前記第1のガスの前記反応室への供給を実行するとともに前記第2の段階において前記第1のガスの前記反応室への供給を停止する第2の切替部と、を含むことができる。第1の切替部は、第1のラインおよび第2のラインにそれぞれ設けられ、相補的に開閉する一組の開閉弁とすることができる。また、第1のラインおよび第2のラインの分岐点に設けられた三方弁とすることもできる。
このようにすれば、第2のガスが第1のラインおよび第2のラインのいずれかを介して連続的に反応室に供給される。これにより、ガス供給系における第2のガスの圧力を一定に保つことができ、ガスの供給量を一定に保つことができる。そのため、成膜特性を良好にすることができる。また、第1のガスと第2のガスとの混合ガスは、プラズマ励起を行う箇所とは別経路で反応室に導入されるため、配管内等でこれらのガスが反応して成膜されることがない。
本発明によれば、
成膜処理を行う反応室と、
前記反応室に接続され、第1のガスを前記反応室に供給する第1の供給ラインと、
前記反応室に接続され、第2のガスを前記反応室に供給する第1のラインおよび当該第1のラインから分岐して前記第1の供給ラインに接続する第2のラインを含む第2の供給ラインと、
前記第2のガスを前記第2のラインに供給して前記第1のガスとともに前記反応室に供給する第1の段階と、前記第1のガスの供給を停止して前記第2のガスを前記第1のラインに供給する第2の段階とに切り替え可能な切替部と、
前記第2の供給ラインの前記第1のラインから供給されるガスをプラズマ励起する励起部と、
を含む成膜装置が提供される。
このようにすれば、第2のガスを第1のラインおよび第2のラインのいずれかを介して連続的に反応室に供給することができる。これにより、反応室に供給する第2のガスの圧力を一定に保つことができ、ガスの供給量を一定に保つことができる。そのため、成膜特性を良好にすることができる。また、本発明によれば、第2のガスが連続的に反応室に供給され、第1のガスおよび第2のガスが同時に直接排気ラインに排出されることがない。そのため、排気ライン内でこれらのガスが反応してラインが詰まるのを防ぐことができる。さらに、第1のガスと第2のガスとの混合ガスは、プラズマ励起を行う箇所とは別経路で反応室に導入されるため、配管内でこれらのガスが反応して成膜されることがない。
本発明の成膜装置は、第1の段階の間に励起部のプラズマ励起を行わずに第1のガスを基板上に吸着させるとともに第2の段階の間に励起部により第2のガスをプラズマ励起させる制御部をさらに含むことができる。
本発明によれば、
第1のガスおよび第2のガスを反応室に供給して、前記第1のガスを基板上に吸着させて堆積層を形成する第1の工程と、
前記第2のガスを前記反応室に供給して、プラズマ励起した状態で前記堆積層に作用させる第2の工程と、
を含む成膜方法が提供される。
第1の工程において、第2のガスは、第1のガスのキャリアガスとして用いることができる。第1の工程において、第1のガスおよび前記第2のガスを励起しない状態で第1のガスを吸着させて堆積層を形成することができる。
このようにすれば、第1のガスを基板上に吸着させる際にも、第2のガスが反応室に供給されるので、第2のガスを連続的に反応室に供給することができる。これにより、反応室に供給される第2のガスの圧力を一定に保つことができ、ガスの供給量を一定に保つことができる。そのため、成膜特性を良好にすることができる。
また、本発明によれば、第1のガスおよび第2のガスが同時に直接排気ラインに排出されることがないため、排気ライン内でこれらのガスが反応してラインが詰まることもない。さらに、第1のガスと第2のガスとの混合ガスに対してプラズマ励起を行わないため、配管内でこれらのガスが反応して成膜されることがない。加えて、ガスの合流点よりも反応室側にプラズマ励起部を配置することにより、より多くのガスが活性なまま反応室に存在できる。
本発明によれば、複数のガスにより成膜を行う際に、ガスの利用効率を高めるとともに成膜特性を良好にすることができる。
以下、本発明の実施の形態について、図面を用いて説明する。尚、すべての図面において、同様な構成要素には同様の符号を付し、適宜説明を省略する。
以下の実施の形態において、成膜装置は、原料となるガスを基板上に供給し、1原子層単位で吸着させるALD法により成膜する構成とすることができる。また、以下の実施の形態において、成膜装置は、少なくとも1種のガスをプラズマ励起して基板上に供給するPEALD法を実行するプラズマ成膜装置とすることができる。たとえば、第1のガスと第2のガスとを用いる場合、成膜装置は、第1のガスをプラズマ励起することなく反応室の基板上に供給して第1のガスを吸着させて堆積層を形成する工程と、第2のガスを反応室に供給して、第2のガスをプラズマ励起した状態で第1のガスを吸着させて形成した堆積層に作用させる工程とを適宜実行する。ここで、吸着は、化学吸着とすることができる。
以下の実施の形態において、第1の工程で第1のガスにより成膜を行う際に、第2のガスを第1のガスのキャリアガスとして第1のガスとともに反応室に供給する。これにより、第1の工程および第2の工程のいずれの間でも第2のガスを反応室に供給することができる。また、第2のガスの流量は、第1のガスの流量に比べて充分大きくなるように制御される。たとえば、第1のガスの流量は、第2のガスの流量の10分の1以下程度とすることができる。このようにすれば、第1のガスの反応室への供給を実行している間と停止している間とで、ガス供給ラインにおける第2のガスの圧力を一定に保つことができる。これにより、ガスの供給量を一定にすることができ、成膜特性を良好に保つことができる。なお、第1のガスの流量は、たとえば第2のガスの流量の1000分の1以上程度とすることができる。これにより、第2のガスを第1のガスのキャリアガスとして用いた場合でも、第1のガスによる成膜を良好に行うことができる。
本実施の形態において、第1のガスは、プラズマ励起することなく単独で供給しても基板上に吸着される材料とすることができる。第1のガスは、たとえば、金属ハロゲン化物等の無機金属化合物や有機金属材料等の金属材料とすることができる。本実施の形態において、第2のガスは、プラズマ励起していない状態では第1のガスと反応しない材料とすることができる。第2のガスは、たとえば、N、H、NHまたはO、あるいはこれらの混合ガスとすることができる。また、第2のガスは、上記のガスに加えてさらにAr、He等の不活性ガスを含むこともできる。第2のガスとしてN、NHまたはO等を用いた場合、プラズマ励起することにより、第2のガスが成膜ガスとして機能する。これにより、成膜のスループットを向上することができる。
第1のガスおよび第2のガスは、通常PEALD法で用いられる種々の材料とすることができる。たとえば第2のガスがOの場合、第1のガスは、ジメチルアルミニウムハイドライド(Al(CHH)やトリメチルアルミニウム(Al(CH)等のAl有機金属化合物、ジメトキシアミドエチル酸テトラエチル酸タンタル(Ta(OC(OCN(CH)、ペンタジメチルアミノタンタル(Ta(N(CH)、ターシャリーブチルイミドトリスジエチルアミドタンタル(Ta(NC511)(N(CH))、ターシャリーアミルイミドトリスジメチルアミドタンタル(Ta(NC(CH)(N(CH))等のTa有機金属化合物、ビスジピバロイルメタナトストロンチウム(Sr(C1119)等のSr有機金属化合物、テトラジメチルアミノチタン(Ti(N(CH)やテトラプロポキシチタン(Ti(OC)等のTi有機金属化合物、ビスジピバロイルメタナトバリウム(Ba(C1119)等のBa有機金属化合物、トリスターシャリーアミルオキシビスマストリス(Bi(OC(CH)やトリスジピバロイルメタナトビスマス(Bi(C1119)等のBi有機金属化合物、ビスジピバロイルメタナト鉛(Pb(C1119)等のPb有機金属化合物、テトラブトキシジルコニウム(Zr(OC)やテトラジピバロイルメタナトジルコニウム(Zr(C1119)、テトラジエチルアミノジルコニウム(Zr(N(C)等のZr有機金属化合物、テトラブトキシハフニウム(Hf(OC)やテトラジメチルアミドハフニウム(Hf(N(CH)等のHf有機金属化合物、ビスエチルシクロペンタジエニルルテニウムRu((C)(C))等のRu有機金属化合物、テトラエチルオルソシリケート(Si(OC)等の有機シリコン化合物等とすることができる。このような材料を用いることにより、酸素プラズマにより、金属酸化物膜を形成することができる。
また、たとえば第2のガスがHの場合、第1のガスは、ジメチルアルミニウムハイドライド(Al(CHH)やトリメチルアルミニウム(Al(CH)等のAl有機金属化合物、ペンタジメチルアミノタンタル(Ta(N(CH)、ターシャリーブチルイミドトリスジエチルアミドタンタル(Ta(NC511)(N(CH))、ターシャリーアミルイミドトリスジメチルアミドタンタル(Ta(NC(CH)(N(CH))等のTa有機金属化合物、五塩化タンタル(TaCl)等のTaハロゲン化物、テトラジメチルアミノチタン(Ti(N(CH)等のTi有機金属化合物、四塩化チタン(TiCl)等のTiハロゲン化物、テトラジエチルアミノジルコニウム(Zr(N(C)等のZr有機金属化合物、テトラジメチルアミドハフニウム(Hf(N(CH)等のHf有機金属化合物、ビスエチルシクロペンタジエニルルテニウムRu((C)(C))等のRu有機金属化合物、ジクロルシラン(HSiCl)等のSiハロゲン化物、六フッ化タングステン(WF)等のWハロゲン化物等とすることができる。このような材料を用いることにより、水素プラズマにより、金属膜や金属窒化膜を形成することができる。
また、たとえば第2のガスがNとHとの混合ガスやNHの場合、第1のガスは、ジメチルアルミニウムハイドライド(Al(CHH)やトリメチルアルミニウム(Al(CH)等のAl有機金属化合物、ジメトキシアミドエチル酸テトラエチル酸タンタル(Ta(OC(OCN(CH)やエチル酸タンタル(Ta(OC)、ペンタジメチルアミノタンタル(Ta(N(CH)、ターシャリーブチルイミドトリスジエチルアミドタンタル(Ta(NC511)(N(CH))、ターシャリーアミルイミドトリスジメチルアミドタンタル(Ta(NC(CH)(N(CH))等のTa有機金属化合物、ビスジピバロイルメタナトストロンチウム(Sr(C1119)等のSr有機金属化合物、テトラジメチルアミノチタン(Ti(N(CH)やテトラプロポキシチタン(Ti(OC)等のTi有機金属化合物、ビスジピバロイルメタナトバリウム(Ba(C1119)等のBa有機金属化合物、トリスターシャリーアミルオキシビスマストリス(Bi(OC(CH)、トリスジピバロイルメタナトビスマス(Bi(C1119)Bi有機金属化合物、ビスジピバロイルメタナト鉛(Pb(C1119)等のPb有機金属化合物、テトラブトキシジルコニウム(Zr(OC)、テトラジピバロイルメタナトジルコニウム(Zr(C1119)、テトラジエチルアミノジルコニウム(Zr(N(C)等のZr有機金属化合物、テトラブトキシハフニウム(Hf(OC)やテトラジメチルアミドハフニウム(Hf(N(CH)等のHf有機金属化合物、ビスエチルシクロペンタジエニルルテニウムRu((C)(C))等のRu有機金属化合物、テトラエチルオルソシリケート(Si(OC)等の有機シリコン化合物等とすることができる。このような材料を用いることにより、混合ガスのプラズマにより、金属窒化膜や金属窒化酸化膜を形成することができる。
(第1の実施の形態)
図1(a)は、本実施の形態における成膜装置の構成を示す図である。
成膜装置100は、成膜処理を行う反応室102と、プラズマ励起を行う励起部106と、第1の原料Aを供給する第1の原料供給部110と、第1のガス供給ライン112と、ガスB(第2のガス)を供給するガス供給部150と、第2のガス供給ライン152とを含む。第1の原料Aは、常温で気体の材料とすることもできるが、常温で固体または液体の材料とすることもできる。成膜装置100は、第1の原料Aが常温で固体または液体の材料でも、気化して反応室102に供給する構成とすることができる。第1の原料Aのガスは、上述した第1のガスに対応する。
第1のガス供給ライン112および第2のガス供給ライン152は、それぞれ反応室102に接続され、反応室102と連通する。第1のガス供給ライン112には、第1の原料供給部110からの第1の原料Aの流量を制御する第1のMFC(mass flow controller)116が設けられている。ガス供給部150には、ガス供給部150からのガスBの流量を制御する第2のMFC160が設けられている。第2のガス供給ライン152は、第1のガス供給ライン112に接続する第1の分岐ライン154を含む。
第2のガス供給ライン152の第1の分岐ライン154との分岐点aと反応室102との間には、第2のバルブ156(切替部)が設けられる。第1の分岐ライン154には、第3のバルブ158が設けられる。第2のバルブ156と第3のバルブ158とは、相補的に開閉する一組の開閉弁とすることができる。第2のバルブ156および第3のバルブ158(切替部)により、ガスBの供給を反応室102に直接、または第1のガス供給ライン112を介してのいずれかに相補的に切り替えることができる。
また、他の例において、第2のバルブ156および第3のバルブ158は、反応室102への直接の連通および第1の分岐ライン154への連通を相補的に開閉する一つの三方弁により構成することができる。このような三方弁は、第2のガス供給ライン152の第1の分岐ライン154との分岐点aに設けることができる。
第1のガス供給ライン112の第1の分岐ライン154との接続点bと第1のMFC116との間には、第1の原料Aの反応室102への供給を実行および停止する第1のバルブ114(切替部)が設けられている。第1のバルブ114は、第3のバルブ158と同期して開閉する開閉弁とすることができる。つまり、第3のバルブ158が閉じてガスBが第1のガス供給ライン112に導入されないときには、第1のバルブ114が閉じられる。
励起部106は、第2のガス供給ライン152から供給されるガスBをプラズマ励起する。本実施の形態において、励起部106は、反応室102内でプラズマ励起する構成とすることもでき、また図8に示したように、第2のガス供給ライン152と反応室102との接続箇所近傍に設けられたリモートプラズマソースとすることもできる。特許文献5に記載の従来の構成では、活性化させたガスが配管内で混合されるため配管内でガスが反応して成膜しやすい、ガスが活性化される位置と反応室との間に距離があるため反応室で活性を保っているガスの量が少なくなる等の課題があった。本実施の形態における成膜装置100によれば、活性化されたガスが配管内で混合されることがないため、配管内でガスが成膜して配管がつまるようなことがない。また、ガスが反応室102の近傍で励起されるため、反応室内でより多くのガスを活性に保つことができる。本実施の形態において、反応室102には、真空ポンプ等に連通する排気ライン104が設けられる。
本実施の形態において、成膜装置100による一連の成膜中、第2のMFC160は、ガス供給部150から反応室102へのガスBの流量が略一定となるように制御する。第1のMFC116も、第1の原料Aの流量が略一定となるように設定される。
また、成膜装置100は、図1(b)に示すように、励起部106、第1のバルブ114、第2のバルブ156、および第3のバルブ158の開閉を制御する制御部202をさらに含むことができる。制御部202は、反応室102に供給されるガスをプラズマ励起しないようにして第1の原料AをガスBとともに反応室102へ供給する第1の制御と、第1の原料Aを反応室102に供給しないようにして反応室102に供給されるガスをプラズマ励起する第2の制御とを、第2のMFC160によりガス供給部150から反応室102へのガスBの流量が略一定に保たれている間に実行する。具体的には、図2を参照して以下に説明する。
図2は、第3のバルブ158および第2のバルブ156を開閉するタイミングおよび励起部106によって反応室102に供給されるガスをプラズマ励起するか(オン)否か(オフ)のタイミングを示す図である。第3のバルブ158と第2のバルブ156とは相補的に開閉される。第1のバルブ114は、第3のバルブ158と同期して開閉される。つまり、第2のバルブ156が開くときには第3のバルブ158および第1のバルブ114が閉じられ、第2のバルブ156が閉じられるときには第3のバルブ158および第1のバルブ114が開けられる。これにより、ガス供給部150から供給されるガスBは、第2のガス供給ライン152から直接または第1の分岐ライン154および第1のガス供給ライン112を介して、反応室102に供給される。
1サイクルの処理を説明する。まず、プラズマ励起をオフとして、第2のバルブ156を閉じるとともに第3のバルブ158および第1のバルブ114を開く(第1段階)。これにより、第1の原料AおよびガスBが反応室102に供給され、第1の原料Aが基板上に吸着する。また、このとき、反応室102内の基板温度は、第1の原料AとガスBとが反応しないような温度とすることができる。このとき、反応室102に供給されるガスはプラズマ励起されないため、ガスBは、第1の原料Aと反応せず、第1の原料Aのキャリアガスとして機能する。
次のタイミングで、プラズマ励起をオフとしたまま、第2のバルブ156を開くとともに第3のバルブ158および第1のバルブ114を閉じる。これにより、反応室102には、ガスBが選択的に単独で供給される。そのため反応室102がガスBによりパージされ、反応室102に残存する第1の原料Aが反応室102外に排出される。
つづいて、次のタイミングで、バルブの開閉状態を維持したままでプラズマ励起をオンにする(第2段階)。これにより、反応室102に供給されたガスBがプラズマ励起され、第1の原料Aの吸着により形成された堆積層に作用して所望の膜が形成される。以上で1サイクルの処理が終わる。このような処理を繰り返すことにより、所望の膜厚の膜を形成することができる。
以上のような処理により、ガスBをプラズマ励起しない場合も、ガスBを第1の原料Aのキャリアガスとして反応室102に供給することができる。これにより、ガスBが常に反応室102に供給されることになる。また、本実施の形態において、第1の原料Aの流量はガスBの流量に比べて1桁以上小さいため、ガスBと第1の原料Aとの混合ガスの圧力は、ガスBの圧力に支配される。そのため、一連の成膜処理を通じて第2のMFC160と反応室102との間の圧力を一定に保つことができる。これにより、ガスの供給量を一定にすることができ、成膜特性を良好に保つことができる。さらに、第1の原料AおよびガスBが反応室102にのみ導入されるため、第1の原料AおよびガスBの利用効率を高めることができる。加えて、ガスBが連続的に反応室102に供給されるとともに、第1の原料Aが供給されている間は、第1の原料Aも反応室102に導入され、第1の原料AおよびガスBが同時に直接排気ライン104に排出されることがない。そのため、排気ライン内でこれらのガスが反応して排気ライン104が詰まることもない。また、第1の原料AのガスとガスBとの混合ガスに対してプラズマ励起が行われないため、配管内でガスが成膜されることもない。さらに、励起部106が反応室102内またはその近傍に設けられるため、励起したガスが活性なまま反応室102に存在するようにすることができる。
なお、以上で説明した手順は例示であり、原料の種類に応じて適宜応用することができる。たとえば、ガスBによるパージは省略することができる。また、ガスBを堆積層に作用させた後に次サイクルの第1の原料Aの吸着を行う前に、パージを行うようにすることもできる。また、第1の原料Aによる成膜処理を所定回数繰り返す毎にガスBをプラズマ励起する処理を行うこともできる。図1(b)に示したように、制御部202が励起部106、第1のバルブ114、第2のバルブ156、および第3のバルブ158を制御する形態においては、制御部202は、図2に示したタイミングチャートに従って、これらを制御する。
図3は、本実施の形態における成膜装置100の一つの具体例を示す図である。
ここでは、第1の原料Aが常温で液体である場合を例として説明する。また、ガスBは、第1の原料Aを気化した後に、第1の原料Aのガスのキャリアガスとして用いることができる。
図3(a)に示すように、第1の原料供給部110は、液体の第1の原料Aを収納する第1の原料収納部124と、圧送ガスを供給する圧送ガス供給部130と、圧送ガスの流量を制御する第3のMFC132と、圧送ガスを第1の原料収納部124に供給する圧送ガス供給ライン126とを含む。
第1のガス供給ライン112は、第1の原料収納部124に収容された液体の原料Aの中に導入されている。第1のガス供給ライン112には、第1の原料Aの流量を制御するLMFC(liquid mass flow controller)122と、液体の第1の原料Aを気化する気化器120とが設けられる。ここで、気化器120は、気化したガスの流量を制御する流量制御部を含む。LMFC122および気化器120は、図1(a)に示した第1のMFC116および第1のバルブ114にそれぞれ対応する。
第1の原料Aは、圧送ガスにより気化器120に圧送され、気化器120で気化された後に反応室102に供給される。ここで、第2のバルブ156が開くときには第3のバルブ158および気化器120が閉じられ、第2のバルブ156が閉じられるときには第3のバルブ158および気化器120が開けられる。
図3(b)は、図3(a)に示した成膜装置100がさらに制御部202を含む構成を示す図である。制御部202は、第2のバルブ156、第3のバルブ158、気化器120、および励起部106を制御する。制御部202は、図2を参照して説明したのと同様の制御を行う。
ここでも、気化器120により気化される第1の原料Aの流量は、ガスBの流量に比べて充分低くすることができる。このようにすれば、第1のガス供給ライン112を介して第1の原料AとガスBとの混合ガスを反応室102に供給する場合でも、第2のガス供給ライン152からガスBのみを反応室102に供給する場合と圧力を略等しくすることができる。これにより、ガスBは、第2のMFC160の設定値に応じた流量で、常に反応室102に供給される。そのため、第2のMFC160と反応室102との間の圧力変化が生じることなく、成膜処理を行うことができる。これにより、成膜を精度よく行うことができる。
さらに、第1の原料供給部110およびガス供給部150からそれぞれ供給される第1の原料AおよびガスBは、すべて反応室102に供給されるので、第1の原料AおよびガスBの利用効率を高めることができる。
図4は、本実施の形態における成膜装置100の他の具体例を示す図である。
ここでも、第1の原料Aが常温で液体である場合を例として説明する。ガスBは、第1の原料Aを気化して搬送する圧送ガスおよびキャリアガスとして機能する。
第1の原料供給部110は、第1の原料収納部124を含む。第2のガス供給ライン152から分岐した第1の分岐ライン154は、第1の原料収納部124に収容された原料Aの中に導入される。第1のガス供給ライン112は、第1の原料収納部124と反応室102とを接続する。図4では、第1の原料収納部124において、第1の分岐ライン154の方が第1のガス供給ライン112よりも深く配置されているが、第1の原料Aの種類に応じて逆の構成とする等、長さや配管の径、配管の形状は適宜最適化できる。また原料収納部の形状も図4は円筒形状の断面を示しているが、原料Aの種類に応じて円筒の底をすり鉢状の傾斜をつけるなど適宜最適化できる。
図4に示した成膜装置100も、図1(b)に示したように、制御部202を有する構成とすることができる。この場合、制御部202は、第2のバルブ156、第3のバルブ158、第1のバルブ114、および励起部106を制御する。
図5は、本実施の形態における成膜装置100の他の具体例を示す図である。
ここでは、原料として第1の原料Aおよび第2の原料Cを用いて成膜する構成を示す。また、第1の原料Aおよび第2の原料Cが常温で液体である場合を例として説明する。第2の原料Cは、第1の原料Aの材料として例示したのと同様の材料により構成することができる。
成膜装置100は、図3(a)に示した構成に加えて、第2の分岐ライン180、第5のバルブ182、第5の原料ガス供給ライン184、第6のバルブ186および第2の原料収納部188をさらに含む。第2の原料収納部188は、液体の第2の原料Cを収納する。第2の分岐ライン180は、第2のガス供給ライン152から分岐してガスBを第2の原料収納部188に供給する。第5のバルブ182は、ガス供給部150と第2の分岐ライン180との連通を開閉する。第5の原料ガス供給ライン184は、第2の原料収納部188と反応室102とを接続する。第6のバルブ186は、第5の原料ガス供給ライン184上に設けられ、第2の原料収納部188と反応室102との連通を開閉する。
ここで、第2のバルブ156、第3のバルブ158、および第5のバルブ182は、いずれか一つが開かれるとともに、他の二つが閉じられるように制御される。このようにすれば、ガス供給部150から供給されるガスBが、常に反応室102に供給されるようにすることができ、流量を一定に保つことができる。また、ガスや原料の利用効率を高めることができる。
(第2の実施の形態)
図6は、本実施の形態における成膜装置の構成の一例を示す図である。
成膜装置100は、反応室102と、排気ライン104と、励起部106と、第1の原料供給部110と、第1のMFC116と、ガス供給部150と、第2のMFC160と、第3の原料ガス供給ライン170と、第4の原料ガス供給ライン172と、バルブ174(切替部)と、バルブ176(切替部)と、制御部202とを含む。
第4の原料ガス供給ライン172は、反応室102に接続され、ガスBを反応室102に供給する。バルブ176は、第4の原料ガス供給ライン172に設けられ、ガスBの反応室102への供給を実行および停止する。本実施の形態において、成膜処理中は、バルブ176は常に開放されており、ガスBが反応室102に連続的に供給される。第3の原料ガス供給ライン170は、第4の原料ガス供給ライン172に接続され、第1の原料Aを供給する。バルブ174は、第3の原料ガス供給ライン170に設けられ、第1の原料の反応室102への供給を実行および停止する。
制御部202は、バルブ174、バルブ176および励起部106を制御する。図7は、本実施の形態において、第4のバルブ174およびバルブ176を開閉するタイミングおよび励起部106によって反応室102に供給されるガスをプラズマ励起するか(オン)否か(オフ)のタイミングを示す図である。
1サイクルの処理を説明する。まず、プラズマ励起をオフとするとともに、バルブ176および第4のバルブ174を開く(第1段階)。これにより、第1の原料AおよびガスBが反応室102に供給され、第1の原料Aが基板上に吸着する。このとき、反応室102に供給されるガスはプラズマ励起されないため、ガスBは、第1の原料Aと反応せず、第1の原料Aのキャリアガスとして機能する。
次のタイミングで、プラズマ励起をオフとしたままで、第4のバルブ174を閉じる。これにより、反応室102には、ガスBが選択的に単独で供給される。そのため反応室102がガスBによりパージされ、反応室102に残存する第1の原料Aが反応室102外に排出される。
つづいて、次のタイミングで、バルブの開閉状態を維持したままでプラズマ励起をオンにする(第2段階)。これにより、反応室102に供給されたガスBがプラズマ励起され、第1の原料Aの吸着により形成された堆積層に作用して所望の膜が形成される。以上で1サイクルの処理が終わる。このような処理を繰り返すことにより、所望の膜厚の膜を形成することができる。
制御部202は、図7に示したタイミングに従い、どの段階の処理が行われるかを判断して、バルブ174およびバルブ176の開閉および励起部106のオンオフを制御する。本実施の形態において、制御部202は、成膜処理を開始する際に、バルブ176を開き、その後反応室102に連続的にガスBを供給するように制御する。
本実施の形態における成膜装置100においても、ガスBは、常に反応室102に供給される。そのため、一連の成膜処理を通じて第2のMFC160と反応室102との間の圧力を一定に保つことができる。また、ガスや原料の利用効率を高めることができる。
本実施の形態における成膜装置100によれば、第1の原料Aが供給される際には、励起部106によるプラズマ励起が行われない。そのため、第4の原料ガス供給ライン172において、第1の原料Aのガスと励起されたガスBとが混合されてガスが成膜することがない。さらに、本実施の形態において、励起部106は、反応室102内でプラズマ励起する構成とすることができる。このような構成とすることにより、反応室内でより多くのガスを活性に保つことができる。また、配管内でガスが成膜して配管がつまる可能性をさらに低減することができる。
以上、図面を参照して本発明の実施形態について述べたが、これらは本発明の例示であり、上記以外の様々な構成を採用することもできる。
また、成膜装置100は、必要に応じて図示した以外のバルブをさらに含む構成とすることができる。さらに、ガス供給ライン(配管)や原料収納部(容器)等の形状や位置等も適宜選択することができる。
第2の実施の形態において、成膜装置100が制御部202を含む構成を説明したが、本発明の方法は、制御部202を含まない成膜装置100により実行することもできる。この場合も、図7に示したタイミングでバルブの開閉や励起部のオンオフを行うことができる。また、本発明の方法において、成膜装置100は、バルブ176を有しない構成として、成膜中はガスBを連続的に流すようにすることもできる。
以上の実施の形態において、成膜装置100がALD法により成膜を行う構成を示したが、成膜装置100は、ALD法以外のCVD法等により成膜を行う構成とすることもできる。以上の実施の形態において、ガスBは、第1の原料Aのガスを基板上に吸着させる際には、キャリアガスとして機能する場合を例として説明した。しかし、第1の原料AのガスとガスBとを熱CVD法により成膜して、その膜上にプラズマ励起したガスBを作用させる処理を行うこともできる。この場合、配管中で第1の原料AのガスとガスBとが反応しないように、配管中の温度を適宜制御することができる。
本発明の実施の形態における成膜装置の構成を示す図である。 本発明の実施の形態における成膜装置の制御タイミングを示す図である。 本発明の実施の形態における成膜装置の一つの具体例を示す図である。 本発明の実施の形態における成膜装置の他の具体例を示す図である。 本発明の実施の形態における成膜装置の他の具体例を示す図である。 本発明の実施の形態における成膜装置の構成を示す図である。 本発明の実施の形態における成膜装置の制御タイミングを示す図である。 本発明の実施の形態における成膜装置の一つの具体例を示す図である。
符号の説明
100 成膜装置
102 反応室
104 排気ライン
106 励起部
110 第1の原料供給部
112 第1のガス供給ライン
114 第1のバルブ
116 第1のMFC
120 気化器
122 LMFC
124 第1の原料収納部
126 圧送ガス供給ライン
130 圧送ガス供給部
132 第3のMFC
150 ガス供給部
152 第2のガス供給ライン
154 第1の分岐ライン
156 第2のバルブ
158 第3のバルブ
160 第2のMFC
170 第3の原料ガス供給ライン
172 第4の原料ガス供給ライン
174 バルブ
176 バルブ
180 第2の分岐ライン
182 第5のバルブ
184 第5の原料ガス供給ライン
186 第6のバルブ
188 第2の原料収納部
202 制御部

Claims (10)

  1. 成膜処理を行う反応室と、
    第1のガスおよび第2のガスを前記反応室に供給するガス供給系と、
    前記第1のガスの前記反応室への供給または停止を切り替える切替部と、
    前記反応室に供給されるガスをプラズマ励起する励起部と、
    前記第1のガスおよび前記第2のガスを前記反応室に供給して、前記第1のガスを基板上に吸着させて堆積層を形成する第1の段階の間は前記励起部のプラズマ励起を行わず、前記第2のガスを前記反応室に供給してプラズマ励起した状態で前記堆積層に作用させる第2の段階の間は前記励起部をプラズマ励起させる制御部と、
    を含む成膜装置。
  2. 請求項1に記載の成膜装置において、
    前記第1の段階および前記第2の段階のいずれにおいても前記反応室に供給される前記第2のガスの流量が略一定となるように前記第2のガスの流量を制御する流量制御部をさらに含む成膜装置。
  3. 請求項2に記載の成膜装置において、
    前記流量制御部は、前記反応室に供給される前記第1のガスの流量が前記第2のガスの流量の10分の1以下となるように前記第2のガスの流量を制御する成膜装置。
  4. 請求項1から3いずれかに記載の成膜装置において、
    前記ガス供給系は、前記反応室に接続され、前記第1のガスを前記反応室に供給する第1の供給ラインと、前記反応室に接続され、前記第2のガスを前記反応室に供給する第1のラインおよび当該第1のラインから分岐して前記第1の供給ラインに接続する第2のラインを含む第2の供給ラインと、を含み、
    前記切替部は、前記第1の段階において前記第2のガスを前記第2のラインに供給するとともに前記第2の段階において前記第1のガスを前記第1のラインに供給する第1の切替部と、前記第1の段階において前記第1のガスの前記反応室への供給を実行するとともに前記第2の段階において前記第1のガスの前記反応室への供給を停止する第2の切替部と、を含む成膜装置。
  5. 請求項1から4いずれかに記載の成膜装置において、
    前記第2のガスは、N、NH、O、またはH、これらの混合ガス、あるいはこれらとArまたはHeとの混合ガスである成膜装置。
  6. 成膜処理を行う反応室と、
    前記反応室に接続され、第1のガスを前記反応室に供給する第1の供給ラインと、
    前記反応室に接続され、第2のガスを前記反応室に供給する第1のラインおよび当該第1のラインから分岐して前記第1の供給ラインに接続する第2のラインを含む第2の供給ラインと、
    前記第2のガスを前記第2のラインに供給して前記第1のガスとともに前記反応室に供給する第1の段階と、前記第1のガスの供給を停止して前記第2のガスを前記第1のラインに供給する第2の段階とに切り替え可能な切替部と、
    前記第2の供給ラインの前記第1のラインから供給されるガスをプラズマ励起する励起部と、
    を含む成膜装置。
  7. 第1のガスおよび第2のガスを反応室に供給して、前記第1のガスを基板上に吸着させて堆積層を形成する第1の工程と、
    前記第2のガスを前記反応室に供給して、プラズマ励起した状態で、前記堆積層に作用させる第2の工程と、
    を含む成膜方法。
  8. 請求項7に記載の成膜方法において、
    前記第2のガスの供給部から前記反応室への前記第2のガスの流量を略一定に保った状態で、前記第1の工程および前記第2の工程を実行する成膜方法。
  9. 請求項7または8に記載の成膜方法において、
    前記第1のガスの流量は、前記第2のガスの流量の10分の1以下である成膜方法。
  10. 請求項7から9いずれかに記載の成膜方法において、
    前記第2のガスは、N、NH、O、またはH、これらの混合ガス、あるいはこれらとArまたはHeとの混合ガスである成膜方法。
JP2006035243A 2006-02-13 2006-02-13 成膜装置および成膜方法 Pending JP2007211326A (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2006035243A JP2007211326A (ja) 2006-02-13 2006-02-13 成膜装置および成膜方法
US11/704,295 US8679253B2 (en) 2006-02-13 2007-02-09 Deposition apparatus and method for depositing film
CN2007100057955A CN101021005B (zh) 2006-02-13 2007-02-13 淀积设备以及用于淀积膜的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006035243A JP2007211326A (ja) 2006-02-13 2006-02-13 成膜装置および成膜方法

Publications (1)

Publication Number Publication Date
JP2007211326A true JP2007211326A (ja) 2007-08-23

Family

ID=38367025

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006035243A Pending JP2007211326A (ja) 2006-02-13 2006-02-13 成膜装置および成膜方法

Country Status (3)

Country Link
US (1) US8679253B2 (ja)
JP (1) JP2007211326A (ja)
CN (1) CN101021005B (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010111888A (ja) * 2008-11-04 2010-05-20 Tokyo Electron Ltd Ti膜の成膜方法および成膜装置、ならびに記憶媒体
WO2011086971A1 (ja) * 2010-01-12 2011-07-21 株式会社 アルバック 半導体装置の製造方法、及び成膜装置
JP2011174128A (ja) * 2010-02-24 2011-09-08 Mitsui Eng & Shipbuild Co Ltd 薄膜形成装置および薄膜形成方法
JP2012142574A (ja) * 2010-12-28 2012-07-26 Asm Japan Kk 金属酸化物のハードマスクの形成方法
JP2015170614A (ja) * 2014-03-04 2015-09-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
JP5906507B1 (ja) * 2015-02-27 2016-04-20 株式会社昭和真空 多層膜被覆樹脂基板およびその製造方法

Families Citing this family (299)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007211326A (ja) * 2006-02-13 2007-08-23 Nec Electronics Corp 成膜装置および成膜方法
KR100872312B1 (ko) * 2007-05-04 2008-12-05 주식회사 디엠에스 에칭가스 제어시스템
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8628618B2 (en) * 2009-09-29 2014-01-14 Novellus Systems Inc. Precursor vapor generation and delivery system with filters and filter monitoring system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
DE102012210332A1 (de) * 2012-06-19 2013-12-19 Osram Opto Semiconductors Gmbh Ald-beschichtungsanlage
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9496173B2 (en) * 2013-12-20 2016-11-15 Intel Corporation Thickened stress relief and power distribution layer
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN103887139B (zh) * 2014-04-08 2017-01-11 苏州大学 用于制备低介电常数材料的等离子增强化学气相沉积装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10256126B2 (en) * 2016-09-22 2019-04-09 Globalfoundries Inc. Gas flow process control system and method using crystal microbalance(s)
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
CN111218667A (zh) * 2018-11-23 2020-06-02 北京北方华创微电子装备有限公司 一种气体分配装置的表面处理方法及沉积设备
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
CN110176414B (zh) * 2019-04-16 2020-10-16 北京北方华创微电子装备有限公司 反应气体供应系统及其控制方法
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6222420A (ja) * 1985-07-23 1987-01-30 Canon Inc 堆積膜形成装置
JPH02282481A (ja) * 1989-04-24 1990-11-20 Matsushita Electric Ind Co Ltd アモルファス多層薄膜形成方法
JPH04361531A (ja) * 1991-06-10 1992-12-15 Fujitsu Ltd 半導体装置の製造方法
JPH11217672A (ja) * 1998-01-30 1999-08-10 Sony Corp 金属窒化物膜の化学的気相成長方法およびこれを用いた電子装置の製造方法
JP2000200761A (ja) * 1999-01-07 2000-07-18 Nec Corp 半導体装置の製造方法
JP2002246317A (ja) * 2001-02-16 2002-08-30 Sanyo Electric Co Ltd プラズマcvd法による薄膜形成方法
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
JP2003041367A (ja) * 2001-08-02 2003-02-13 Hitachi Kokusai Electric Inc 基板処理装置
JP2005203569A (ja) * 2004-01-15 2005-07-28 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法及び半導体装置
JP2005229129A (ja) * 2000-06-08 2005-08-25 Genitech Inc 薄膜形成方法
JP2006041088A (ja) * 2004-07-26 2006-02-09 Hitachi High-Technologies Corp プラズマ処理装置

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US591636A (en) * 1897-10-12 Bicycle attachment
GB2213837B (en) * 1987-12-22 1992-03-11 Philips Electronic Associated Electronic device manufacture with deposition of material
JP3124376B2 (ja) * 1992-06-17 2001-01-15 株式会社東芝 化合物半導体の気相成長装置
JPH07263415A (ja) * 1994-03-18 1995-10-13 Fujitsu Ltd 半導体装置の製造方法
US5872065A (en) 1997-04-02 1999-02-16 Applied Materials Inc. Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry
US6281124B1 (en) * 1998-09-02 2001-08-28 Micron Technology, Inc. Methods and systems for forming metal-containing films on substrates
US6540838B2 (en) * 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
TW496907B (en) * 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
US6511922B2 (en) * 2001-03-26 2003-01-28 Applied Materials, Inc. Methods and apparatus for producing stable low k FSG film for HDP-CVD
US20030000471A1 (en) * 2001-06-18 2003-01-02 Soo-Sik Yoon Method and apparatus for manufacturing semiconductor devices
US6835414B2 (en) * 2001-07-27 2004-12-28 Unaxis Balzers Aktiengesellschaft Method for producing coated substrates
US20030159653A1 (en) * 2002-02-28 2003-08-28 Dando Ross S. Manifold assembly for feeding reactive precursors to substrate processing chambers
JP4099092B2 (ja) * 2002-03-26 2008-06-11 東京エレクトロン株式会社 基板処理装置および基板処理方法、高速ロータリバルブ
KR100439948B1 (ko) * 2002-04-19 2004-07-12 주식회사 아이피에스 리모트 플라즈마 ald 장치 및 이를 이용한 ald 박막증착방법
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
JP2004091850A (ja) * 2002-08-30 2004-03-25 Tokyo Electron Ltd 処理装置及び処理方法
KR100745093B1 (ko) 2003-08-12 2007-08-01 미쓰이 가가쿠 가부시키가이샤 폴리에스테르 수지 및 폴리에스테르 수지 적층 용기
US7628860B2 (en) * 2004-04-12 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
KR100636037B1 (ko) * 2004-11-19 2006-10-18 삼성전자주식회사 티타늄 질화막 형성 방법 및 이를 수행하기 위한 장치
KR100636036B1 (ko) * 2004-11-19 2006-10-18 삼성전자주식회사 티타늄 질화막 형성 방법 및 이를 수행하기 위한 장치
JP2007211326A (ja) * 2006-02-13 2007-08-23 Nec Electronics Corp 成膜装置および成膜方法
US20070269596A1 (en) * 2006-05-19 2007-11-22 Asm America, Inc. Valve failure detection
US8997789B2 (en) * 2008-06-22 2015-04-07 Malema Engineering Corporation Internal leak detection and backflow prevention in a flow control arrangement

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6222420A (ja) * 1985-07-23 1987-01-30 Canon Inc 堆積膜形成装置
JPH02282481A (ja) * 1989-04-24 1990-11-20 Matsushita Electric Ind Co Ltd アモルファス多層薄膜形成方法
JPH04361531A (ja) * 1991-06-10 1992-12-15 Fujitsu Ltd 半導体装置の製造方法
JPH11217672A (ja) * 1998-01-30 1999-08-10 Sony Corp 金属窒化物膜の化学的気相成長方法およびこれを用いた電子装置の製造方法
JP2000200761A (ja) * 1999-01-07 2000-07-18 Nec Corp 半導体装置の製造方法
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
JP2005229129A (ja) * 2000-06-08 2005-08-25 Genitech Inc 薄膜形成方法
JP2002246317A (ja) * 2001-02-16 2002-08-30 Sanyo Electric Co Ltd プラズマcvd法による薄膜形成方法
JP2003041367A (ja) * 2001-08-02 2003-02-13 Hitachi Kokusai Electric Inc 基板処理装置
JP2005203569A (ja) * 2004-01-15 2005-07-28 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法及び半導体装置
JP2006041088A (ja) * 2004-07-26 2006-02-09 Hitachi High-Technologies Corp プラズマ処理装置

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010111888A (ja) * 2008-11-04 2010-05-20 Tokyo Electron Ltd Ti膜の成膜方法および成膜装置、ならびに記憶媒体
WO2011086971A1 (ja) * 2010-01-12 2011-07-21 株式会社 アルバック 半導体装置の製造方法、及び成膜装置
JP5379246B2 (ja) * 2010-01-12 2013-12-25 株式会社アルバック 半導体装置の製造方法、及び成膜装置
JP2011174128A (ja) * 2010-02-24 2011-09-08 Mitsui Eng & Shipbuild Co Ltd 薄膜形成装置および薄膜形成方法
JP2012142574A (ja) * 2010-12-28 2012-07-26 Asm Japan Kk 金属酸化物のハードマスクの形成方法
JP2015170614A (ja) * 2014-03-04 2015-09-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
JP5906507B1 (ja) * 2015-02-27 2016-04-20 株式会社昭和真空 多層膜被覆樹脂基板およびその製造方法

Also Published As

Publication number Publication date
US20070186849A1 (en) 2007-08-16
US8679253B2 (en) 2014-03-25
CN101021005A (zh) 2007-08-22
CN101021005B (zh) 2012-02-29

Similar Documents

Publication Publication Date Title
JP2007211326A (ja) 成膜装置および成膜方法
KR102459905B1 (ko) 가스 공급 노즐, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
US9728400B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US9558937B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and non-transitory computer-readable recording medium
US7927662B2 (en) CVD method in vertical CVD apparatus using different reactive gases
JP5562434B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
EP1238421B1 (en) Apparatus and method for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR101379015B1 (ko) 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
KR100723079B1 (ko) 원료 가스와 반응성 가스를 사용하는 처리 장치
TWI515792B (zh) A semiconductor device manufacturing method, a substrate processing method, a substrate processing apparatus, and a recording medium
US7666474B2 (en) Plasma-enhanced pulsed deposition of metal carbide films
KR100652420B1 (ko) 유전막 제조방법, 그 유전막을 포함하는 mim 캐패시터의제조방법 및 그 유전막을 제조하기 위한 배치 타입 ald장치
JP6086942B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
US20030183171A1 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US20050136657A1 (en) Film-formation method for semiconductor process
JP5109299B2 (ja) 成膜方法
US20050081787A1 (en) Apparatus and method for supplying a source, and method of depositing an atomic layer using the same
US9502233B2 (en) Method for manufacturing semiconductor device, method for processing substrate, substrate processing device and recording medium
KR20130065589A (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
KR20090092728A (ko) 원자층 증착 기술을 이용한 도핑 방법
US8039054B2 (en) Layer deposition methods
KR101304395B1 (ko) 하프늄-함유 높은-k 유전체 물질의 원자 층 증착을 위한 장치 및 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090119

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120228

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120724