CN101021005A - 淀积设备以及用于淀积膜的方法 - Google Patents

淀积设备以及用于淀积膜的方法 Download PDF

Info

Publication number
CN101021005A
CN101021005A CNA2007100057955A CN200710005795A CN101021005A CN 101021005 A CN101021005 A CN 101021005A CN A2007100057955 A CNA2007100057955 A CN A2007100057955A CN 200710005795 A CN200710005795 A CN 200710005795A CN 101021005 A CN101021005 A CN 101021005A
Authority
CN
China
Prior art keywords
gas
reaction chamber
circuit
source material
deposition apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007100057955A
Other languages
English (en)
Other versions
CN101021005B (zh
Inventor
古谷晃
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Electronics Corp
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Publication of CN101021005A publication Critical patent/CN101021005A/zh
Application granted granted Critical
Publication of CN101021005B publication Critical patent/CN101021005B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

当用多种气体淀积膜时,表现出增强的气体利用效率并表现出改善的淀积特性。淀积设备(100)包括:反应室(102),用于淀积膜;第一气体提供线路(112)和第二气体提供线路(152),分别用于将第一源材料(A)和气体(B)提供到反应室(102);和激励单元(106),其能够激励反应室(102)中所提供的气体,以形成等离子体。在具有这种构造的淀积设备(100)中,通过以下操作进行淀积操作:第一操作,用于将由第一源材料(A)获得的气体和气体(B)提供到反应室(102)中,以促使在衬底上吸收由第一源材料A得到的气体,从而形成淀积层;和第二操作,用于将第二气体提供到反应室(102)中,并利用处于被等离子体激励的条件下的气体处理淀积层。

Description

淀积设备以及用于淀积膜的方法
本申请基于日本专利申请No.2006-35,243,通过参考将其并入本文。
技术领域
本发明涉及一种淀积设备以及用于淀积膜的方法。
背景技术
在近些年的半导体器件中,经过互连线的信号传播延迟限制了电子元件的运行速度。通过互连线的信号传播中的延迟常数由互连线电阻和互连线电容的乘积表示。因此,为了实现更快的元件运行,将比常规二氧化硅膜(SiO2)介电常数低的低介电常数材料用于层间绝缘膜,且将具有较低电阻率的铜(Cu)用于互连线。
通过嵌入式工艺(Damascene process)形成采用铜作为互连线材料的多层互连线。在典型的嵌入式工艺中,凹部如互连线沟槽或通孔(viahole)形成在层间绝缘膜中,且然后将阻挡金属膜淀积在凹部中,且凹部进一步以铜膜栓塞,且之后,通过化学机械抛光(CMP)工艺去除淀积在凹部外部的部分铜膜和阻挡金属膜,以获得铜互连线或铜通孔。
在这种铜互连线或铜通孔中,阻挡金属膜例如由氮化钛(TiN)膜组成。这种阻挡金属膜的电阻率比铜的高几倍至几十倍。因此,由于降低了互连线尺寸,因此,阻挡金属膜的膜厚度也会降低,且另外,互连线中阻挡金属的比重增加了,导致了不希望的互连线电阻率增加。因此,阻挡金属膜的膜厚度降低在后45nm的技术节点中尤其关键。同时,需要阻挡金属膜具有一定等级的膜厚度,以保持对铜扩散和/或铜膜和与层间绝缘膜之间的粘合性的阻挡能力。为了满足这些需要,在淀积阻挡金属膜的工艺中需要更高的阶梯覆盖率和更高的横跨晶片表面的淀积均匀度。因此,进行原子层淀积(ALD)工艺的研发,其能实现更高的阶梯覆盖率和更高的横跨晶片表面的淀积均匀度。
日本特开专利公开No.2005-229,129公开了一种采用等离子体(plasma enhanced ALD,PEALD)的ALD工艺。在这种工艺中,在提供反应气体的每个周期中或者在重复用于提供反应气体的若干周期之后,进行等离子体工艺,以形成氮化钛(TiN)膜等。
日本特开专利公开No.2005-203,569公开了一种制造半导体器件的方法,包括:第一操作,通过采用第一气体和用于导致第一气体还原的第二气体在衬底上形成第一阻挡金属薄膜;和第二操作,在不将衬底暴露在周围空气的情况下,通过采用第三气体和用于导致第三气体还原的第四气体,在通过第一操作形成的第一阻挡金属薄膜上形成第二阻挡金属薄膜。公开了在用于形成阻挡金属薄膜的第二操作中用于将衬底暴露到第四气体的等离子体气氛中的工序。
日本本国再公开的PCT申请No.2005-523,580公开了一种远程等离子体ALD设备,其包括:反应室;排气线路,用于从反应室排出气体;第一反应气体提供单元,用于选择性地将第一反应气体提供到反应室或排气线路;第一反应气体传输线路,其连接了反应室和第一反应气体提供单元;第一旁通线路,其连接了排气线路和第一反应气体提供单元;自由基提供单元,用于产生自由基并且用于选择性地将这种自由基提供到反应室或排气线路;自由基传输线路,其连接了反应室和自由基提供单元;第二旁通线路,其连接了排气线路和自由基提供单元;和主清洗气体提供单元,用于将主清洗气体提供到第一反应气体传输线路和/或自由基传输线路。
日本特开专利公开No.2003-41,367公开了一种衬底处理设备,其中,当将需要被激励的气体和不需要被激励的气体的混合物流入到设置在激励单元下游的公共提供系统中时,不需要被激励的所述气体能够被适当地提供到处于不被激励状态的反应室中。日本特开专利公开No.H10-284,487(1998)公开了一种用于在化学气相淀积(CVD)处理室中的衬底上淀积Si-O-F绝缘层的工艺。用于实施这种工艺的系统包括:真空室;气体分布系统,其能够将含有游离态的四氟化硅(SiF4)自由基和含氧气体的气态混合物引入到真空室中,其中Si-F-O膜通过引入工艺气体的热反应被淀积于晶片上;和激励室,用于离解SiF4气体,以产生SiF4自由基,所述激励室被远离真空室设置并被连接到所述气体分布系统。
同时,在淀积设备如ALD设备中,在反应室中提供的源气体的流速通过质量流量控制器(MFC)控制。另一方面,与通过MFC进行打开和关闭操作相比,ALD淀积通常需要以更短的时间间隔频繁地提供源材料,以提供提高的产量。因此,在MFC和反应室之间提供阀门,且在所述淀积工艺中保持MFC打开的同时通过打开和关闭阀门进行用于控制源气体提供的操作(参见日本本国再公开PCT国际申请No.2005-523,580和日本特开专利公开No.2003-41,367)。
然而,与提供源气体的压力相比,这种操作导致MFC和阀门之间压力增大,同时关闭阀门使得不能将源气体提供至反应室。由于这种现象,反应室中的压力在阀门刚刚打开之后就变得较高,导致提供的源气体的更大流速,并且之后提供的源气体的流速被降低。提供的源材料速度的这种变化对淀积特性带来负面影响,且引起横跨晶片表面淀积均匀度恶化。尤其,在如上在日本特开专利公开No.2005-229,129、日本特开专利公开No.2005-203,569和日本特开专利公开No.2003-41,367中所描述的PEALD工艺中,用于等离子体处理的所提供气体的速度会影响淀积膜的纯度。因此,用于等离子体处理的气体的流速通常都选择成比其它气体的流速大。因此,与不用等离子体的ALD工艺相比,用于等离子体处理的气体压力的波动是PEALD工艺中更加关键的问题。然而,对这种压力波动的考虑不包括在日本专利特开公开No.2005-229,129、日本特开专利公开No.2005-203,569和日本特开专利公开No.2003-41,367中所公开的技术中。
此外,涉及到ALD工艺的另一个问题是使用多种类型的气体不可避免地导致复杂的管道构造。在日本特开专利公开No.H10-284,487中公开的技术中,管道系统将通过利用气体混合室而简化,其以单管道连接了气体混合室与反应室来构成管道。然而,气体同时提供到这种构造中,因此存在ALD淀积工艺不能通过这种构造进行的问题。此外,,即使采用了这种技术,上述压力波动的问题不能避免。
如日本本国在公开PCT国际申请No.2005-523,580中公开的构造能以稳定的基础允许气体流入到反应室中或者排气线路中。具有这种构造,能降低上述问题的MFC和阀门之间的压力变化。然而,这种工艺导致未耗尽源材料的泄放,导致增加了源材料的消耗。而且,当采用如日本本国在公开PCT国际申请No.2005-523,580描述的构造时,该构造中,通过排气线路同时并直接排出两种类型的反应源气体,而不通过淀积室,导致源材料可以在排气线路中反应且线路会被阻塞。如果这种问题被避免的话,则会导致另一问题,也就是由具有分离的管道和/或用于避免这种困难的配置导致具有复杂的设备构造。
发明内容
根据本发明的一个方面,提供了一种淀积设备,包括:反应室,用于进行淀积工艺;气体提供系统,用于将第一气体和第二气体提供到反应室中;切换单元,用于在第一步骤中的向反应室提供第一气体和在第二步骤中的停止向反应室提供第一气体这两者之间进行切换,其中,在所述第一步骤中,所述第一和第二气体被提供至所述反应室内,在所述第二步骤中,所述第二气体被选择性地提供至所述反应室内;激励单元,用于激励将被提供到反应室中的气体,以产生等离子体;和控制单元,能够控制激励单元,以在第一步骤期间激励单元不进行由气体到等离子体的激励,该第一步骤中,在衬底上吸收第一气体,以形成淀积层,且在第二步骤期间所述激励单元进行气体到等离子体的激励,其中在该第二步骤中,正在被激励成等离子体的第二气体处理淀积层。
其能实现当在衬底上吸收第一气体时将第二气体提供到反应室中,从而实现连续将第二气体提供到反应室中。具有这种构造,能保持在气体提供系统中的第二气体的恒定压力,从而保持所提供气体的恒定流速。因此,会表现出改善的淀积特性。
而且,根据本发明的上述方面,第二气体连续提供到反应室中,且避免了同时将第一气体和第二气体排出到排气线路。因此,防止由于在排气线路中这些气体的反应导致的排气线路阻塞。而且,当激励第二气体时停止提供第一气体,且从而不会对由第一气体和第二气体的气态混合物进行等离子体激励。因此,可避免通过在管道等中反应这些气体而淀积膜。除了上述这些,激励单元可提供在反应室附近。该构造使得在反应室中能够提供维持大量处于激励状态下的气体。
在本发明的淀积设备中,前述的气体提供系统还能够将第三气体提供到前述的反应室中,且前述的切换单元能够在提供和停止提供前述第三气体到前述反应室中之间切换,且还能够在前述第二步骤中停止提供前述第三气体。而且,控制单元被设计成使得在第三步骤期间不进行前述激励单元的等离子体激励,其中前述第三气体和前述第二气体提供到反应室中且前述第三气体在衬底上吸收,以形成淀积层。
如上所述,本发明的淀积设备还可以构造为能够通过采用更多种类型的气体进行淀积膜。在此,第三气体由与用于第一气体的材料的组相同的组的所选材料组成。
在本发明的淀积设备中,前述的气体提供系统包括:第一提供线路,连接到前述的反应室,用于将前述第一气体提供到前述反应室中;和第二提供线路,包括:连接到前述反应室的第一线路并用于将前述第二气体提供到前述反应室中的第一线路,以及从第一线路分支并连接到前述第一提供线路的第二线路。而且,切换单元包括第一切换单元和第二切换单元,第一切换单元能够在第一步骤中将第二气体提供到第二线路,和在第二步骤中将第一气体提供到第一线路,第二切换单元能够在第一步骤中将第一气体提供到反应室中,并能够在第二步骤中停止将第一气体提供到反应室中。第一切换单元分别提供在第一线路和第二线路中,且可构造为能够互补地打开和关闭的一对切换阀门。替换地,第一切换单元可以是提供在第一线路和第二线路的分叉点处的三通阀。
其能提供通过第一线路或第二线路将第二气体连续提供到反应室中。具有这种构造,能保持气体提供系统中第二气体的恒定压力,从而保持所提供气体的恒定流速。因此,能表现出改善的淀积特性。而且,由于通过用于进行等离子体激励的通路之外的其它通路将第一气体和第二气体的气态混合物引入到反应室中,因此避免了由管道等中的气体反应所导致的膜淀积。
根据本发明的另一方面,提供了一种淀积设备,包括:反应室,用于进行淀积工艺;第一提供线路,连接到反应室,用于将第一气体提供到反应室中;第二提供线路,包括连接到反应室用于将第二气体提供到反应室中的第一线路,和从第一线路分支并连接到第一提供线路的第二线路;切换单元,能够在第一步骤和第二步骤之间切换,其中,在第一步骤中,将第二气体提供到第二线路中,并最终将其与第一气体一起提供到反应室中,且其中,在第二步骤中,停止提供第一气体,且选择性地将第二气体提供到第一线路中;和激励单元,用于将从第二提供线路的第一线路提供的气体激励成等离子体。
其能提供通过第一线路或第二线路连续地将第二气体提供到反应室中。具有这种构造,能保持提供到反应室中的第二气体的恒定压力,从而保持所提供气体的恒定流速。因此,能表现出改善的淀积特性。而且,根据本发明的上述方面,将第二气体连续提供到反应室中,且避免直接向排气线路同时排出第一气体和第二气体。因此,能防止由于排气中这些气体的反应导致的排气线路阻塞。而且,由于通过除了进行等离子体激励的通路之外的通路将第一气体和第二气体的气态混合物引入到反应室中,因此,能避免通过管道等中的气体反应导致的膜淀积。
本发明的淀积设备还包括控制单元,其能够吸收衬底之上的第一气体,而无须通过第一步骤期间的激励单元进行等离子体激励,且能够在第二步骤期间通过激励单元对第二气体进行等离子体激励。
根据本发明的还一方面,提供了一种淀积膜的方法,包括:通过将第一气体和第二气体提供到反应室中和在衬底上吸收第一气体形成淀积层;以及用通过将第二气体提供到反应室中并激励气体所产生的等离子体来处理淀积层。
在前述的形成淀积层中,可将第二气体用作第一气体的载气。在前述的形成淀积层中,能通过在不激励第一气体和第二气体的条件下吸收第一气体形成淀积层。
其能提供当在衬底上吸收第一气体时将第二气体提供到反应室中,且因此能在反应室中连续提供第二气体。具有这种构造,能保持提供到反应室中的第二气体的恒定压力,从而包括所提供气体的恒定流速。因此,能表现出改善的淀积特性。
而且,由于根据本发明上述方面,避免了直接到排气线路同时排出第一气体和第二气体,因此能防止由于在排气线路中这些气体的反应导致的排气线路阻塞。而且,由于不进行第一气体和第二气体的气态混合物的等离子体激励,因此,能避免由管道等中的气体反应导致的膜淀积。此外,通过将等离子体淀积单元设置在比气体汇聚点更接近反应室的位置处,使得在反应室中能含有更大量处于激励状态下的气体。
根据本发明,当用多种气体淀积膜时,能表现出增强气体利用率,且能表现出改善的淀积特性。
附图说明
结合附图根据以下描述,本发明的上述和其它目的、优点和特征将更加明显,其中:
图1A和1B是示出本发明实施例中淀积设备构造的框图;
图2是表示用于本发明实施例中淀积设备的控制时序的时序图;
图3A和3B是示出本发明实施例中淀积设备其它构造的框图;
图4是示出本发明实施例中淀积设备其它构造的框图;
图5是示出本发明实施例中淀积设备其它构造的框图;
图6是示出本发明实施例中淀积设备构造的框图;
图7是表示本发明实施例中淀积设备控制时序的时序图;
图8A和8B是示出本发明实施例中淀积设备其它构造的框图。
具体实施方式
在此,现在将参考示意性的实施例描述本发明。本领域技术人员将意识到,使用本发明的教导能实现很多替换实施例,且本发明不限于用于说明目的示出的实施例。
以下将参考附图进一步详细地描述根据本发明优选实施例。在所有图中,相同的数字表示图中普遍出现的元件,且将不重复其详细描述。
在以下的实施例中,可构成淀积设备,其能通过ALD工艺淀积膜,其中,将含有源材料的气体提供到衬底上,且源材料被一个原子层的组件(unit)吸收。而且,在以下实施例中,淀积设备可以是等离子体淀积设备,其能够进行PEALD工艺,其中激励至少一种类型的气体,以形成等离子体,或者将所激励的气体提供到衬底上。例如,当采用第一气体和第二气体时,淀积设备适当地进行:操作用于,通过将第一气体提供到反应室之内的衬底上而不被激励,以形成淀积层,其中第一气体被其吸收至其上;和操作用于,通过在第二气体被激励以形成等离子体的同时将第二气体提供到反应室中,来处理通过吸收第一气体所形成的淀积层。在此,吸收是化学吸收。
在以下实施例中,当在第一操作中使用第一气体进行淀积时,将第二气体提供到具有第一气体的反应室中,作为第一气体的载气。具有这种工序,能确保在第一操作和第二操作中均可以在反应室中提供第二气体。而且,将第二气体的流速适当控制为与第一气体流速相比足够大。例如,第一气体的流速等于或低于第二气体流速的约十分之一。具有这种流速,能在将第一气体提供到反应室期间和停止提供第一气体期间保持气体提供线路中的第二气体的恒定压力。该构造提供所提供气体的恒定流速,从而保持了改善的淀积特性。除了上述方面之外,第一气体的流速可能等于或大于第二气体流速的约千分之一。具有这种流速,当将第二气体用作第一气体载气的时候能进行通过第一气体的改善的淀积。
在本实施例中,即使仅提供该材料而不将其激励为等离子体状态,只要该材料能在衬底上被吸收,则该材料能够用于第一气体。第一气体选自金属材料,包括无机金属性化合物如金属卤化物等以及有机金属材料。在本实施例中,可将在被等离子体激励的条件下不与第一气体反应的材料用于第二气体。该第二气体例如选自氮气(N2)、氢气(H2)、氨气(NH3)或氧气(O2)或者其气态混合物。此外,除了上述气体之外,第二气体还含有惰性气体如氩(Ar)、氦(He)。当将N2、NH3或O3用于第二气体时,通过将第二气体激励为等离子体使得第二气体用作淀积气体。该构造能提供淀积工艺的提高的产量。
第一气体和第二气体可选自通常用在PEALD工艺中的各种材料。例如,当第二气体是O2时,第一气体可包括:铝(Al)有机金属性化合物如二甲基氢化铝(Al(CH3)2H)、三甲基铝(Al(CH3)3)等;钽(Ta)有机金属性化合物如四乙氧基二甲氨基乙氧基钽(TATDMAE:Ta(OC2H5)4(OC2H4N(CH3)2)、五(二甲氨基)钽(Ta(N(CH3)2)5)、叔丁基亚氨基三(二乙氨基)钽(Ta(NC4H9)(N(C2H5)2)3、叔芳基亚氨基三(二甲氨基)钽(Ta(NC(CH3)2C2H5)(N(CH3)2)3))等;锶(Sr)有机金属性化合物如双(二新戊酰基甲酸根合)锶(Sr(C11H19O2)2)等;钛(Ti)有机金属性化合物如四(二甲基氨基)钛(Ti(N(CH3)2)4)、四丙氧基钛(四异丙氧基钛,Ti(OC3H7)4)等;钡(Ba)有机金属性化合物如双(二新戊酰基甲酸根合)钡(Ba(C11H19O2)2)等;铋(Bi)有机金属性化合物如三叔戊氧基铋(Bi(OC(CH3)2C2H5)3)、三(二新戊酰基甲酸根合)铋(Bi(C11H19O2)3)等;铅(Pb)有机金属性化合物如双(二新戊酰基甲酸根合)铅(Pb(C11H19O2)2)等;锆(Zr)有机金属性化合物如四丁氧基锆(Zr(OC4H9)4)、四(二新戊酰基甲酸根合)锆(Zr(C11H19O2)4)、四(二乙氨基)锆(Zr(N(C2H5)2)4)等;铪(Hf)有机金属性化合物如四丁氧基铪(Hf(OC4H9)4)、四(二甲基氨基)铪(Hf(N(CH3)2)4)等;钌(Ru)有机金属性化合物如二乙基环戊二烯基钌(Ru((C2H5)(C5H4))2)等;以及有机硅化合物如四乙基正硅酸盐(Si(OC2H5)4)等。使用这种材料能提供用氧等离子体形成金属氧化物膜。
此外,例如,当第二气体是H2时,第一气体可由以下组成:Al有机金属性化合物如如二甲基氢化铝(Al(CH3)2H)、三甲基铝(Al(CH3)3)等;Ta有机金属性化合物如五(二甲氨基)钽(Ta(N(CH3)2)5)、叔丁基亚氨基三(二乙氨基)钽(TBTDET)(Ta(NC4H9)(N(C2H5)2)3、叔芳基亚氨基三(二甲氨基)钽(Ta(NC(CH3)2C2H5)(N(CH3)2)3))等;钽卤化物如五氯化钽(TaCl5)等;Ti有机金属性化合物如四(二甲氨基)钛(Ti(N(CH3)2)4)等;钛卤化物如四氯化钛(TiCl4)等;锆(Zr)有机金属性化合物如四(二乙基氨基)锆(Zr(N(C2H5)2)4)等;铪(Hf)有机金属性化合物如四(二甲基氨基)铪(Hf(N(CH3)2)4)等;钌(Ru)有机金属性化合物如二乙基环戊二烯基钌(Ru((C2H5)(C5H4))2)等;硅(Si)卤化物如二氯硅烷(H2SiCl2)等;和钨(W)卤化物如六氟化钨(WF6)等。使用这种材料能提供用氢等离子体形成金属膜或金属氮化物膜。
此外,例如,当第二气体是N2和H2和气态混合物时,第一气体可包括:
Al有机金属性化合物如二甲基氢化铝(Al(CH3)2H)、三甲基铝(Al(CH3)3)等;Ta有机金属性化合物如四乙氧基二甲氨基乙氧基钽(TATDMAE:Ta(OC2H5)4(OC2H4N(CH3)2)、乙氧基钽(Ta(OC2H5)5)、五(二甲氨基)钽(Ta(N(CH3)2)5)、叔丁基亚氨基三(二乙氨基)钽(Ta(NC4H9)(N(C2H5)2)3)、叔芳基亚氨基三(二甲氨基)钽(Ta(NC(CH3)2C2H5)(N(CH3)2)3))等;Sr有机金属性化合物如双(二新戊酰基甲酸根合)锶(Sr(C11H19O2)2)等;Ti有机金属性化合物如四(二甲氨基)钛(Ti(N(CH3)2)4)、四丙氧基钛(四异丙氧基钛,Ti(OC3H7)4)等、Ba有机金属性化合物如双(二新戊酰基甲酸根合)钡(Ba(C11H19O2)2)等;Bi有机金属性化合物如三叔戊氧基铋(Bi(OC(CH3)2C2H5)3)、双(二新戊酰基甲酸根合)铋(Bi(C11H19O2)3)等;Pb有机金属性化合物如双(二新戊酰基甲酸根合)铅(Pb(C11H19O2)2)等;Zr有机金属性化合物如四丁氧基锆(Zr(OC4H9)4)、四(二新戊酰基甲酸根合)锆(Zr(C11H19O2)4)、四(二乙氨基)锆(Zr(N(C2H5)2)4)等;Hf有机金属性化合物如四丁氧基铪(Hf(OC4H9)4)、四(二甲氨基)铪(Hf(N(CH3)2)4)等;Ru有机金属性化合物如二乙基环戊二烯基钌(Ru((C2H5)(C5H4))2)等;以及有机硅化合物如四乙基正硅酸盐(Si(OC2H5)4)等。使用这种材料能提供用气态混合物的等离子体形成金属氮化物膜或金属氮氧化物膜。
(第一实施例)
图1A是示出本实施例中淀积设备构造的图。淀积设备100包括:反应室102,用于淀积膜;激励单元106,用于激励气体以形成等离子体;第一源材料提供单元110,用于提供第一源材料A;第一气体提供线路112;气体提供线路150,用于提供气体B(第二气体);和第二气体提供线路152。第一源材料A在室温下是气态材料,或者替换地,在室温下是固态或液态材料。可构成汽化第一源材料A并将其提供到设备中的反应室102中的淀积设备100,即使当第一源材料A在室温下是液态或固态材料也是如此。第一源材料A的气体对应于上述的第一气体。
第一气体提供线路112和第二气体提供线路152连接到反应室102且与分别与反应室102交流。第一气体提供线路110提供有第一质量流量控制器(MFC)116,其能够控制自第一源材料提供单元110的第一源材料A的流速。气体提供单元150提供有第二MFC160,其能够控制自其体提供单元150的气体B的流速。第二气体提供线路152包括连接到第一气体提供线路112的第一分支线路154。
第二阀门156(切换单元)提供在用于第一分支线路154的第二气体提供线路152中的分叉点“a”和反应室102之间。第一分支电路154提供有第三阀门158。第二阀门156和第三阀门158构成为能够互补地打开和关闭的一对切换阀门。第二阀门156和第三阀门158(切换单元)的操作能提供直接向反应室102中提供气体B和通过第一气体提供线路112提供气体B之间的互补切换。
此外,在替换的示意性实施中,第二阀门156和第三阀门158构成为包括三通阀,其提供了在直接与反应室102交流的条件和与第一分支线路154交流的条件之间的互补切换。这种三通阀设置在用于第一分支线路154的第二气体提供线路152中的分支点“a”处。
能够用于进行提供和停止提供第一源材料A到反应室102中的第一阀门114(切换单元)被设置在与第一气体提供线路112中的第一分支线路154的连接点“b”和第一MFC116之间。第一阀门114可以是切换阀门,其与第三阀门158的操作同步地进行其打开和关闭。尤其,当关闭第三阀门158并不将气体B引入到第一气体提供线路112中时,第一阀门114关闭。
激励单元106引入从第二气体提供线路152提供的气体B的激励。在本实施例中,激励单元106构成为实现反应室102中的等离子体激励,或替换地,如图8中所示,其可构成为提供在第二气体提供线路152和反应室102之间的连接附近的位置处的远程等离子体源。在日本特开专利公开No.H10-284-487中描述的常规构造中存在问题,导致在管道中混合激励气体的构造导致了容易通过气体反应引起在管道中淀积膜的问题,或者在用于激励气体的位置和反应室之间具有较大距离的构造导致在反应室中保持激励状态的气体量降低的问题。由于根据本实施例的淀积设备100,在管道中不混合激励气体,因此避免了由于在管道内壁上气体的膜淀积导致的管道阻塞。此外,由于在反应室102附近激励了气体,因此能保持反应室中的较大量的气体被激励。在本实施例中,反应室102提供有排气线路104,其与真空泵等交流。
在使用本实施例中的淀积设备100进行淀积期间,第二MFC160控制气体流动,以实现从气体提供单元150至反应室102流动的气体B基本恒定的流速。第一MFC116还构成为提供第一源材料A的基本恒定流速。
此外,如图1B中所示,淀积设备100还包括,控制单元202,用于控制激励单元106以及用于控制第一阀门114、第二阀门156和第三阀门158的切换。控制单元202执行第一控制,用于将具有气体B的第一源材料A提供到反应室102中,而不对提供到反应室102中的气体进行等离子体激励,和第二控制,用于引起提供到反应室102中的气体的等离子体激励,同时防止将第一源材料A提供到反应室102,同时,第二MFC160保持自气体提供单元160至反应室102的气体B的流速基本上恒定。尤其,以下将参考图2作出进一步的描述。
图2是时序图,表示打开和关闭第三阀门158和第二阀门156的时序,和通过激励单元106对提供到反应室102中的气体进行等离子体激励(打开)或不等离子体激励(关闭)的时序。第三阀门158和第二阀门156互补地打开和关闭。第一阀门114打开和关闭,与第三阀门158的操作同步。尤其,当第二阀门156打开时,第三阀门158和第一阀门114关闭,且当第二阀门156关闭时,第三阀门158和第一阀门114打开。具有这种构造,通过气体提供单元150提供的气体B直接从第二气体提供线路152、或者通过第一分支线路154和第一气体提供线路112被提供到反应室102中。
如下说明该工艺的一个周期。首先,将等离子体激励设置为关闭,且关闭第二阀门156并打开第三阀门158和第一阀门114(第一步骤)。这允许将第一源材料A和气体B提供到反应室102中,从而将第一源材料A淀积到衬底上。此外,在这种情况下,将反应室102中衬底温度设置为不会引起第一源材料A和气体B反应的温度。这种条件下,提供到反应室102中的气体不被进行等离子体激励,且因此气体B不与第一源材料A反应,且由此其用作第一源材料A的载气。
下一时序中,第二阀门156打开并且第三阀门158和第一阀门114关闭,同时将等离子体激励的状态保持为关闭。具有这种构造,单独地将气体B选择性提供到反应室102中。因此,用气体B清洗了反应室102内部,从而将残留在反应室102中的第一源材料A泄放到反应室102外部。
随后,在下一个时序中,等离子体激励的状态切换成打开,同时保持打开和关闭之间的阀门的切换状态(第二步骤)。具有这种工序,激励提供到反应室102中的气体B以产生等离子体,且用所产生的等离子体处理通过吸收第一源材料A所形成的淀积层,以形成所需的膜。在此,完成了一个周期工艺的操作。通过重复这种操作,能形成具有所需膜厚的膜。
通过上述操作,可将气体B作为第一源材料A的载气提供到反应室102中,即使当气体B未被进行等离子体激励时也是这样。其以稳定的基础持续地将气体B提供到反应室102中。此外,本实施例中,第一源材料A的流速比气体B的流速低了一个或多个数量级,且由此气体B的压力影响由气体B和第一源材料A组合的气态混合物的压力。因此,在淀积操作期间,可在第二MFC160和反应室102之间保持恒定压力。该构造提供了所提供气体的恒定流速,从而保持改善的淀积特性。而且,由于第一源材料A和气体B仅提供到反应室102中,因此能改善第一源材料A和气体B的消耗效率。此外,虽然持续将气体B提供到反应室102中,并提供第一源材料A,但是,还可将第一源材料A引入到反应室102中,并因此避免了同时将第一源材料A和气体B直接排出到排气线路104。因此,避免了由于在排气线路中发生的这些气体的反应所导致的排气线路104阻塞。此外,由于未导致对于第一源材料A和气体B气体的气态混合物的等离子体激励,因此避免了在管道中由气态混合物导致的膜淀积。而且,由于将激励单元106提供在反应室102中或附近,因此,由于其将仍除在激励状态,所以在反应室102中存在激励气体。
上述工序是实例,且可实施根据源材料类型的适当修改。例如,可不进行用气体B进行的清洗。替换地,在用气体B处理淀积层之后和在于下一周期中进行第一源材料A的吸收之前,可进行清洗。替换地,还可进行涉及对气体B进行等离子体激励的操作,该操作在用第一源材料A进行淀积的每一预定周期之后进行。如图1B中所示,在控制单元202控制激励单元106、第一阀门114、第二阀门156和第三阀门158的构造中,控制单元202根据图2中示出的时序图控制这些单元/元件。
图3是示出本实施例中淀积设备100的具体实例的图。在此,将作为示范性实施方案描述第一源材料A在室温下是液体形式的情况。此外,在汽化第一源材料A之后,气体B可用作气态的第一源材料A的载气。
如图3A中所示,第一源材料提供单元110包括:第一源材料存储室124,用于存储第一液体源材料A;压力供给气体提供单元130,用于提供压力供给气体(pressure feed gas);第三MFC132,用于控制压力供给气体的流速;和压力供给气体提供线路126,用于将压力供给气体提供给第一源材料存储室124。
将第一气体提供线路112引入到存储在第一源材料存储室124中的液体源材料A中。第一气体提供线路112提供有用于控制第一源材料A流速的气体质量流量控制器(LMFC)122,和用于汽化液体第一源材料A的汽化器120。在此,汽化器120包括用于控制汽化气体流速的流速控制单元。LMFC122和汽化器120分别对应于图1A中示出的第一MFC116和第一阀门114。
借助于压力供给气体在汽化器120中对第一源材料A进行压力供应,并在汽化器120中将其汽化,且然后将其提供到反应室102中。在此,当将第二阀门120打开时,第三阀门158和汽化器120关闭,且当第二阀门156关闭时,第三阀门158和汽化器120打开。
图3B是示出与图3A中所示出的淀积设备100相同的淀积设备构造的图,除了淀积设备还包括控制单元202之外。控制单元202提供对第二阀门156、第三阀门158、汽化器120和激励单元106的控制。控制单元202进行与上面参考图2描述的那些相似的控制。
即使在这种情况下,通过汽化器120汽化的第一源材料A的流速可设置为比气体B足够低的流速。具有这种流速,即使当通过第一气体提供线路112将第一源材料A和气体B的气态混合物提供到反应室102中时,其内的压力也基本上等于仅将气体B自第二气体提供线路152提供到反应室102中的情况下的压力。这实现了在对应于第二MFC160的值的流速将气体B恒定地提供到反应室102中。因此,能够进行淀积操作而不改变第二MFC160和反应室102之间的压力。这以改善的精确度提供了膜淀积。
而且,由于分别从第一源材料提供单元110和气体提供线路150提供的第一源材料A和气体B全部都提供到反应室102中,因此能提高第一源材料A和气体B的消耗效率。
图4是示出本实施例淀积设备100的另一示范性实施方案的图。而且,在该构造中,将作为示范性实施方案描述第一源材料A在室温下为液体形式的情况。气体B用作汽化了第一源材料A并传输其汽化产物的压力供给气体并用作载气。
第一源材料提供单元110包括第一源材料存储室124。从第二气体提供线路152分支出来的第一分支线路154引入到存储在第一源材料存储室124中的源材料A中。第一气体提供线路112将第一源材料存储室124连接到反应室102中。虽然图4中示出了将第一分支线路154的端部设置得比第一源材料存储室124中的第一气体提供线路112的端部更深,但是管道的长度和直径、管道的几何形状可替换地根据第一源材料A的类型适当优化,且例如,可采用其中第一气体提供线路112的端部比第一分支线路154的端部深的另一种线路长度关系。此外,虽然图4中示出了源材料存储室的几何形状包括圆柱形截面,但是其几何形状可根据源材料A的类型适当优化,且例如,圆柱形底部可具有梯度以形成臼型(mortar-shaped)底部。
图4中示出的淀积设备100可构成为具有控制单元202,与图1B中示出的设备相似。这种情况下,控制单元202提供第二阀门156、第三阀门158、第一阀门114和激励单元106的控制。
图5是示出本实施例中淀积设备100的另一种示范性实施方案的图。这种情况下,将示出采用第一源材料A和第二源材料C作为用于淀积膜的源材料的构造。此外,将作为示范性实施方案描述第一源材料A和第二源材料C在室温下为液态形式的情况。第二源材料C可包括作为第一源材料A的材料已经描述的那些相似的材料。
除了图3A中示出的构造之外,淀积设备100还包括:第二分支线路180、第五阀门182、第五源气体提供线路184、第六阀门186和第二源材料存储室188。第二源材料存储室188存储第二液体源材料C。第二分支线路180从第二气体提供线路152分支出来,且用于将气体B提供到第二源材料存储室188。第五阀门182打开并关上在气体提供单元150和第二分支线路180之间的交流。第五源气体提供线路184提供第二源材料存储室188与反应室102的连接。第六阀门186提供于第五源气体提供线路184上,且打开并关上源材料存储室188和反应室102之间的交流。
在此,控制第二阀门156、第三阀门158和第五阀门182以打开阀门中的任一个,且关闭其余阀门。这使得将从气体提供单元150提供的气体B恒定地提供到反应室102中,且因此能保持恒定的流速。此外,能实现气体和源材料提高的使用效率。
(第二实施例)
图6是示出本实施例中淀积设备构造的示范性实施方案的图。淀积设备100包括反应室102、排气线路104、激励单元106、第一源材料提供单元110、第一MFC116、气体提供单元150、第二MFC160、第三源气体提供线路170、第四源气体提供线路172、阀门174(切换单元)、阀门176(切换单元)和控制单元202。
第四源气体提供线路172连接到反应室102,以将气体B提供到反应室102。阀门176提供于第四源气体提供线路172中,并用于对气体B实施提供和停止提供到反应室102中。在本实施例中,阀门176在淀积操作期间持续打开,且将气体B恒定地提供到反应室102中。第三源气体提供线路170连接到第四源气体提供线路172以将第一源材料A提供至其。阀门174提供于第三源气体提供线路170中,且用作对第一源材料进行实施提供和停止提供到反应室102中。
控制单元202提供对阀门174、阀门176和激励单元106的控制。图7是本实施例中的时序图,表示用于打开或关闭第四阀门174和阀门176的时序以及用于通过激励单元106对提供到反应室102中的气体进行等离子体激励(打开)或不进行等离子体激励(关闭)的时序。
如下说明该工艺的一个周期。首先,将等离子体激励设置成关闭,且将阀门176和第四阀门174打开(第一步骤)。这允许将第一源材料A和气体B提供到反应室102中,从而在衬底上淀积第一源材料A。在这种条件下,提供到反应室102中的气体不被等离子体激励,且因此气体B不与第一源材料A反应,并由此其用作第一源材料A的载气。
在下一时序中,关闭第四阀门174,同时将等离子体激励的状态保持为关闭。具有这种构造,将气体B单独地选择性提供到反应室102中。因此,用气体B清洗反应室102内部,从而将保留在反应室102中的第一源材料A泄放出反应室102。
随后,在下一时序中,将等离子体激励的状态切换成打开,同时保持处于打开和关闭之间的阀门切换状态(第二步骤)。具有这种工序,激励提供到反应室102中的气体B,以产生等离子体,且用所产生的等离子体处理通过吸收第一源材料A形成的淀积层,以形成所需膜。在此,完成了一个工艺周期。通过重复这种工艺周期,能形成具有所需膜厚度的膜。
控制单元202根据图7中示出的时序确定在哪一个步骤中进行操作,从而对阀门174和阀门176在打开和关闭之间的切换以及激励单元106的打开/关闭进行控制。在本实施例中,控制单元202控制阀门,从而当开始淀积操作时和之后将气体B恒定提供到反应室102中时打开阀门176。
在本实施例中的淀积设备100中,将气体B恒定提供到反应室102中。因此,在连续淀积操作期间,保持在第二MFC160和反应室102的恒定压力。此外,能实现气体和源材料的增强利用效率。
根据本实施例的淀积设备100,当提供第一源材料A时不进行通过激励单元106的等离子体激励。因此,避免了由在第四源气体提供线路172中通过将第一源材料A和受激励的气体B的气体进行混合所形成的气态混合物来进行淀积膜。而且,在本实施例中,激励单元106可构成为在反应室102中产生等离子体激励。具有这种构造,能保持较大量气体在反应室中受激励。此外,避免了在管道内壁上由气体的膜淀积导致的管道阻塞。
将理解,本发明能够在各种其它组合、修改和环境中使用,且作为根据本发明实施例的替换方案,根据本发明的方法和设备等之间的说明中的任何其它互换都是有效的。
此外,淀积设备100可构成为如所需地另外包括除了图中所示出的之外的阀门。而且,还可适当选择气体提供线路(管道)、源材料存储室(容器)等的适当几何形状和/或位置。
虽然在第二实施例中已经描述了构成为包括控制单元202的淀积设备100,但是根据本发明的方法可通过不包括控制单元202的淀积设备100来实施。在这种情况下,在打开和关闭之间的阀门切换以及激励单元的打开/关闭也也可根据图7中示出的时序进行。此外,在本发明的方法中,可将淀积设备100构成为不具有阀门176,以能够在淀积工艺期间恒定地提供气体B。
虽然在上述实施例中已经描述了构成为指定通过ALD工艺进行淀积的淀积设备100,但是可将淀积设备100构成为指定通过除了ALD工艺之外的CVD工艺等进行淀积。在上述的实施例中,已经描述了当将第一源材料A的气体吸收到衬底上时利用用作载气的气体B的示范性实施方案。替换地,可以通过热CVD工艺由第一源材料A和气体B的气体淀积膜,并且利用该等离子体激励气体B进一步处理所淀积的膜。在这种情况下,可适当地控制在管道中的温度以避免在管道中的第一源材料A和气体B的气体之间的反应。
明显的是,本发明不限于上述实施例,且可对其进行修改和变化而不超出本发明的范围和精神。

Claims (11)

1.一种淀积设备,包括
用于淀积的反应室;
气体提供系统,用于将第一气体和第二气体提供到所述反应室中;
切换单元,用于在第一步骤中的提供所述第一气体到所述反应室中和在第二步骤中的停止提供所述第一气体到所述反应室中之间切换,其中,在第一步骤中,将所述第一气体和所述第二气体提供到所述反应室中,在所述第二步骤中,将所述第二气体选择性提供到所述反应室中;
激励单元,用于对提供到所述反应室中的气体进行激励,以产生等离子体;以及
控制单元,能够控制所述激励单元,使得在所述第一步骤期间所述激励单元不执行将气体激励成等离子体,以及在所述第二步骤期间所述激励单元执行将气体激励成等离子体,其中,在所述第一步骤中,在衬底上吸收所述第一气体,以形成淀积层,在所述第二步骤中,被激励成等离子体的所述第二气体处理所述淀积层。
2.如权利要求1的淀积设备,还包括:
流速控制单元,用于控制所述第二气体的流速,以使得在所述第一步骤和在所述第二步骤中,被提供到所述反应室中的所述第二气体的流速基本恒定。
3.如权利要求2的淀积设备,其中所述流速控制单元控制所述第二气体的流速,以使提供到所述反应室中的所述第一气体的流速等于或低于所述第二气体的流速的十分之一。
4.如权利要求1的淀积设备,其中所述气体提供系统包括:
第一提供线路,连接到所述反应室,用于将所述第一气体提供到所述反应室中;以及
第二提供线路,包括连接到所述反应室用于将所述第二气体提供到所述反应室中的第一线路和从所述第一线路分支出来并连接到所述第一提供线路的第二线路,以及
其中所述切换单元包括第一切换单元和第二切换单元,所述第一切换单元能够在所述第一步骤中将所述第二气体提供到所述第二线路,并能够在所述第二步骤中将所述第一气体提供到所述第一线路中,并且,所述第二切换单元能够在所述第一步骤中将所述第一气体提供到所述反应室中,并且能够在所述第二步骤中停止将所述第一气体提供到所述反应室中。
5.如权利要求1的淀积设备,其中所述第二气体选自包括以下成分的组:氮气(N2);氨气(NH3);氧气(O2);氢气(H2);其气态混合物;以及具有氩(Ar)或氦(He)的其气态混合物。
6.一种淀积设备,包括:
反应室,用于进行淀积工艺;
第一提供线路,连接到所述反应室,用于将第一气体提供到所述反应室中;
第二提供线路,包括连接到所述反应室用于将第二气体提供到所述反应室中的第一线路和从所述第一线路分支出来并连接到所述第一提供线路的第二线路;
切换单元,能够在第一步骤和第二步骤之间切换,其中,在第一步骤中,将所述第二气体提供到所述第一线路和最终与所述第一气体一起提供到所述反应室中,且其中,在所述第二步骤中,将停止提供所述第一气体并且将所述第二气体选择性提供到所述第一线路;以及
激励单元,用于将从所述第二提供线路的所述第一线路提供的气体激励成等离子体。
7.一种用于淀积膜的方法,包括:
通过将第一气体和第二气体提供到反应室中并在衬底上吸收所述第一气体形成淀积层;以及
利用通过将所述第二气体提供到所述反应室中并激励所述气体所得到的等离子体处理所述淀积层。
8.如权利要求7的用于淀积膜的方法,其中,在保持从所述第二气体的提供单元至所述反应室的所述第二气体流速基本恒定的同时,进行所述淀积层的形成和所述淀积层的处理。
9.如权利要求7的用于淀积膜的方法,其中所述第一气体的流速等于或低于所述第二气体的流速的十分之一。
10.如权利要求8的用于淀积膜的方法,其中所述第一气体的流速等于或低于所述第二气体的流速的十分之一。
11.如权利要求7的用于淀积膜的方法,其中所述第二气体选自包括以下成分的组:氮气(N2);氨气(NH3);氧气(O2);氢气(H2);其气态混合物的组;以及具有氩(Ar)或氦(He)的其气态混合物。
CN2007100057955A 2006-02-13 2007-02-13 淀积设备以及用于淀积膜的方法 Expired - Fee Related CN101021005B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2006035243 2006-02-13
JP2006-035243 2006-02-13
JP2006035243A JP2007211326A (ja) 2006-02-13 2006-02-13 成膜装置および成膜方法

Publications (2)

Publication Number Publication Date
CN101021005A true CN101021005A (zh) 2007-08-22
CN101021005B CN101021005B (zh) 2012-02-29

Family

ID=38367025

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007100057955A Expired - Fee Related CN101021005B (zh) 2006-02-13 2007-02-13 淀积设备以及用于淀积膜的方法

Country Status (3)

Country Link
US (1) US8679253B2 (zh)
JP (1) JP2007211326A (zh)
CN (1) CN101021005B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103887139A (zh) * 2014-04-08 2014-06-25 苏州大学 用于制备低介电常数材料的等离子增强化学气相沉积装置
CN104411865A (zh) * 2012-06-19 2015-03-11 欧司朗Oled股份有限公司 Ald覆层设备
CN107870197A (zh) * 2016-09-22 2018-04-03 格罗方德半导体公司 气流处理控制系统及使用晶体微天平的方法
CN110453196A (zh) * 2018-05-08 2019-11-15 Asm知识产权私人控股有限公司 薄膜形成方法及衬底处理装置
CN111218667A (zh) * 2018-11-23 2020-06-02 北京北方华创微电子装备有限公司 一种气体分配装置的表面处理方法及沉积设备

Families Citing this family (295)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007211326A (ja) * 2006-02-13 2007-08-23 Nec Electronics Corp 成膜装置および成膜方法
KR100872312B1 (ko) * 2007-05-04 2008-12-05 주식회사 디엠에스 에칭가스 제어시스템
JP2010111888A (ja) * 2008-11-04 2010-05-20 Tokyo Electron Ltd Ti膜の成膜方法および成膜装置、ならびに記憶媒体
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8628618B2 (en) * 2009-09-29 2014-01-14 Novellus Systems Inc. Precursor vapor generation and delivery system with filters and filter monitoring system
WO2011086971A1 (ja) * 2010-01-12 2011-07-21 株式会社 アルバック 半導体装置の製造方法、及び成膜装置
JP5357083B2 (ja) * 2010-02-24 2013-12-04 三井造船株式会社 薄膜形成装置および薄膜形成方法
US8901016B2 (en) * 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9496173B2 (en) * 2013-12-20 2016-11-15 Intel Corporation Thickened stress relief and power distribution layer
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP5883049B2 (ja) * 2014-03-04 2016-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP5906507B1 (ja) * 2015-02-27 2016-04-20 株式会社昭和真空 多層膜被覆樹脂基板およびその製造方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
CN110176414B (zh) * 2019-04-16 2020-10-16 北京北方华创微电子装备有限公司 反应气体供应系统及其控制方法
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US591636A (en) * 1897-10-12 Bicycle attachment
JP2564482B2 (ja) * 1985-07-23 1996-12-18 キヤノン株式会社 堆積膜形成装置
GB2213837B (en) * 1987-12-22 1992-03-11 Philips Electronic Associated Electronic device manufacture with deposition of material
JPH02282481A (ja) * 1989-04-24 1990-11-20 Matsushita Electric Ind Co Ltd アモルファス多層薄膜形成方法
JP3046643B2 (ja) * 1991-06-10 2000-05-29 富士通株式会社 半導体装置の製造方法
JP3124376B2 (ja) * 1992-06-17 2001-01-15 株式会社東芝 化合物半導体の気相成長装置
JPH07263415A (ja) * 1994-03-18 1995-10-13 Fujitsu Ltd 半導体装置の製造方法
US5872065A (en) 1997-04-02 1999-02-16 Applied Materials Inc. Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry
JPH11217672A (ja) * 1998-01-30 1999-08-10 Sony Corp 金属窒化物膜の化学的気相成長方法およびこれを用いた電子装置の製造方法
US6281124B1 (en) * 1998-09-02 2001-08-28 Micron Technology, Inc. Methods and systems for forming metal-containing films on substrates
JP3191290B2 (ja) * 1999-01-07 2001-07-23 日本電気株式会社 半導体装置の製造方法及び半導体装置の製造方法に用いられるプラズマcvd装置
US6540838B2 (en) * 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
FI117978B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä ja laitteisto ohutkalvon kasvattamiseksi alustalle
JP3687651B2 (ja) * 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
JP2002246317A (ja) * 2001-02-16 2002-08-30 Sanyo Electric Co Ltd プラズマcvd法による薄膜形成方法
US6511922B2 (en) * 2001-03-26 2003-01-28 Applied Materials, Inc. Methods and apparatus for producing stable low k FSG film for HDP-CVD
US20030000471A1 (en) * 2001-06-18 2003-01-02 Soo-Sik Yoon Method and apparatus for manufacturing semiconductor devices
US6835414B2 (en) * 2001-07-27 2004-12-28 Unaxis Balzers Aktiengesellschaft Method for producing coated substrates
JP2003041367A (ja) * 2001-08-02 2003-02-13 Hitachi Kokusai Electric Inc 基板処理装置
US20030159653A1 (en) * 2002-02-28 2003-08-28 Dando Ross S. Manifold assembly for feeding reactive precursors to substrate processing chambers
JP4099092B2 (ja) * 2002-03-26 2008-06-11 東京エレクトロン株式会社 基板処理装置および基板処理方法、高速ロータリバルブ
KR100439948B1 (ko) * 2002-04-19 2004-07-12 주식회사 아이피에스 리모트 플라즈마 ald 장치 및 이를 이용한 ald 박막증착방법
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
JP2004091850A (ja) * 2002-08-30 2004-03-25 Tokyo Electron Ltd 処理装置及び処理方法
KR100745093B1 (ko) 2003-08-12 2007-08-01 미쓰이 가가쿠 가부시키가이샤 폴리에스테르 수지 및 폴리에스테르 수지 적층 용기
JP2005203569A (ja) * 2004-01-15 2005-07-28 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法及び半導体装置
US7628860B2 (en) * 2004-04-12 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR100636037B1 (ko) * 2004-11-19 2006-10-18 삼성전자주식회사 티타늄 질화막 형성 방법 및 이를 수행하기 위한 장치
KR100636036B1 (ko) * 2004-11-19 2006-10-18 삼성전자주식회사 티타늄 질화막 형성 방법 및 이를 수행하기 위한 장치
JP2007211326A (ja) * 2006-02-13 2007-08-23 Nec Electronics Corp 成膜装置および成膜方法
US20070269596A1 (en) * 2006-05-19 2007-11-22 Asm America, Inc. Valve failure detection
US8997789B2 (en) * 2008-06-22 2015-04-07 Malema Engineering Corporation Internal leak detection and backflow prevention in a flow control arrangement

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104411865A (zh) * 2012-06-19 2015-03-11 欧司朗Oled股份有限公司 Ald覆层设备
CN104411865B (zh) * 2012-06-19 2016-10-05 欧司朗Oled股份有限公司 Ald覆层设备
CN106399969A (zh) * 2012-06-19 2017-02-15 欧司朗Oled股份有限公司 Ald 覆层设备
CN103887139A (zh) * 2014-04-08 2014-06-25 苏州大学 用于制备低介电常数材料的等离子增强化学气相沉积装置
CN103887139B (zh) * 2014-04-08 2017-01-11 苏州大学 用于制备低介电常数材料的等离子增强化学气相沉积装置
CN107870197A (zh) * 2016-09-22 2018-04-03 格罗方德半导体公司 气流处理控制系统及使用晶体微天平的方法
CN110453196A (zh) * 2018-05-08 2019-11-15 Asm知识产权私人控股有限公司 薄膜形成方法及衬底处理装置
CN110453196B (zh) * 2018-05-08 2021-11-30 Asm知识产权私人控股有限公司 薄膜形成方法及衬底处理装置
CN111218667A (zh) * 2018-11-23 2020-06-02 北京北方华创微电子装备有限公司 一种气体分配装置的表面处理方法及沉积设备

Also Published As

Publication number Publication date
CN101021005B (zh) 2012-02-29
JP2007211326A (ja) 2007-08-23
US20070186849A1 (en) 2007-08-16
US8679253B2 (en) 2014-03-25

Similar Documents

Publication Publication Date Title
CN101021005B (zh) 淀积设备以及用于淀积膜的方法
EP1266054B1 (en) Graded thin films
CN100590804C (zh) 原子层沉积方法以及形成的半导体器件
US7419903B2 (en) Thin films
KR101611207B1 (ko) 원자 층 증착을 위한 장치들
US20030123216A1 (en) Deposition of tungsten for the formation of conformal tungsten silicide
US20100190331A1 (en) System for Depositing a Film Onto a Substrate Using a Low Vapor Pressure Gas Precursor
CN100590803C (zh) 原子层沉积方法以及形成的半导体器件
CN102082087B (zh) 包括含碳电极的半导体器件及其制造方法
US20100102417A1 (en) Vapor deposition method for ternary compounds
WO2005113855A1 (en) Apparatuses and methods for atomic layer deposition of hafnium-containing high-k dielectric materials
US20050081787A1 (en) Apparatus and method for supplying a source, and method of depositing an atomic layer using the same
CN103243310A (zh) 用于等离子体激活的保形膜沉积的前体
KR20160145502A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR102180823B1 (ko) 선택 성막 방법 및 반도체 장치의 제조 방법
Shin et al. Atomic layer deposition: overview and applications
CN1839217B (zh) 在基体上沉积材料的方法以及在基体上形成层的方法
KR20180091545A (ko) 버퍼층을 포함하는 금속 산화물막 증착 방법
KR101304395B1 (ko) 하프늄-함유 높은-k 유전체 물질의 원자 층 증착을 위한 장치 및 방법
KR101884555B1 (ko) 플라즈마 강화 원자층 증착을 이용한 금속 산화물막 증착 방법
KR20210158416A (ko) V-nand 워드라인 스택을 위한 라이너
KR20230133180A (ko) 기판 처리 방법, 반도체 장치의 제조 방법, 프로그램 및 기판 처리 장치
KR20240041869A (ko) 처리 방법, 반도체 장치의 제조 방법, 처리 장치 및 프로그램

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120229

Termination date: 20140213