KR20010080370A - 화학 증착된 필름의 인-시츄 후증착 표면 부동태화 방법 - Google Patents

화학 증착된 필름의 인-시츄 후증착 표면 부동태화 방법 Download PDF

Info

Publication number
KR20010080370A
KR20010080370A KR1020017005460A KR20017005460A KR20010080370A KR 20010080370 A KR20010080370 A KR 20010080370A KR 1020017005460 A KR1020017005460 A KR 1020017005460A KR 20017005460 A KR20017005460 A KR 20017005460A KR 20010080370 A KR20010080370 A KR 20010080370A
Authority
KR
South Korea
Prior art keywords
plasma
chamber
titanium
deposition
gas
Prior art date
Application number
KR1020017005460A
Other languages
English (en)
Other versions
KR100798552B1 (ko
Inventor
메이 창
라마누예푸람 에이. 스리니바스
리 위
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010080370A publication Critical patent/KR20010080370A/ko
Application granted granted Critical
Publication of KR100798552B1 publication Critical patent/KR100798552B1/ko

Links

Classifications

    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

티타늄을 피복하도록 반응챔버 내부의 기판상에 증착된 티타늄 층을 부동태화함으로써 증착공정의 부산물 또는 주위 산소 또는 이와 유사한 반응물과 같은 오염물을 방소시키는 방법이 설명되어 있다. 상기 방법은 수소 흐름 또는 질소 흐름을 상기 챔버에 추가하는 단계를 포함한다. 상기 수소와 질소 흐름은 약 800sccm이고 각각 약 10 내지 30초간 계속된다. 상기 방법은 대략 10초간 질소 플라즈마를 챔버내에 형성시키는 단계를 더 포함할 수 있는데, 상기 단계에서는 수소와 질소 흐름이 각각 8초간 계속된다. 플라즈마는 상기 챔버 내부에 위치된 전극에 RF전력을 인가하거나 원격 플라즈마원에 의해 형성되며 상기 반응 챔버와 유통된다. 이와는 달리, 부동태화된 층은 동일한 RF 전력값에서 약 10 내지 30초간 단지 질소 플라즈마를 사용함으로써 형성될 수 있다. 어느 한 경우에 있어서, 플라즈마는 질소와 아르곤을 더 포함할 수 있으며 티타늄 층이 CVD에 의해 증착된다.

Description

화학 증착된 필름의 인-시츄 후증착 표면 부동태화 방법 {METHOD FOR IN-SITU, POST DEPOSITION SURFACE PASSIVATION OF A CHEMICAL VAPOR DEPOSITED FILM}
현대의 반도체 장치의 제조에서 주요 단계 중의 하나는 반도체 기판 상에 유전체층과 금속층을 포함하는 다양한 층을 형성하는 것이다. 공지된 것처럼, 상기 층들은 화학 증착(CVD) 또는 물리 증착(PVD)에 의해 증착될 수 있다. 통상의 열적 CVD 공정에서, 반응성 가스가 기판 표면에 공급되며, 여기서 소정의 박막을 형성하도록 열 유도 화학 반응이 일어난다. 통상의 플라즈마 PVD 공정에서, 제어된 플라즈마가 소정의 박막을 형성하기 위해 반응성 종을 분해 및/또는 에너지를 가하도록 형성된다. 일반적으로, 열 및 플라즈마 공정에서 반응 속도는 온도, 압력, 플라즈마 밀도, 반응성 가스의 유동 속도, 전력 주파수, 전력 수준, 챔버의 물리적 구조, 등의 하나 이상을 제어함으로써 제어될 수도 있다.
이러한 고집적 장치의 제조에 있어서 계속되는 엄격한 요구사항이 요구되며통상의 기판 처리 시스템은 이러한 요구사항을 충족시키기에 부적당하다. 부가적으로, 장치의 설계가 관계될 때, 이러한 장치를 수행하는데 요구되는 특성을 갖는 박막을 증착시키는데 사용되는 기판 처리 시스템에서 보다 진보된 성능이 요구된다. 예를 들어, 티타늄의 사용이 집적 회로의 제조 공정에 계속 통합된다(incorporated). 티타늄은 반도체 장치에 사용되기 위한 많은 소정의 특성을 갖는다. 예를 들어, 티타늄은 금 결합 패드와 반도체 사이의 배리어로서 작용하여, 한 원자 종의 다음으로의 이동을 방지할 수 있다. 또한, 티타늄은 규소와 알루미늄 사이와 같은 두 층 사이의 접착성을 개선시키는데 사용될 수 있다. 또한, 규소와 합금화될 때 티타늄 실리사이드(TiSix)를 형성하는 티타늄의 사용으로 인해, 예를 들어, 저항성 콘택트를 형성할 수 있다. 이러한 티타늄 박막을 증착시키는데 사용되는 증착 시스템의 일반적인 한 형태는 티타늄 스퍼터링 또는 물리 증착(PVD) 시스템이다. 그러나, 이러한 스퍼터링 시스템은 종종 높은 처리 및 제조 요구사항을 충족시키는 장치를 형성하는데는 부적당하다. 특히, 스퍼터링은 이러한 장치 내의 먼저 증착된 층과 구조물을 손상시켜 성능 및/또는 수율 문제를 야기한다. 또한, 티타늄 스퍼터링 시스템은 스퍼터링에서 발생하는 새도우잉(shadowing) 효과 때문에 높은 종횡비의 갭 내에 균일한 등각의 층을 증착시킬 수 없다.
스퍼터링 시스템과 대조적으로, 플라즈마 향상 화학 증착(PECVD) 시스템은 높은 종횡비의 갭을 갖는 기판 상에 티타늄 박막을 형성하는데 보다 적합하다. 공지된 것처럼, 이온과 가스 분자의 혼합물의 플라즈마는, 예를 들어, 챔버 압력, 온도, RF 전력, 등의 적절한 조건 하에서 고주파(RF) 에너지와 같은 에너지를 증착 챔버 내의 처리 가스에 인가함으로써 형성된다. 플라즈마는, 글로 방전(플라즈마를 "가격(striking)"하거나 "발화(ignite)"시키는 것으로 종종 지칭됨)을 형성하는 것으로 공지된 자체 유지 조건을 형성하는 한계 밀도에 도달한다. 이러한 RF 에너지는 처리 가스 내 분자의 에너지 상태를 상승시키며 상기 분자로부터 이온종을 형성한다. 에너지가 가해진 분자와 이온종 모두는 일반적으로 처리 가스보다 양호한 반응성을 나타내어, 소정의 박막을 형성하는 경향이 있다.
유리하게도, 플라즈마는 티타늄 박막이 형성될 때 기판의 표면을 가로질러 반응성 종의 이동성을 향상시키며, 양호한 갭 충진 성능을 나타내는 박막을 형성한다.
티타늄 박막을 증착시키는 공지된 한 CVD 방법은 표준 PECVD 공정에서 TiCl4공급원 가스와 수소(H2) 반응성 가스를 포함하는 처리 가스로부터 플라즈마를 형성하는 단계를 포함한다. 이러한 TiCl4/H2PECVD 공정은 상업적으로 이용되고 있는 많은 상이한 집적회로의 제조에 사용되는데 적절한 박막을 형성하는 양호한 비어-충진, 균일성 및 콘택트 저항 특성을 갖는 티타늄 박막의 증착을 가능하게 한다. 그러나, PECVD와 관계된 높은 에너지와 온도는 증착 공정 중에 웨이퍼 표면에 있는 탄소와 산소 같은 오염물의 반응 속도를 증가시킨다. 부가적으로, 표면의 티타늄은 웨이퍼가 처리 챔버 사이로 전달될 때 주위 산소와 반응(산화)한다. 이처럼,증착된 티타늄은 증착된 층의 저항을 변화(증가)시켜 형성된 장치에 결함 또는 미작동을 야기하는 불순물을 포함할 수도 있다.
그럼으로, 본 발명의 기술분야에서는 제조 공정 중에 불순물로부터 증착된 층을 보호하기 위해 티타늄과 같은 증착된 층을 처리하는데 적합한 방법이 요구된다.
본 발명은 집적 회로의 제조 및 반도체 기판 상에의 박막층의 증착에 관한 것이며, 보다 구체적으로 개선된 시트 저항 균일성과 콘택트에서 우수한 바닥 도포율을 갖는 티타늄층을 증착하고 처리하는 개선된 화학 증착 방법 및 그 방법을 수행하기 위한 장치에 관한 것이다.
본 발명의 요지는 첨부 도면과 함께 다음의 상세한 설명을 고려함으로써 보다 잘 이해될 수 있다.
도 1a는 본 발명에 따른 일 실시예인 단순화된 플라즈마 향상 화학 증착 시스템의 수직 횡단면도이며,
도 1b는 본 발명의 일 실시예에 따라 도 1a에 도시된 세라믹 받침대(36)의 단순화된 횡단면도이며,
도 1c는 본 발명의 일 실시예에 따라 도 1a에 도시된 증착 챔버(30)의 단순화된 횡단면도이며,
도 1d는 본 발명에 따른 증착 시스템을 제어할 수 있는 유저와 프로세서 사이의 인터페이스를 도시하는 도면이며,
도 1e는 본 발명의 일 실시예에 따른 웨이퍼를 가로질러 배출 시스템으로의 가스 유동에 대한 단순화되고, 부분 절단된 사시도이며,
도 1f는 본 발명의 일 실시예에 따른 시스템 제어 소프트웨어의 계층적 제어 구조의 블록 선도이며,
도 2a는 본 발명에 따라 증착된 티타늄 층이 사용된 실시예적인 콘택트 구조물의 단순화된 횡단면도이며,
도 2b는 도 2a의 콘택트 구조물에서 결함의 형성을 설명하는 단순화된 횡단면도이며,
도 3은 본 발명에 따른 방법의 현재 바람직한 실시예에 따라 티타늄 층을 증착시키는데 사용되는 처리 시퀀스의 흐름도이며,
도 4는 챔버 세정 단계 중에 시간과 증착 길이의 함수로서 측정된 반사 전력을 도시하는 그래프이며,
도 5a 내지 도 5b는 본 발명에 따른 실험 결과를 설명하는 박막의 두께 측정치를 도시하며,
도 6은 본 발명의 티타늄 공정의 바람직한 CVD를 수행하는 데이타의 표(표 1)를 도시하며,
도 7은 본 발명의 티타늄 세정 공정의 바람직한 CVD를 수행하는 데이타의 표(표 2)를 나타내는 도면이다.
이해를 돕기 위해, 동일한 참조부호가 가능한 한 도면에서 공통되게 동일한부재를 지칭하도록 사용되었다.
본 발명은 티타늄 박막을 형성하기 위한 개선된 CVD 증착 및 처리 방법을 제공한다. 본 발명에 따른 방법에 따라, 반응 챔버 내에서 기판 상에 증착된 티타늄 층을 위한 패시베이트 층이 챔버로 수소와 질소의 유동을 부가함으로써 형성된다. 수소와 질소의 유동은 약 800sccm이며 각각에 대해 약 10 내지 30초동안 계속된다. 상기 방법은 약 10초 동안 챔버 내에 질소 플라즈마를 형성하는 단계를 더 포함하며, 이 경우에 수소와 질소의 유동은 각각에 대해 약 8초동안 계속된다. 플라즈마는 RF 전력을 상기 챔버 내에 위치된 전극에 인가하거나 원격 작동의 플라즈마 공급원에 의해 형성되며 상기 반응 챔버에 보내진다. 선택적으로, 패시베이션 층은 동일한 RF 전력 수준에서 약 10 내지 30총동안 질소 플라즈마만을 사용함으로써 형성될 수도 있다. 또한 어떤 경우에 플라즈마는 수소와 아르곤을 포함할 수도 있으며 티타늄 층은 CVD에 의해 증착된다.
부가적으로, 티타늄 층이 증착된 반도체 웨이퍼를 처리하기 위한 반응 챔버와 상기 반응 챔버의 작동을 제어하기 위한 프로세서를 포함하는 반도체 웨이퍼 처리 시스템에서, 프로세스 판독 가능 매체는, 상기 프로세서에 의해 실행될 때, 상기 반응 챔버가 상기 반도체 웨이퍼의 존재하에서 반응 챔버로 질소와 수소의 유동을 부가함으로써 상기 티타늄 층을 패시베이트하는 프로그램을 포함한다. 또한 프로세서 판돌 가능 매체는, 상기 프로세서에 의해 실행될 때, 반응 챔버가 상기 반도체 웨이퍼의 존재하에서 상기 반응 챔버 내에 질소 플라즈마를 형성함으로써 상기 티타늄 층을 패시베이트하는 프로그램을 포함하며, 여기서 상기 질소와 수소의 유동은 약 10 내지 30초 동안 계속된다.
본 발명에 따른 방법에 따라, 패시베이션 층은 티타늄 층, 예를 들어, 티타늄 질화물 층 상에 형성된다. 패시베이션 층은 티타늄을 코팅하여 증착 공정의 부산물 또는 최종 증착 층의 안정성을 악화시키거나 변화시키는 주위 산소 또는 유사한 반응물에 의한 오염 가능성을 감소시킨다.
Ⅰ. 개요
본 발명은 티타늄 층이 예비처리 플라즈마 단계로 증착될 기판을 예비처리함으로써 개선된 티타늄 층의 증착을 허용한다. 본 발명의 발명자는 증착된 티타늄 층이 반도체 기판에서 규소 산화물 층과 같은 유전체 층을 통해 에칭된 콘택트 영역 내에 저항성 콘택트를 형성하는데 사용되는 다층 적층의 일부로 사용될 때 이러한 플라즈마 예비처리 단계가 특히 유용하다는 것을 발견했다. 플라즈마 예비처리 단계는 기판의 콘택트 영역에 남겨진 소정의 잔류 유전체 재료를 에칭하고 티타늄 층의 증착에 앞서 콘택트 영역을 세정한다. 플라즈마 예비처리 단계의 완성 후에, 티타늄 층은 이미 형성된 플라즈마를 유지하면서 티타늄 함유 공급 가스를 유입시킴으로써 증착될 수 있다. 본 발명에 따른 방법에 의해 증착된 티타늄 층은 0.35 내지 0.11 이하의 최소 배선폭을 갖는 집적회로의 제조에 사용되기에 적절하다. 또한, 본 발명은 이미 사용되는 가스를 사용하여 통상적인 설계의 CVD 챔버 내에 티타늄 박막을 증착시키는데 사용될 수 있다. 결국, 티타늄 층을 증착한 후에, 후 증착 패시베이션 단계가 수행된다. 패시베이션 단계는 증착된 박막의 오염을 감소시키기 위해 티타늄 층 상에 보호층을 형성한다.
Ⅱ. 실험적인 CVD 챔버
도 1a는 단순화된 평행 판 화학 증착 시스템(10)의 일 실시예를 도시하며, 상기 시스템 내에서 본 발명에 따른 티타늄 층이 증착된다. CVD 시스템(10)은 반응 챔버(30)를 포함하며, 상기 반응 챔버는 가스 전달 시스템(89)으로부터 가스 라인(92a 내지 92c, 다른 라인이 존재하지만 도시 않음)을 통해 가스를 수용한다. 진공 시스템(88)은 챔버 내의 특정 압력으로 유지하는데 사용되며 챔버로부터 가스 부산물과 사용된 가스를 제거한다. 전력 공급원(5)은 전력을 챔버에 공급하여 티타늄 증착 중에 증착 가스로부터 플라즈마를 형성하며 챔버 세정 작업 중에 챔버 세정 가스로부터 플라즈마를 형성한다. 본 발명의 바람직한 실시예에서, 전력 공급원(5)은 약 300㎑ 내지 2.5㎓ 범위와 바람직하게 약 300 내지 450㎑의 고주파 범위의 교류 전류를 제공할 수 있다. 열 교환 시스템(6)은 물 또는 물-글리콜 혼합물과 같은 액체의 열 전달 매체를 사용하여 반응 챔버로부터 열을 제거하고 챔버의 온도를 안정한 처리 온도로 유지시키도록 챔버의 소정 부분을 적절히 저온으로 유지시키거나, 필요하다면, 챔버의 부분을 가열한다. 프로세서(85)는 제어 라인(3, 3a 내지 3d, 단지 일부만이 도시됨)을 통해 메모리(86) 내에 저장된 지시에 따라 챔버와 서브시스템의 작동을 제어한다.
가스 전달 시스템(89)은 가스 전달 패널(90)과 가스 또는 액체 공급원(91a 내지 91c)(요구된다면 부가적인 공급원이 부가될 수도 있음)을 포함하며, 상기 가스 또는 액체 공급원은 특정 응용에 사용되는 소정의 공정에 따라 변하는 가스 또는 액체를 함유한다. 액체 공급원은 상온의 변화로 인한 공급 온도의 변화를 최소화하기 위해 상온 이상의 온도로 유지된다. 가스 공급 패널(90)은 공급 라인(92a 내지 92c)을 통해 가스 공급 덮개판(45) 내의 중앙 가스 입구(44)에서 혼합 및 전달을 위한 공급원(91a 내지 91c)으로부터 증착 처리 및 캐리어 가스(또는 증발된액체)를 수용하는 혼합 시스템을 갖는다. 액체 공급원은 챔버의 작동 압력 이상의 압력에서 증기를 제공하도록 가열되며, 헬륨, 아르곤, 또는 질소와 같은 캐리어 가스는 증기를 제공하기 위해 액체(또는 가열된 액체)를 통해 버블(bubble)된다. 일반적으로, 각각의 처리 가스에 대한 공급 라인은 처리 가스의 유동을 자동 또는 수동적으로 차단하는 차단 밸브(도시 않음)와 공급 라인을 통한 가스 또는 액체의 유동을 측정하는 질량 유동 제어기(도시 않음)를 포함한다. 유독성 가스(예를 들어, 오존 또는 할로겐화 가스)가 공정에 사용될 때, 다양한 차단 밸브가 통상적인 구조로 각각의 가스 공급 라인 상에 위치된다. 예를 들어, 티타늄 테트라클로라이드(TiCl4) 기체, 수소, 헬륨, 아르곤, 및 질소 및/또는 다른 도펀트 또는 반응 공급원을 포함하는 증착 및 캐리어 가스가 반응 챔버(30)에 제공되는 속도는 액체 또는 가스 질량 유동 제어기(MFCs, 도시 않음) 및/또는 밸브(도시 않음)에 의해 제어된다. 본 발명의 바람직한 실시예에서, 가스 혼합 시스템(도시 않음)은 반응 액체(예를 들어, TiCl4)를 증발시키는 액체 분사 시스템을 포함한다. 액체 분사 시스템은 버블러 형의 공급원과 비교할 때 가스 혼합 시스템에 유입된 반응 액체의 부피를 보다 양호하게 제어할 수 있을 때 바람직하다. 증발된 가스는 공급 라인에 전달되기 전에 헬륨과 같은 캐리어 가스와 가스 패널에서 혼합된다. 물론, 다른 화합물이 증착 공급원으로서 사용될 수 있다고 인지된다.
열 교환 시스템(6)은 냉각제를 챔버(30)의 다양한 부재에 전달하여 고온 처리 중에 이러한 부재를 냉각시킨다. 열 교환 시스템(6)은 고온 처리로 인해 이러한 부재 상에 원치 않는 증착을 최소화하기 위해 이러한 챔버 부재의 온도를 감소시키도록 작용한다. 열 교환 시스템(6)은 면판(40, 후술됨)을 포함하는 가스 분배 시스템으로 냉각제를 전달하는 냉각제 분기관(도시 않음)을 통해 냉각수를 공급하는 연결부를 포함한다. 수류 감지기는 열 교환기(도시 않음)로부터 밀폐외피 조립체로의 수류를 감지한다.
저항성 가열 받침대(32)는 웨이퍼 포켓(34) 내의 웨이퍼(36)를 지지한다. 받침대(32)의 단순화된 횡단면도인 도 1b에 도시된 것처럼, 받침대(32)는 내장된 몰리브듐 메쉬와 같은 내장된 전극(22)과 내장된 몰리브듐 와이어 코일과 같은 가열 소자(33)를 포함한다. 받침대(32)는 바람직하게 높은 처리 온도를 견디기 위해 알루미늄 질화물로 제조되며 리프트 모터를 결합시키는 수냉된 알루미늄 샤프트(28, 도 1b에는 도시되지 않지만, 도 1c에는 도시됨)에 고정된 세라믹 지지 스템(26)에 확산 결합된다. 세라믹 지지 스템(26)과 알루미늄 샤프트(28)는 전극(22)을 접지시키는 니켈 로드(25)에 의해 점유된(occupied) 중앙 통로를 갖는다. 중앙 통로는 금속 대 금속 연결부에서의 부식을 피하기 위해 대기압에서 유지된다.
세라믹 받침대(32)는 RF 전극(22)을 기판 유지기의 표면 아래의 균일한 깊이로 내장시켜 균일한 정전 용량을 제공하도록 제조된다. RF 전극(22)은 바람직하게 RF 전극(22)을 덮는 박막의 세라믹 층의 크래킹 또는 박리를 피하면서 최대 정전 용량을 제공하기 위해 최소 깊이에 위치되며, 상기 최소 깊이는 세라믹 재료에 따라 다르다. 본 발명의 일 실시예에서, RF 전극(22)은 받침대(32)의 상부 표면 아래로 약 40 밀리에 내장된다. 공동 발명자인 라욱스 세바스틴 (Raoux Sebastien), 무드홀카르 만달(Mudholkar Mandar), 테일러 엔. 윌리암(Talyor N. William), 포돌 마크(Fodor Mark), 황 쥬디(Huang Judy), 실베티 데이비드(Silvetti David), 츙 데이비드(Cheung David), 페어바이른 케빈(Fairbairn Kevin) 소유의 1997년 12월 1일에 출원된 "혼합 주파수의 CVD 방법 및 장치"라는 명칭의 공동 양도된 미국 특허 출원 제 08/980,520호에는 세라믹 받침대(32)에 대한 또다른 설명이 개시되어 있으며, 본원에 참조되었다.
받침대(32)는 자동 조절 기구를 사용하여 처리 위치(도 1c)와 하부 장착 위치(도시 않음) 사이를 수직으로 이동되며, 상기 자동 조절 기구는 1996년 10월 25일에 출원된 "자동 정렬 이동 기구"라는 명칭의 공동 양도된 미국 특허 출원 제 08/738,240호에 상세히 개시되며, 본원에 참조되었다. 도 1c를 참조하면, 리프트 핀(단지 두 개만이 도시됨)은 받침대(32) 내에서 이동가능하지만 상기 리프트 핀의 상단부에 있는 원뿔형 헤드에 의해 빠지지 않는다. 리프트 핀(38)의 하단부는 수직 이동 가능한 링(39)과 결합되어 받침대의 표면 상으로 이동될 수 있다. 받침대(32)가 하부 장착 위치(슬릿 밸브(56)보다 약간 아래)에 있을 때, 리프트 핀 및 리프트 링과 상호작용하는 로봇 블레이드(도시 않음)는 슬릿 밸브(56)를 통해 웨이퍼(36)를 챔버(30) 내외로 전달하며, 상기 챔버는 슬릿 밸브(56)를 통해 챔버 내외로의 가스 유동을 방지하기 위해 진공 밀봉될 수 있다. 리프트 핀(38)은 삽입된 웨이퍼를 로봇 블레이드 바끙로 상승시키고, 그후 받침대는 받침대의 상부 표면 상에서 웨이퍼 포켓 상에 있는 리프트 핀 외부로 웨이퍼를 상승시키기 위해 올라간다. 메이단(Maydan)에게 공동 양도된 미국 특허 제 4,951,601호에 적합한 로봇 전달 조립체가 개시되어 있으며, 본원에 참조되었다.
또한 받침대(32)는 가스 분배 면판(40, 이후로 "샤워헤드"로 지칭됨)에 근접한 처리 위치로 웨이퍼(36)를 상승시키며, 상기 가스 분배 면판은 처리 영역(58) 내로 처리 가스를 분사하기 위한 다수의 구멍 또는 통로(42)를 포함한다. 처리 가스는 가스 공급 덮개 판(45) 내의 중앙 가스 입구(44)를 통해 챔버(30) 내로 분사되어 제 1 디스크형 분기관(48)으로 가며 그후 배플 판(또는 블록커 판) 내의 통로(50)를 통해 제 2 디스크형 분기관(54)으로 간다.
화살표로 표시된 것처럼, 처리 가스는, 웨이퍼(36)의 표면에서 반응하기 위해, 샤워헤드(40) 내의 구멍(42)으로부터 샤워헤드와 받침대 사이의 처리 영역(58, 또한 "증착 영역"으로 지칭됨) 내로 분사된다.
그후 프로세스가스 부산물은 웨이퍼(36)의 엣지 및 흐름 제한링(46)(상세히 후술함)을 거쳐서 외측반경방향으로 흐르며, 상기 흐름 제한링은 받침대가 처리위치에 있을 때 받침대(32)의 상부 원주상에 배열된다. 이때부터, 상기 프로세스가스는 흐름 제한링(46)의 상부와 환형 격리기(53) 사이에 형성된 초크 구멍(50)를 통해 펌핑 채널(60) 내측으로 흐른다. 펌핑 채널(60)로의 진입시, 진공펌프(82)에 의해 배기되는 배기가스는 처리챔버의 원주위를 흐른다. 펌핑 채널(60)은 배기 구멍(74)을 통해 펌핑 플리넘(76)에 연결된다. 배기 구멍(74)은 펑핌 채널과 펌핑 플리넘 사이의 흐름을 제한한다. 밸브(78)는 배기구(80)를 통한 진공 펌프(82)로의 배기를 단속한다. (본 도면에 도시않은)상기 시스템 제어기는 압력계와 같은압력 센서(도시않음)로부터 측정신호를 메모리에 저장되거나 제어 프로그램에 따라 발생된 소정값과 비교하는 메모리(도시않음)에 저장된 압력 제어 프로그램에 따라 드로틀 밸브(83)를 제어한다.
환형 펌핑채널(60)측은 일반적으로 세라믹 링(64), 챔버 리드 라이너(70), 챔버 벽 라이너, 및 환형 격리기(53)에 의해 한정된다. 도 1e는 받침대(32), 흐름 제한링(46), 라이너(70,72), 격리기(53), 세라믹 링(64), 및 펌핑 채널(60)을 간략히 도시한 부분 단면 사시도이다. 상기 도면은 샤워헤드(40)로부터 웨이퍼(36)를 향한 프로세스가스의 흐름 및 그후의 웨이퍼(36) 위로의 외측 반경방향으로의 흐름을 도시한다. 그후, 상기 프로세스의 흐름은 펌핑 채널(60) 내측으로 제한링(46)의 상부위로 상향으로 편향된다. 펌핑 채널에 있어서, 상기 프로세스가스는 진공펌프를 향해 원주 통로(86)를 따라 흐른다.
펌핑 채널(60) 및 그의 부품들은 프로세스가스 및 부산물을 배기 시스템으로 지향시킴으로써 바람직하지 않은 필름이 증착되는 것을 최소화하도록 설계되어 있다. 상기 배기가스 흐름은 가스의 운동이 거의 발생하지 않는 "사각영역(dead zone)"을 형성한다. 이들 사각영역은 세정가스를 근접시켜 사각영역내에 있는 반응가스를 대체함으로써 바람직하지 않은 증착을 감소시킨다. 또한, 세정가스(예를들어, 아르곤)가 가스 노즐로부터 세라믹 부품 및 히터 엣지와 같은 블랭킷 임계영역으로 도입하여 이들 영역에 있어서 바람직하지 않은 증착을 더욱 감소시킨다.
받침대와 챔버 내부의 기타 부품에 대한 바람직하지 않은 증착은 다른 방식으로도 최소화된다. 특히, 흐름 제한링(46)은 받침대를 넘어서 챔버 바닥으로의가스 흐름을 최소화한다. 본 발명의 실시예에 따라, (이후 상세히 후술하는 바와 같이)TiCl4을 사용한 티타늄 증착은 다른 티타늄 필름을 형성하기 위한 종래의 증착 시스템에 사용된 종래의 방법보다 상당히 높은 흐름율을 가진다. 티타늄 증착에 적합한 양호한 실시예에서, 흐름 제한 링(46)은 용융 실리카로 제조되는데, 그 이유는 이들 재료가 상당히 낮은 열 전도도를 가지며 전기 전도성을 갖지 않기 때문이다. 또다른 실시예에서, 상기 흐름 제한링은 티타늄 함유 층을 위한 증착프로세스를 위해 티타늄으로 제조될 수 있는데, 그 이유는 상기 링 재료가 증착된 층을 오염시키지 않기 때문이다.
다수의 실시예에서, 상기 흐름 제한 링은 받침대의 상부와 엣지부분들을 덮고 있어서, 받침대 또는 챔버의 바닥면 대신에 어떤 바람직하지 않은 필름이 링에 증착될 수 있다. 바람직하게, 상기 흐름 제한링은 이러한 높은 흐름율을 갖는 경우에 달리 발생될 수 있는 바람직하지 않은 증착(및 관련 문제점들)에 대한 위험을 최소화한다. 챔버 리드(66)는 세정을 위해 용이하게 제거될 수 있으며 상당히 저렴한 저항링으로의 접근을 가능하게 함으로써, 화학 및/또는 기계적 프로세스를 사용하여 철저하게 제거 및 세정할 수 있게 한다.
도 1a를 다시 참조하면, 흐름 제한링(46)은 전술한 바와 같이 처리프로세스 중에 받침대(32)에 의해 지지된다. 받침대가 웨이퍼의 적재 및 하역을 위해 하강될 때, 상기 흐름 제한링은 릿지(69) 내부의 세라믹 링(64)상에 안착된다. 다음 웨이퍼를 지지한 받침대가 처리위치로 상승될 때, 받침대는 흐름 제한링을 픽업한다. 본 발명의 실시예에 따른 티타늄 프로세스를 위해 챔버내에 압력을 사용하는 경우에, 중력은 (웨이퍼 포켓내에 배열된)웨이퍼와 흐름 제한링을 받침대상에 유지하는데 충분하다.
모터와 광 센서(도시않음)는 드로틀 밸브(83)와 받침대(32)같은 가동성 기계 조립체의 위치를 이동 및 결정하는데 사용된다. 받침대(32) 및 챔버 몸체(76)에 부착된 벨로우즈(도시않음)는 받침대 주위에 이동가능한 기밀 밀봉체를 형성한다. 받침대 리프트 시스템, 모터, 게이트 밸브, (예를들어, 초단파 소오스를 가지며, 형성된 원격 플라즈마를 사용하여 챔버 세정능력을 제공하는데 사용될 수 있는)선택적으로 원격 플라즈마 시스템(4)를 포함하는 플라즈마 시스템, 및 다른 시스템의 부품들은 일부만 도시한 제어라인(3,3A-D)을 통해 프로세서(85)에 의해 제어된다.
프로세서(85)는 프로세서(85)에 결합된 메모리(86)에 저장된 컴퓨터 프로그램인 시스템 제어 소프트웨어를 실행한다. 바람직하게, 상기 메모리(86)는 하드 디스크 드라이버일 수 있으나, 물론 상기 메모리(86)는 다른 종류의 메모리일 수 있다. 하드 디스크 드라이버(예를들어, 메모리(86)) 이외에, 특정 실시예에서 상기 CVD 장치(10)는 플로피 디스크 드라이브 및 카드 랙을 포함한다. 프로세서(85)는 시스템 제어 소프트웨어의 제어하에서 작동하며, 상기 시스템 제어 소프트웨어는 타이밍, 가스 혼합, 가스 흐름, 챔버압력, 챔버 온도, RF 전력값, 히터 받침대 위치, 히터 온도 및 특정 프로세스의 기타 변수를 규정하는 지시세트를 포함한다. 예를들어, 플로피 디스크 또는 디스크 드라이브나 기타 적합한 드라이브에 삽입된 다른 컴퓨터 프로그램 제품을 포함하는 기타 메모리에 저장된 것과 같은 기타 컴퓨터 프로그램이 프로세서(85)를 작동시키는데 사용될 수 있다. 시스템 제어 소프트웨어는 상세히 후술된다. 카드 랙은 싱글-보드 컴퓨터, 아나로그 및 디지털 입력/출력 보드, 인터페이스 보드 및 스텝퍼 모터 제어기 보드를 포함한다. CVD 장치(10)의 여러 부품들은 보드, 카드 케이지, 및 커넥터의 칫수 및 형태를 규정하는 베르사 모듈러 유럽표준(VME standard)에 적합하다. 또한, 상기 유럽 표준에는 16-비트 부스 및 24-비트 어드레스 부스를 갖는 부스 구조도 규정되어 있다.
사용자와 프로세서(85) 사이의 인터페이스는 다중 챔버 시스템의 챔버중 하나만이 도시되어 있고 CVD에 사용되는 시스템 모터의 단순 다이어그램인 도 1d에 도시된 CRT 모니터(93a) 및 라이트 펜(93b)을 경유한다. CVD 장치(10)는 바람직하게 상기 장치(10)에 대한 기타 지지기능 및 전기적 납땜을 제공하는 메인프레임 유닛(95)에 부착된다. 설명하는 실시예의 CVD장치에 적합한 예시적인 메인프레임 유닛은 미국 캘리포니아 산타 클라라 소재의 어플라이드 머티어리얼스 인코포레이티드로부터 프리시젼 5000J, 센츄라 5200J 및 엔듀라 5500J라는 명칭으로 현재 시판되고 있다. 다중 챔버 시스템은 다중 챔버시스템의 외측에 있는 습기나 다른 오염물에 웨이퍼를 노출시키지 않고 진공을 차단함이 없이 상기 챔버들 사이로 웨이퍼를 전달할 수 있는 능력을 가진다. 다중 챔버 시스템의 장점은 다중챔버 시스템내에 있는 상이한 챔버들이 전체 프로세스에서 상이한 목적을 위해 사용된다는 점이다. 예를들어, 본 발명의 바람직한 실시예에서 하나의 챔버가 티타늄 필름의 CVD 증착에 사용되며 다른 챔버가 티타늄 질화물 필름의 CVD 증착에 사용된다. 이러한 방식으로, 도 2a와 관련하여 설명하는 바와같이 콘택 구조물의 형성에 공통적으로사용되는 티타늄/티타늄 질화물 적층물의 증착이 다중 챔버시스템 내부에서 연속적으로 진행됨으로써, 티타늄/티타늄 질화물 적층 프로세스의 상이한 부품을 위한 (다중 시스템에는 없는)다수의 개별 챔버들 사이로 웨이퍼를 전달할 때 종종 발생하는 웨이퍼의 오염이 방지된다.
바람직한 실시예에서, 두 개의 모니터(93a)가 사용되는데, 하나는 작동자를 위해 청정실 벽에 장착되며 다른 하나는 서비스 기술자를 위해 상기 벽의 뒤편에 장착된다. 두 개의 모니터(93a)가 동시에 동일한 정보를 표시하나 단지 하나의 라이트 펜(93b)만이 사용될 수 있다. 라이트 펜(93b)은 펜의 선단에 있는 광 센서로 CRT 디스플레이에 의해 방출된 광을 검출한다. 특정 스크린 또는 기능을 선택하기 위해, 작동자는 디스플레이 스크린에 대한 지정영역을 터치하고 펜(93b)상의 버튼을 누른다. 터치된 영역은 가장 밝은 컬러로 바뀌거나 새로운 메뉴 또는 스크린이 표시되어, 라이트 펜과 디스플레이 스크린 사이의 통신을 확인할 수 있다. 물론, 키이보드, 마우스, 또는 다른 포인팅 또는 통신 장치와 같은 다른 장치가 라이트 펜(93b) 대신에, 또는 라이트 펜에 부가되어 사용됨으로써 사용자가 프로세서(85)와 통신할 수 있게 한다.
필름을 증착하고 챔버를 건식 세정하기 위한 프로세스는 프로세서(85)에 의해 실행되는 컴퓨터 프로그램 제품을 사용하여 실시된다(도 1a). 컴퓨터 프로그램 코드는 예를들어, 68000 어셈블리어, C, C++, 파스칼, 포트란, 또는 기타 언어와 같은 종래의 컴퓨터 판독가능한 프로그램밍 언어로 기록될 수 있다. 적합한 프로그램 코드가 종래의 텍스트 에디터를 사용하여 싱글 파일 또는 다중 파일로 기입되며 컴퓨터의 메모리 시스템과 같은 컴퓨터-이용가능한 매체에 저장되거나 구현된다. 기입된 코드 텍스트가 고급 언어라면, 상기 코드는 기계어로 번역되어 그 번역된 컴파일러 코드는 예비번역된 윈도우 라이브러리 루틴의 기계어 코드(object code)와 결합된다. 결합된 컴파일 기계어 코드를 실행하기 위해서, 시스템 사용자는 상기 기계어 코드를 불러내어 컴퓨터 시스템이 상기 코드를 메모리에 로딩시키도록 해야 하며, 그에 따라 프로그램에서 확인된 업무를 수행하기 위해 CPU가 상기 코드를 판독 및 실행하게 된다.
도 1f는 특정 실시예에 따른 시스템 제어 소프트웨어인 컴퓨터 프로그램(160)의 계층별 제어구조를 설명하는 예시적인 흐름도이다. 라이트 펜 인터페이스를 사용하여, 사용자는 프로세스 세트번호와 프로세스 챔버번호를 CRT모니터 상에 표시된 메뉴나 스크린에 따라서 프로세스 셀렉터 서브루틴(161)에 기입한다. 특정 프로세스를 수행하는데 필요한 프로세스 변수들의 예정된 세트인 프로세스 세트가 예정된 세트번호에 의해 확인된다. 프로세스 셀렉터 서브루틴(161)은 ①소정 프로세서 챔버, 및 ②소정 프로세스를 수행하기 위한 프로세스를 작동시키는데 필요한 소정의 프로세스변수 세트를 확인한다. 특정 프로세스를 수행하기 위한 프로세스 변수는 예를들어, 프로세스가스의 조성, 흐름율, 온도, 압력과 같은 프로세스 조건과 고주파수 및 저주파수의 RF 전력값과 고주파수 및 저주파수의 RF주파수, (추가로, 원격 초단파 플라즈마 시스템을 갖춘 실시예에서의 초단파 발생기 전력값), 냉각 가스압력, 및 챔버 벽의 온도와 같은 플라즈마 조건과 관련이 있다. 프로세스 셀렉터 서브루틴(161)은 어떠한 형태의 프로세스(증착, 웨이퍼 세정, 챔버 세정, 챔버 게터링, 역류)을 챔버(30) 내부에서 얼마만큼의 시간동안 수행할 것인지를 제어한다. 몇몇 실시예에서는 하나 이상의 프로세스 셀렉터 서브루틴이 있을 수 있다. 프로세스 변수들은 리시프(recipe) 형태로 사용자에게 제공되며 라이트 펜/모니터 인터페이스를 사용하여 기입될 수 있다.
프로세스의 감시를 위한 신호가 시스템 제어기의 아나로그 입력 보드 및 디지털 입력 보드에 의해 제공되며 프로세스를 제어하기 위한 신호들이 CVD 시스템(10)의 아나로그 출력보드 및 디지털 출력보드 상에 출력된다.
프로세스 시퀀서 서브루틴(162)은 프로세스 셀렉터 서브루틴(161)으로부터 프로세스 변수 세트 및 확인된 프로세스 챔버를 수용하기 위한 프로그램 코드를 포함한다. 다수의 사용자가 프로세스 세트번호 및 프로세스 챔버번호를 기입할 수 있으며, 또한 단일 사용자가 다수의 프로세스 세트번호 및 프로세스 챔버번호를 기입할 수 있어서 시퀀서 서브루틴(162)은 소정의 시퀀스에서 선택된 프로세스를 계획하도록 작동한다. 바람직하게, 시퀀서 서브루틴(162)은 ①챔버가 사용되고 있는 지를 결정하기 위해 프로세스 챔버의 작동을 모티터링하는 단계, ②사용될 챔버내부에서 무슨 프로세스를 수행해야할 지를 결정하는 단계, 및 ③프로세스 챔버의 유용성 및 수행될 프로세스의 형태에 기초하여 소정의 프로세스를 실행하는 단계를 수행하기 위한 프로그램 코드를 포함한다. CVD 챔버의 특정 구성에 따라서, 몇몇 실시예들은 상기 서브루틴을 모두 포함하나, 다른 실시예에서는 단지 몇개의 서브루틴을 포함할 수 있다. 기술분야의 당업자들은 프로세스 챔버(30)내에서 무슨 프로세스가 수행되는지에 따라서 다른 챔버 제어 서브루틴이 포함될 수 있다는 것을 용이하게 인식할 수 있다. 작동시, 챔버 매니저 서브루틴(163b)은 실행될 특정 프로세스에 따라 프로세스 컴포넌트 서브루틴을 선택적으로 계획하거나 불러낸다. 챔버 매니저 서브루틴(163b)은 시퀀서 서브루틴(162)이 다음에 실행될 프로세스 챔버와 프로세스 세트를 관리하는 것처럼 많은 프로세스 컴포넌트 서브루틴를 관리한다. 통상적으로, 챔버 매니저 서브루틴(163b)은 다수의 챔버 컴포넌트를 모니터링하는 단계와, 실행될 프로세스 세트를 위한 프로세스 변수들에 기초하여 어는 컴포넌트를 작동시켜야할 지를 결정하는 단계, 및 상기 모니터링 단계와 결정 단계에 응답하여 챔버 컴포넌트 서브루틴의 실행을 초기화하는 단계를 포함한다.
도 1f에 도시된 특정 챔버 컴포넌트 서브루틴의 작동에 대해서 도 1a를 참조하여 설명한다. 기판 위치선정 서브루틴(164)은 기판을 받침대(32)상에 로딩하고, 선택적으로 기판과 샤워헤드(40) 사이의 간격을 제어하도록 챔버 내부의 소정 높이로 기판을 들어올리는데 사용되는 챔버 컴포턴트를 제어하기 위한 프로그램 코드를 포함한다. 기판이 프로세스 챔버(30) 내측에 로딩될 때, 히터 조립체(33)는 웨이퍼 포켓(34)내에 기판을 수용하도록 하강되며, 그 후에 소정의 높이로 상승된다. 작동시, 기판 위치선정 서브루틴(164)은 챔버 매니저 서브루틴(163b)으로부터 이전된 지지높이와 관련된 프로세스 세트변수에 응답하여 받침대(32)의 운동을 제어한다.
프로세스 가스 제어 서브루틴(165)은 프로세스 가스 조성 및 흐름율을 제어하기 위한 프로그램 코드를 가진다. 프로세스 가스 제어 서브루틴(165)은 안전 차단밸브의 개폐 위치를 제어하며, 또한 소정의 가스 흐름율을 얻기위해 질량 흐름 제어기를 상하로 램프한다. 프로세스 가스 제어 서브루틴(165)은 모든 챔버 컴포넌트 서브루틴에서와 같이, 챔버 매니저 서브루틴(163b)에 의해 불러내어지며 챔버 매니저로부터의 소정의 가스 흐름율과 관련된 서브루틴 프로세스 변수들 수용한다. 통상적으로, 프로세스 가스 제어 서브루틴(165)은 가스 공급라인을 개방하며, ①필요한 질량흐름 제어기를 판독하고, ②챔버 매니저 서브루틴(163b)으로부터 수용된 소정의 흐름율을 상기 판독값과 비교하고, ③필요에 따라 가스 공급라인의 흐름율을 조절하는 단계들을 반복적으로 수행함으로써 작동한다. 게다가, 프로세스 가스 제어 서브루틴(163)은 불안전 비율에 대해 가스 흐름율을 모니터하는 단계와 불안전 상태가 검출될 때 안전 차단밸브를 작동시키는 단계들을 포함한다. 프로가스 제어 서브루틴(165)도 선택된 소정의 프로세스(세정 또는 증착, 또는 기타)에 따라 세정가스 및 증착가스에 대한 가스 조성과 흐름율을 제어한다. 대체 실시예는 특정 형태의 프로세스 또는 특정 가스라인 세트를 각각 제어하는 하나 이상의 프로세스 가스 제어 서브루틴을 가진다.
몇몇 프로세스에서, 질소 또는 아르곤과 같은 불활성 가스는 반응 프로세스 가스가 도입되기 이전에 챔버 내부의 압력을 안정화시키도록 챔버 내측으로 흐른다. 이들 프로세스에 대해서, 프로세스 가스 제어 서브루틴(165)은 챔버 내부의 압력을 안정화시키는데 필요한 시간동안 불활성 가스를 챔버 내측으로 흐르게 하기 위한 단계를 수행한 후에 전술한 단계들을 수행하도록 프로그램된다. 또한, 프로세스 가스가 액체 전구체, 예를들어 TiCl4로부터 증발될 때, 프로세스 가스 제어 서브루틴(165)은 기포발생기 내의 액체 전구체를 통해서 헬륨과 같은 분배가스를 발생시키는 단계, 또는 헬륨과 같은 캐리어 가스를 액체 주입시스템으로 도입시키는 단계를 포함하도록 기록된다. 기포 발생기가 이러한 형태의 프로세스에 사용될 때, 프로가스 가스 제어 서브루틴(165)은 분배 가스의 흐름, 기포 발생기내의 압력, 및 기포 발생기 온도를 조절하여 소정의 프로세스 가스 흐름율을 얻는다. 전술한 바와 같이, 소정의 프로세스 가스 흐름율은 프로세스 변수로서 프로세스 가스 제어 서브루틴(165)으로 이동된다. 게다가, 프로세스 가스 제어 서브루틴(165)은 소정의 프로세스 가스 흐름율에 대한 필요한 값을 포함하고 있는 저장 테이블에 접근함으로써 소정의 프로세스 가스 흐름율에 대한 필수적인 분배 가스 흐름율, 기포발생기 압력, 및 기포발생기 온도를 얻기 위한 단계들을 포함한다. 일단 필요한 값이 얻어지면, 분배 가스 흐름율, 기포발생기 압력 및 기포 발생기 온도가 모니터되고 필요값과 비교됨으로써 조절된다.
압력 제어 서브루틴(166)은 챔버의 배기시스템에 있는 드로틀 밸브의 구멍 크기를 조절함으로써 챔버(30) 내부의 압력을 조절하기 위한 프로그램 코드를 포함한다. 드로틀 밸브의 구멍크기는 전체 프로세스 가스 흐름, 프로세스 챔버의 크기, 및 배기 시스템용 펌핑 설정점에서의 압력과 관련하여 소정의 수치로 챔버 압력을 제어하도록 설정된다. 압력 제어 서브루틴(166)이 불러내어질 때, 소정의 또는 목표 압력값이 챔버 매니저 서브루틴(163a)으로부터의 변수로서 수용된다. 압력 제어 서브루틴(166)은 챔버에 연결된 하나 이상의 종래의 압력계를 판독함으로써 챔버(30) 내부의 압력을 측정하고, 측정된 값을 목표 압력과 비교하며, 저장된 압력 테이블로부터의 목표 압력값에 대응하는 비례, 적분, 및 미분(PID) 값을 얻으며, 상기 압력 테이블로부터 얻은 PID 값에 따라 드로틀 밸브를 조절한다. 이와는 달리, 압력 제어 서브루틴(166)은 챔버(30) 내부의 펌핑 성능을 소정의 수치로 조절하기 위해 드로틀 밸브를 특정 구멍 크기로 개폐하도록 기록될 수 있다.
히터 제어 서브루틴(167)은 저항 가열식 받침대(32)(및 그 상부의 어떤 기판)에 사용되는 히터 코일(33)의 온도를 제어하기 위한 프로그램 코드를 포함한다. 히터 제어 서브루틴은 챔버 매니저 서브루틴에 의해 불러내어져 타겟, 또는 설정점 온도 변수를 수용한다. 히터 제어 서브루틴은 받침대(32)내에 위치된 열전쌍의 전압출력을 측정하고, 측정된 온도를 설정점 온도와 비교하고, 설정점 온도를 얻기위해 가열 유닛에 인가된 전류를 증감시킴으로써 온도를 측정한다. 상기 온도는 저장된 변환 테이블내의 대응온도를 검토하거나 5차 방정식을 사용하여 상기 온도를 계산함으로써 측정된 전압으로부터 얻어진다. 매립형 루프가 가열 받침대(32)에 사용될 때, 히터 제어 서브루틴(167)은 루프에 인가된 전류의 램프 업/다운을 점진적으로 제어한다. 또한, 프로세스 안전 컴플라이언스를 검출하도록 내장형 파괴-안전 모드가 포함될 수 있으며 프로세스 챔버(30)가 적절히 설정되지 않으면 가열 유닛의 작동이 차단될 수 있다. 사용가능한 히터 제어의 대체 방법은 1996년 11월 13일자로 발명자 조나단 프랭켈에 의해 "증착장치의 온도를 제어하기 위한 시스템 및 방법"이란 명칭으로 출원되어 공동 계류중에 있으며 일반 양도되었으며 본원 발명에 참조된 미국 특허 제 08/746,657호에 기술된 램프 제어 알고리즘을 사용한다.
플라즈마 제어 서브루틴(168)은 챔버(30) 내부의 프로세스 전극과 히터 조립체(32)에 인가된 저주파 및 고주파 RF 전력값을 설정하고, 사용된 낮은 RF 주파수를 설정하기 위한 프로그램 코드를 포함한다. 이전에 설명한 챔버 콤퍼넌트 서브루틴처럼, 플라즈마 제어 서브루틴(168)은 챔버 매니저 서브루틴(163b)에 의해 불러내어진다. 원격 플라즈마 발생기(4)를 포함하는 실시예에 대해, 플라즈마 제어 서브루틴(168)은 원격 플라즈마 발생기를 제어하기 위한 프로그램 코드도 포함한다.
전술한 CVD 시스템에 대한 더욱 상세한 내용은 1997년 8월 22일자로 "티타늄 층을 증착시키기 위한 고온, 고증착율 공정 및 장치"란 명칭으로 출원되어 일반 양도되고 본 발명에 전체적으로 참조된 미국 특허 출원 제 08/918,706호에 설명되어 있다. 그러나, 상기 반응기에 대한 설명은 단지 설명을 위한 목적이며, 전자 공명(ECR) 플라즈마 CVD 장치, 유도 결합 RF 고밀도 플라즈마 CVD장치 등과 같은 다른 플라즈마 CVD 장비들이 사용될 수 있다. 또한, 받침대 설계, 히터 설계, 펌핑 채널 설계, RF 전력 연결부 및 기타 부품의 위치에 있어서의 변형과 같이 상기 시스템의 변형예들이 있을 수 있다. 본 발명에 따른 티타늄 층을 형성하기 위한 방법은 어떤 특정 CVD장치에 한정되지 않는다.
Ⅲ. 개선된 CVD 티타늄 공정
본 발명의 방법은 전술한 예시적인 CVD 챔버와 같은 기판 처리챔버에서 개선된 티타늄 필름을 증착시키는데 사용될 수 있다. 전술한 바와 같이, 티타늄 필름은 현대 집적회로의 제조에 다수의 용도를 갖는다. 그러한 티타늄 필름에 대한 주 용도중의 하나는 콘택 구조물의 일부인 티타늄/티타늄 질화물 적층물에 있어서의 초기 접착층으로서의 용도이다. 그러한 콘택 구조물이 본 발명의 실시예에 사용될 수 있는 예시적인 콘택 구조물의 횡단면도인 도 2a에 도시되어 있다.
도 2a에 도시된 바와 같이, 산화물 층(200)(예를들어, SiOX필름)이 결정질 실리콘 또는 폴리실리콘 표면을 갖는 기판 위에 약 1Fm의 두께로 증착된다. 산화물 층(200)은 집적 회로 내부에서 예비-금속 유전체 또는 중간-레벨 유전체로서 작동할 수 있다. 레벨들 사이에 전기 접점을 제공하기 위해 콘택 홀(210)이 산화물 층(200)을 통해 에칭되어 알루미늄과 같은 금속으로 충전된다.
다수의 진보된 집적회로에서, 콘택 홀(210)은 좁으며 종종 약 0.35 Fm 이하의 폭과 약 6 : 1 이상의 종횡비를 가진다. 그러한 콘택 홀을 충전하는 것이 어려우나 티타늄 층(215)으로 콘택 홀(210)을 먼저 등각 코팅하는 다소 표준방식의 공정이 발전되었왔다. 그후 티타늄(Ti) 층(215)은 티타늄 질화물(TiN) 층(220)으로 등각 코팅된다. 그후, 알루미늄 층(225)이 종종 물리 증착법에 의해 증착되어 상부 레벨에 있는 전기 상호접점을 제공하도록 상기 콘택 홀(225)을 충전한다. 티타늄 층(215)은 측벽상의 산화물과 하부층의 실리콘에 모두 접착층을 제공한다. 또한, 오옴 콘택을 형성하도록 하부층 실리콘으로 규화물 처리할 수 있다. 상기 티타늄 질화물 층(220)은 티타늄 층(215)에 잘 접착되며 알루미늄 층(225)도 티타늄 질화물 층에 잘 접착되어 알루니늄은 내재된 공동의 형성없이 콘택 홀(210)을 양호하게 충전할 수 있다. 또한, 티타늄 질화물 층(220)은 알루미늄 층(225)이 실리콘(205)으로 이동하여 전도도에 영향을 주는 것을 방지하는 확산 장벽층으로서 작용을 한다.
이러한 목적을 적절히 충족시키기 위해서, 티타늄 층(215)은 다른 특징중에서 콘택 전체 바닥면과 전체 웨이퍼면(중심에서 엣지) 전반에 걸친 균일한 증착 두께, 우수한 바닥 도포율, 및 저 저항을 가져야 한다. 또한, 티타늄 층(215)은 콘택 홀(210)의 바닥을 따라 균일하게 증착되어야 하지만 측벽을 따라서는 전혀 증착되어선 안된다. 측벽상의 티타늄 증착을 방지하는 것은 콘택으로부터의 실리콘이 측벽에 있는 티타늄과 반응하여 콘택 바닥 상부로부터 측벽으로 이동되는 "실리콘 크리프"라 공지된 현상을 방지하는 것이다. 본 발명의 방법에 따라 증착된 티타늄 층은 상기 모든 특성을 만족하며 종래기술의 티타늄 증착프로세스와 비교하여 현저하게 개선된 바닥 도포율과 시이트 저항의 균일성을 나타낸다. 이러한 개선점은 1차 티타늄 벌크 증착단계 전후에 신규하고 유일한 단계들을 결합시킴으로써 달성된다.
이들 단계중의 하나는 티타늄 증착단계 이전에 수행되는 신규하고 유일한 플라즈마 처리단계이다. 이러한 플라즈마 처리단계에 있어서, 웨이퍼는 H2및 Ar 처리가스로부터 형성된 상당히 간단한 플라즈마에 노출된다(예를들어, 양호한 실시예에서 5 내지 60초간). 이러한 방식으로, 웨이퍼 상부면의 작은 부분이 증착단계 이전에 에칭 제거된다. 본원 발명자들은 이러한 에칭단계가 ①콘택 홀(210)의 형성 후에 웨이퍼 접촉영역에서 성장하는 어떤 산화를 제거하고, ②상기 콘택 홀의 형성(에칭)단계 후에 콘택 홀(210)에 비의도적으로 남아 있는 층(200)으로부터 어떤 실리콘 산화물을 더 에칭하는데 특히 유리하다는 것을 알아냈다. 웨이퍼가 콘택 형성 이전에 어떤 적합한 길이에 대해 주위에 노출되는 경우, 10 내지 50Å 범위 두께로 산화가 발생하는 것이 일반적이다. 또한, 본원 발명자들은 다수의 상업적 제조공정이 상기 층(200)을 완전하게 제거할 수 없는 대신에, 콘택 영역 위에 미에칭된 실리콘 산화물 층을 남겨둔다는 것을 알아냈다. 그러한 층이 도 2b에 층(230)으로서 도시되어 있으며 공정에 따라 100 내지 250Å 두께 이상일 수 있다.
이러한 미에칭 층(230) 또는 웨이퍼 상에 형성된 어떤 산화물의 두께에 따라, 상기 층은 티타늄 층(215)이 본 발명에 따른 이득없이 증착되어 제조공정의 전체 수율을 감소시킬 때 전기 접점이 하부 기판 표면으로 형성되는 것을 방지할 수 있다. 다른 실시예에서, 층(230) 또는 산화물의 증가는 전기 접점이 증가된 저항값에서 하부 실리콘으로 형성될 수 있게 하는 두께이다. 그 때문에, 제조장치는 제조사의 성능 요건을 만족시킬 수 없다. 이러한 경우들중 하나에 있어서, 본 발영의 예비처리 단계는 나머지 층(230)의 모든 부분 또는 일부분을 제거하는데 사용될 수 있어서 산화물의 증가에 의해 기판(200)에 대한 개선된 전기 접점을 제공할 수 있다. 본 발명의 이러한 특징에 대한 더욱 상세한 설명은 도 3과 관련하여 후술한다.
도 3은 본 발명의 양호한 실시예에 따라 티타늄 필름을 증착시키기 위한 단계들을 상세히 설명하는 흐름도이다. 도 3에 도시된 단계들이 단지 양호한 프로세스만을 나타내며 본 발명의 다른 실시예들이 상기 단계들의 포멧이나 시퀀스를 변경하거나 설명된 단계들의 일부를 합체할 수 있다고 이해해야 한다. 도 3에 도시한 바와 같이, 티타늄 층의 증착이 시작되기 이전에 웨이퍼가 챔버(30) 내부에 로딩되며(단계 300) 프로세서(85)는 현재 웨이퍼 수를 1(N은 후술하는 바와 같이 세정 목적에 사용)로 설정한다(단계 305). 웨이퍼가 챔버 내부에 로딩된 후에, 웨이퍼는 받침대(32)가 가스 분배 샤워헤드(40)로부터 일반적으로 250 내지 500mils 범위에 있는 처리위치로 이동된다. 하나의 특정 및 양호한 실시예에서, 받침대(32)는 샤워헤드(40)로부터 329mils의 거리에 위치된다. 이러한 웨이퍼 위치선정 단계 중에, 상기 챔버는 증착이 발생하는 압력 이상으로 아르곤과 같은 비부식 가스로 가압된다. 아르곤은 챔버 내부, 특히 히터 받침대의 내측에 있는 공동 또는 빈 공간을 채워서 챔버 압력이 실질적으로 증착 압력(특정 실시예에서 5.0 토르)으로 감소될 때에 사라지게 된다. 이러한 방법으로, 단계 310은 히터 받침대나 챔버의 일부분을 부식 또는 산화시킬 수 있는 프로세스 가스의 침입을 최소화한다. 아르곤 가압 가스는 상부 아르곤이 샤워헤드(40)를 통해 흐르고 하부 아르곤이 웨이퍼(36) 아래의 지점으로부터 흐를 때 흐르게 된다. 바람직하게, 챔버 압력은 상기 단계 중에 약 5 내지 90 토르 범위로 설정된다.
단계 310 중에도, 받침대 온도는 실제 공정 온도의 15℃ 사이로 설정된다. 상기 공정은 약 400 내지 750℃ 사이의 어떤 온도에서 수행될 수 있으나 바람직하게, 상기 받침대 온도는 (약 535 내지 635℃의 웨이퍼 온도에 대응되게)약 630 내지 700℃, 가장 바람직하게는 특정 실시예에서 (약 605℃의 웨이퍼 온도에 대응되게)약 680℃이다. 하나의 특정 실시예에서, 공정 가스가 흐르기 시작하면서 히터와 웨이퍼를 냉각시키기 때문에 상기 온도는 단계 310의 초기에 (공정 온도보다 10℃ 높은)약 690℃로 설정된다. 공정온도 이상으로 웨이퍼를 초기에 가열하는 것은 보다 짧은 웨이퍼 사이클 시간을 초래하며 가스가 흐르기 시작한 후에 히터 전력이 공정처리 온도까지 다시 증가할 때 종래에 유발되었던 히터의 표면과 히터 소자 사이의 열 구배로 인한 히터의 열 충격을 감소시킨다.
단계 310의 초기화 이후 약 10 동안, 상기 온도는 (전체 증착공정 동안에 바람직하게 유지되는)실제 공정온도로 감소되며, 반응가스(바람직하게 H2) 흐름은 초기 흐름율에서 시작되며 상부 아르곤 흐름율이 증가된다(단계 315). 반응가스는 소정의 필름을 형성하기 위해 소오스 가스의 분해에 필요한 에너지를 낮추며 또한 염화물의 일부를 Cl 및 Cl2로 남기기 보다는 오히려 염화수소(HCl)로 변환시킴으로써 증착 부산물의 부식성을 감소시킨다. 가스의 흐름은 그후 단계 320에서 2초 후에, 그리고 단계 325에서 다시 3초 후에 더욱 증가된다. 가스의 흐름율은 단계 310 내지 단계 325 동안에 초기 흐름율로부터 최종 흐름율로 증분만큼씩 단계적으로 상승(또는 이와는 달리 램프)되어 히터에 대한 열 충격을 감소시키며, 가스의 최종 흐름율은 꽤 높아서 한 번에 모두 턴온되면 웨이퍼를 과도하게 냉각시킨다. 이러한 가스의 단계적 또는 램프식 온세트(onset)는 헬륨 또는 수소가 고 열전달 특성을 나타낼 때 이들 가스에 특히 중요하다.
다음 단계인 단계 325은 전술한 플라즈마 예비처리 단계이다. 플라즈마 예비처리 단계에서, 낮은 주파수의(예를들어, 300 내지 450 KHZ, 가장 바람직하게 350 KHZ) RF전력이 샤워헤드(40)에 인가되어 H2와 아르곤 공정 가스로부터 플라즈마를 형성한다. 전술한 바와 같이, 이러한 플라즈마는 콘택 홀(210) 내부에 미에칭 상태로 남아 있는 콘택 홀(210) 또는 어떤 층(230)의 형성 후에 기판(200)상에서 성장하는 어떤 얇은 산화층을 전체적으로 또는 부분적으로 에칭 제거함으로써 기판(200)에 대한 개선된 전기 접점을 제공할 수 있게 한다. 이러한 에칭 공정은 실란(SiH4) 및 물(H2O)이 챔버로부터 모두 소모되는 SiO2+ H2→ SiH4+ H2O의 기본 화학 반응식으로 나타낼 수 있다. 물론, 다른 중간 반응물이 발생할 수 있으며 소모된 화합물이 상기 중간 반응물로부터의 이온 및 다른 분자들을 포함할 수 있다고 여겨진다.
실리콘 산화물 위에 남아 있거나 쌓여 있는 산화물을 에칭 제거하기 위해서 단계 320에서 예비처리 가스로 지칭되는 다른 가스를 사용하는 것도 가능하다. 예비처리 가스는 실리콘 산화물과 실리콘 기판 사이에서 높은 에칭 선택도로 노출되어서 실리콘 접촉영역을 손상시키지 않으면서 산화물 위에 남아 있거나 산화물을 에칭할 수 있어야 한다. 단계 320에서 사용될 수 있는 다른 예비처리 가스는 암모니아(NH3)와 실리콘 산화물을 에칭하는 것으로 알려진 다수의 할로겐 종(species)들이다. 불소-함유 가스(예를들어, CHF3, CF4, C2F6, BF3, NH3등등)들은 가장 바람직한 할로겐 종이라고 여겨지며, 요오드-함유원(iodine-containing sources)은 대부분의 요오드 함유원은 실온에서 고상이고 다른 물질과의 혼합이 어렵기 때문에 덜바람직한 것으로 여겨진다. 또한, 브롬-함유종들은 일반적으로 염소-함유종들보다 바람직한데, 그 이유는 브롬 가스가 다음 증착공정에 덜 효과적이라고 판단되기 때문이다. 어떤 예비처리 가스는 플라즈마의 안정화를 도와서 결론적으로 에칭공정을 안정화시키는 캐리어 또는 다른 불활성 가스와 혼합될 수 있으며 바람직하게는 혼합된다.
TiCl4(근원 가스)와 헬륨 흐름은 단계 325 동안 초기화된다. 그러나, 이때 이들 흐름을 챔버(30) 내측으로 도입하는 대신에, 이들 흐름은 앞선 라인으로 직접 전환된다. 이러한 방법으로 상기 흐름, 특히 TiCl4의 흐름을 전환시키는 것에 의해 증착의 시작 이전에 안정화함으로써 다중-웨이퍼 증착 시퀀스(예를들어, 2000 웨이퍼 런)에서의 다수 티타늄 증착단계중의 공정 처리조건을 균일화한다. 선택적으로, TiCl4와 헬륨 흐름은 분리 단계(330)의 일부분으로서 플라즈마의 초기화 바로 이후에 시작될 수 있다. 다른 경우에 있어서, TiCl4흐름은 증착단계 335 이전에 적어도 6 내지 8초간 안정화된다.
증착 단계 335에서, TiCl4와 헬륨 가스 흐름은 아르곤 H2흐름과 함께 챔버(30)로 흐르도록 재지향되며, 플라즈마는 샤워헤드(40)에 RF전력을 계속해서 인가함으로써 유지된다. TiCl4는 액체 형태로 있으며 헬륨 캐리어 가스와 혼합되기 이전에 스택 코포레이션에 의해 제작된 가스 패널식 정밀 액체 주입시스템(GPLIS)과 같은 액체 주입시스템을 사용하여 증발된다. 아래의 표 1에 도시한 바와 같이,본 양호한 실시예에서 H2대 TiCl4의 비율은 106 : 1이다. 이러한 비율은 본 기술분야의 당업자에 의해 이해될 수 있는 바와같이, 상기 표 1에 주어진 TiCl4의 mg/m 흐름율을 등가의 sccm흐름율로 변환시킴으로써 계산될 수 있다. 이러한 예에서, TiCl4는 47.23sccm의 가스 흐름율과 등가인 400mg/m의 비율로 도입된다.
증착단계(335)는 선택된 두께의 필름을 증착하는데 필요한 오랜 시간동안 유지된다. 높은 증착온도, 증가된 가스 흐름율 및 다른 요인 때문에, 본 발명의 티타늄 필름은 적어도 100 내지 400Å/분 이상의 증착율로 증착된다. 따라서, 단계 335의 전체 시간은 일반적으로 종래 기술의 공정에 필요한 시간보다 낮아서 웨이퍼 생산력의 증대를 초래한다.
증착 단계 335의 완료 후에, 상기 H2, TiCl4, 및 헬륨의 흐름이 중단되고 RF 전력이 현저히 감소되고, 상부 아르곤 흐름도 현저히 감소되어(단계 340), 증착단계 중에 챔버상에 형성될 수 있는 커다란 미립자를 손실하게 된다. 약 3초 후에, RF전력은 스위치 오프되고 티타늄 층이 부동태화된다. 상기 티타늄 층은 티타늄 층 표면에 얇은 질화 티타늄 층을 형성함으로써 부동태화되어 탄소 및 산소와 같은 불순물이 티타늄 내측으로 흡수되지 않는다. 그러한 불순물은 질화 티타늄 장벽층의 증착중에 불안정한 표면을 형성하고 질화 티타늄 층의 저항성을 변경할 수 있다. 부동태화는 부동태화 단계 345 및/또는 단계 350에서 질소 플라즈마를 형성하는 단계로서 H2흐름 및 N2흐름을 아르곤 흐름에 추가함으로써 달성될 수 있다.바람직하게, 단계 345와 350이 수행된다. 이러한 방식이 수행되면, 단계 345는 후-증착 플라즈마 처리단계 350 이전에 챔버를 안정화시키고 챔버로부터 TiCl4잔류물을 정화하는데 도움을 준다. 또한, 질소는 표면 티타늄과 반응하여 질화 티타늄의 얇은 층을 형성하기 시작한다.
단계 345 이후에, 티타늄 층은 플라즈마를 형성하도록 챔버 내부에 있는 N2/N2/Ar 부동태화 가스에 RF에너지를 인가함으로써 단계 350에서 더욱 부동태화된다. 이와는 달리 상기 부동태화 플라즈마는 플라즈마 소오스내에 형성되어 챔버에 연결될 수 있다. 부동태화 플라즈마 내부의 이온화된 질소는 약 10초간의 노출 중에 얇은 질화 티타늄 층의 형성을 완료하도록 질화 티타늄 층의 표면과 반응한다. 챔버 내부에 플라즈마를 형성하기 위해, RF 전력이 일반적으로 샤워헤드(40)에 인가된다. 그러나, RF전력은 받침대 전극(22)에 인가될 수 있으며, 또한 받침대 전극(22) 및 샤워 헤드(40) 모두에 인가될 수 있다. 단계 345와 350을 사용하는 양호한 실시예에서, 단계 345는 약 8초동안 소요된다. 단계 345 또는 단계 350만을 사용하는 다른 실시예에서, 상기 단계들은 예를들어, 약 10 내지 30초간 오랜동안 사용될 수 있다.
단계 350 이후에, 제 2 플라즈마 정화단계 355가 수행되어 챔버내에 존재할 수 있는 어떤 커다란 입자들을 더욱 이탈시킨다. 플라즈마 세정단계 355는 아르곤 흐름에 더하여 N2및 H2흐름이 추가되는 것 이외에, 플라즈마 세정 단계 340과 유사하다. 결국, 단계 360에서 모든 가스 흐름은 차단되고 챔버는 비워지며 그리고나서, 웨이퍼가 챔버로부터 언로딩된다(단계 365). 웨이퍼 일반적으로 부동태화되므로, 웨이퍼는 산소와 탄소와 같은 불순물을 파격적으로 흡수하는 티타늄 층없이 공기에 노출될 수 있다. 그와 같이, 오랜 기간, 예를들어 하루 동안의 공기 노출에 의해서도 티타늄 층의 특성을 열화되지 않는다. 게다가, 질화 티타늄 부동태 층은 다음 공정에서 티타늄 질화 장벽층을 증착할 수 있는 깨끗한 표면을 제공한다. 웨이퍼의 제거후에, 상기 온도는 다음의 웨이퍼가 장전되고(단계 410) 프로세서(85)가 웨이퍼 계수를 증가시키기(단계 415) 이전에 약 680℃로 예비설정된다.
각각의 웨이퍼 증착 이후에 수행된 플라즈마 세정 단계 340 및 355에 추가하여, (챔버 리드의 개방없이 수행된)건식 세정공정이 웨어퍼의 오염을 더욱 방지하기 위한 임의의 수의 웨이퍼 증착공정 이후에 챔버 상에 주기적으로 수행된다. 본 발명에 따라, 이러한 세정공정 중에 챔버내부에는 웨이퍼(예를들어, 더미(dummy) 웨이퍼)가 없다. 상기 건식 세정공정은 일반적으로 매회 "X"웨이퍼, 바람직하게 매회 2 내지 300웨이퍼를 처리한다. 건식 세정은 예를들어, 특정 실시예에서 매 3 내지 5웨이퍼 범위에서 수행된다. 건식 세정공정은 전체 시스템 웨이퍼 출력에 커다랗게 영향을 끼치지 않도록 유효하게 유지하는 것이 바람직하다. 특정 실시예에 따른 바람직한 건식 에칭공정은 이후 더 상세히 설명한다.
도 3을 다시 참조하면, X(예를들어 여기서, X는 3)개의 웨이퍼가 처리되었다면, 챔버를 건식에칭하는 것이 당연하다. 첫째로, 상기 히터는 샤워헤드로부터 약 650mil의 거리로 더욱 이격되며 680℃의 처리온도로 유지된다. 이때, N 또는 이와 유사한 비반응성 가스가 챔버 내측으로 흐르고 챔버는 약 0.1 내지 10토로, 바람직하게 약 5토로, 특정 실시예에서 약 0.6토로 범위의 세정압력으로 유지된다. 이는 히터와 샤워헤드로의 열 흐름을 최소화하여 히터에 대한 샤워헤드를 냉각시킨다.
단계 375 이후의 3초 동안, 염소 가스(Cl2)는 약 250sccm의 비율로 챔버 내측으로 흐르며, 받침대는 샤워헤드(40)로부터 600mil로 상승된다(단계 380). 다음 2초 후에, 플라즈마는 약 400와트로 충돌한다(단계 385). 이러한 조건은 염소 종이 바람직하지 않은 증착 불순물과 반응하고 그 증착 불순물을 챔버 부품으로부터 제거할 수 있는 시간동안 유지된다. 증착 공정으로부터의 바람직하지 않은 증착 불순물은 일반적으로 흐름 제한 링에 의해 차폐되지 않거나 웨이퍼에 의해 덮히지 않은 챔버의 가장 많이 노출된 부분, 즉 히터의 상부면 전체에 걸쳐서 가장 두껍다. 히터를 샤워헤드로부터 이격되게 이동시킴으로써, 전술한 조건들은 어떤 임의 성분, 특히 샤워헤드의 오버에칭없이 모든 챔버성분을 충분히 세정할 수 있게 한다.
단계 390의 기간은 챔버 내부에 쌓인 증착물의 양에 의존하는데, 이는 또한 얼마나 많은 수의 웨이퍼를 건식 세정작동중에 처리할 것인가와 다른 요소들 중에 증착공정의 기간(즉, 웨이퍼(36)위에 증착된 티타늄 필름의 두께)에 의존한다. 하나의 특정 실시예에서, 단계(390)는 15초 동안 계속된다. 이와는 달리, 단계(390)의 길이는 세정 앤드포인트 기술을 사용해서 검출될 수 있다. 이런 기술은 잘 알려져 있으며 광학 앤드포인트 검출법과 압력에 근거한 앤드포인트 검출법을 포함한다. 광학 앤드포인트 검출법은 적당한 작용을 위해서 챔버(30)의 벽내에 석영 또는유사한 투명 윈도우를 필요로 하며 이런 윈도우는 적당한 앤드포인트 검출을 방해하는 티타늄 증착에 민감하기 때문에 약간의 실시예에서 매우 양호하지 못하다. 유사하게, 공지된 압력에 근거한 앤드포인트 검출법이 세정 단계(390)의 종료를 적절하고 정확하게 확인하기 위해서 각 챔버(30)에서 개별적으로 측정되어야 하기 때문에, 압력에 근거한 앤드포인트 검출법은 또한 이상적이지 못하다.
따라서, 본 발명자는 측정된 반사 RF전력을 근거해서 단계(390)에 알맞은 새로운 엔드 검출 방안을 개발해왔다. 이 엔드포인트 검출 방안은 전체 세정단계(390)에 거쳐서 챔버(30)로부터 RF 전원(5)용 전원 라인상에 반사된 전력을 측정한다(도 1a). 단계(390)의 초기에, 반사 전력은 증착이 챔버 벽으로부터 에칭될 때 증가한다. 이런 반사 전력의 증가는 에칭 제거 티타늄 증착물(etched-away titanium deposits)로부터의 이온족 및 활성 분자를 이용할 때, 세정 플라즈마의 밀도를 증가시키다. 보다 많은 증착 재료가 챔버 벽으로부터 에칭되면, 측정된 반사 전력은 떨어지기 시작하기 전에 피크에 도달한다. 이들은 티타늄 증착 단계(335)의 길이와 시간의 함수로서 세정 단계(390)를 통해서 측정된 반사 전력을 도시하는 그래프인 도 4에서 알 수 있다. 도 4에 도시한 데이터는 하나의 실시예로, 여기서 X = 1이고, 챔버(30)는 단일 웨이퍼가 처리된 후 습식 세정 프로세스로 처리된다.
챔버 세정 프로세스는 측정된 반사 전력이 무구속율(de minimis rate)에서 또는 그 이하에서 감소될 때 완료된다. 예를 들어, 한 실시예에서, 단계(390)는 측정된 반사 전력의 감소율이 0와트/초까지 감소된 후 10초간 멈춘다. 다른 실시예에서, 단계(390) 단계(390)는 측정된 반사 전력의 감소율이 2와트/초 이하에 도달될 때 멈춘다. 플라즈마 세정 후, 염소 가스는 턴오프되고 플라즈마 전력은 OFF로 전환된다(단계(390)). N 흐름은 챔버를 정화하기 위해서 약 3초 동안 유지된다. 그리고 나서 받침대은 약 650 밀 공간으로 복귀되고( 단계(390)) 바닥 아르곤 흐름은 10초 동안 증가되어 추가로 챔버를 정화한다. 최종적으로, 챔버는 약 5초 동안 펌프아웃된다(단계(400)). 물론, "습식 세정" 또는 보호성 보수 세정(수백 내지 천개의 웨이퍼를 처리 할 때 마다 일어남)이 챔버 뚜껑을 개방해서 손으로 챔버의 여러 부품들을 세정함으로써 수행될 수 있을 것이다.
웨이퍼 증착물 사이의 주기적인 건식 세정 프로세스를 수행하면 습식 세정 보호성 보수의 주기를 줄일 수 있어서, 종종 시간을 절약하고 보다 청결한 챔버를 제공하고, 그러므로 증착 프로세스의 효율을 증가시키고 보다 빠른 증착속도에 기여한다. 특히, 주기적인 건식 세정 프로세스를 사용하면 확장된 웨이퍼 실행시 티타늄 증착 프로세스의 반복성을 개선한다. 즉, 예를 들어, 2000웨이퍼의 확장 웨이퍼 실행 동안, 제 1다스 웨이퍼에서의 증착 티타늄증의 성질은 이런 주기적인 건식 세정을 사용하지 않은 확장된 웨이퍼 실행과 비교해서 다음 다스 웨이퍼에서의 증착층의 성질과 매우 유사하다.
본 발명자는 또한 액체 TiCl4가 TiCl4흐름이 멈춘 후((단계 340)) 가스 라인내에 남아 있어 프로세스 반복성을 방해하는 것을 발견했다. 즉, TiCl4흐름이 라인에 연결된 적절한 흐름 제어 밸브를 차단함으로써 증착 단계(340)내에서 멈추면,약간의 잔류 TiCl4액체는 라인내에 남아 있다. 본 발명자는 이런 잔류 액체 량이 한 증착 프로세스에서 다음 프로세스까지 변하고 잔류 TiCl4이 증착 불안성을 야기할 수 있으며 그렇지 않으면 증착 프로세스에 나쁜 영향을 주는 것을 알아냈다. 예를 들어, 잔류 TiCl4의 량이 변하고, 확장된 웨이퍼 실행내에서 어느 두개의 개별 기판용 챔버로 흐르는 TiCl4량이 다르고, 다음으로 특정 기판을 더 많이 또는 적게 증착하는 결과를 가져올 수 있다. 또한 잔류 TiCl4는 새로운 기판이 챔버로 운반도어질 때 여기에 존재하는 습기와 반응하여 TiO2및 원하지 않은 입자를 형성할 수 있다. 끝으로, 잔류 TiCl4는 웨이퍼 증착 단계 사이에서 챔버로 누설하여 챔버의 일부분 또는 챔버 부품들을 코팅함으로써, 코팅부분의 색깔을 변하게 하고, 또한 그 부분의 챔버와 부품의 방사율을 변하게 한다. 표면의 방사율의 변화는 표면의 온도 또는 다른 성질을 바람직하지 않게 변하게 할 수 있다.
이런 잔류 TiCl4의 나쁜 영향을 제거하기 위해서, 본 발명자는 건식 세정 프로세스 동안 라인을 통해서 헬륨 또는 다른 불활성 가스 소스(잔류 TiCl4와 반응하지 않은 가스)를 흘려보냄으로써 TiCl4가스 라인을 건식하는 신규하고 독특한 단계를 개발했다. 예를 들어, 단계(375) 내지 단계(395) 각각에서, 500 sccm 유량의 헬륨을 TiCl4라인으로 도입하여 라인으로부터 잔류 TiCl4를 건조해서 정화한다. 이 방법으로, 본 발명의 방법은 가스 라인이 매 웨이퍼마다 증착전에 재생가능한 상태에 있도록 보장한다. 또한, TiCl4라인 정화 후, 유동 헬륨은 증착 챔버로 보내져 건식 세정 플라즈마를 안정시키는데 도움을 준다. 헬륨 흐름은 이 기술분야의 종사자에게 잘 알려져 있는 적절한 밸브와 흐름 제어기를 사용해서 TiCl4라인를 통해 보내진다.
도 3에 대해서 상술한 본 발명의 양호한 실시예에 따른 가스 유속, 압력레벨 및 다른 정보는 제각기 도 6 및 7내의 표 1(증착 프로세서)와 표 2(세정 프로세스)에 설명되어 있다. 표 1과 표 2에 설명한 가스 유입속도는 8-인치 웨이퍼에 맞추어진 어플라이드 머티어리얼스에 의해 제작된 내열식 TixZ CVD 챔버내의 도 3에 도시한 프로세스를 근거로 한 것이다. 이 기술분야의 종사자라면 알 수 있듯이, 다른 실시예에서 가스가 유입되는 실제 속도는 여러 설계 및/또는 체적의 다른 챔버가 사용된다면 변할 것이다.
위의 표 1 및 표 2에 도시한 증착 조건들과 유속이 본 발명의 양호한 실시예에서 사용된 유속을 나타낼지라도, 다른 증착 조건들과 유속이 사용될 수 있을 것이다. 예를 들어, 증착 스테이지 동안 소스와 반응 가스가 유입되는 속도에 대해서, 본 발명자는 H2대 TiCl4의 비가 약 64 : 1과 2034 : 1사이어야 된다는 것을 발견했다. 양호한 비는 증착 온도, 압력, 받침대 공간, RF 전력 레벨과 다른 요소를 포함하는 다른 증착 조건들에 부분적으로 의존한다. 그러나, 본 발명자는 위에서 설명한 비가 적어도 630-700℃의 가열기 온도 범위와 적어도 1-10 토르의 증착 압력 범위를 포함하는 양호한 증착 조건에서 양호한 품질의 티타늄 필름을 증착하는데 사용될 수 있음을 발견했다. 어떤 특정 테스트에서, 양호한 품질의 티타늄 필름은 3000 sccm의 H2흐름과 400mg/m(47.23sccm과 동일)의 TiCl4흐름을 사용하는 64 : 1 H2/ TiCl4과 12,000 sccm의 H2흐름과 50mg/m(5.9sccm과 동일)의 TiCl4흐름을 사용하는 2034 : 1 H2/ TiCl4에서 증착된다. H2/ TiCl4유동비가 64 : 1이하에서, 반응은 수소가 부족하여 불안정하게 되며, 반면 2034 : 1이상의 유동비에서, 증착된 필름이 콘택트내에서 수용불가능한 나쁜 바텀 커버리지를 나타내기 시작하며, 배기를 관리하기 어렵게 한다.
IV. 테스트 결과 및 측정치
본 발명의 효율을 보여주기 위해서, 여러실험에서 본 발명의 이익을 가지고 그리고 없이 티타늄 층 증착을 실시한다. 이 실험은 어플라이드 머티어리얼스에 의해 제작된 내열성 TixZ 챔버내에서 실시한다. TizZ 챔버는 200mm 웨이퍼에 맞추어져 있으며 또한 어플라이드 머티어리얼스에서 제작된 센츄리 멀티챔버 기판 프로세싱 시스템내에 놓여 있다.
실험의 세트중 하나에서, 다양한 예비처리 단계(단계(325))는 티타늄 증착 단계전에 산화 실리콘 층이 증착된 웨이퍼에서 이루어진다. 이들 예비처리 단계는 먼저 Cl2(125 sccm), N2(500 sccm) 및 Ar (200 sccm)프로세스 가스로부터 나온 플라즈마에서 이루어진다. 플라즈마는 400W의 RF 전력 레벨을 사용해서 형성되고 다른 테스트에서 40과 100초 동안 유지된다. 이 단계에서 나타난 테스트 결과는 1.1 C/sec 속도에서 산화 실리콘 층을 에칭하지만, 이런 에칭은 매우 균일하지 않고 산화 실리콘에 더해서 실리콘을 다소 제어되지 않고 강한 에칭 제거한다.
추가의 테스트는 Cl2플라즈마 예비 처리 단계에서 나온 염소가 연속 티타늄 증착 단계를 방해하는 것을 보여준다. 특히, 잔류 염소는 확실히 단계(335)에서 티타늄 필름의 증착 속도를 떨어뜨리는 원인이 된다. 또한 이렇게 만들어진 티타늄 층이 Cl2플라즈마 예비 처리 단계 없이 증착된 티타늄 층 보다 덜 균일한 것으로 결정된다.
본 발명자는 또한 본 발명의 양호한 실시예에 따라서 H2를 사용하는 플라즈마 예비처리 단계를 테스트했다. 이들 테스트의 결과에서 N2(12 slm) 및 Ar (5500 sccm) 플라즈마(RF 전력 900 W)가 약 0.8 C/sec의 속도에서 산화 실리콘을 균일하게 에칭함을 보여준다. 또한 에칭 프로세스는 상당히 부드럽게 이루어지며 실리콘을 손상하는 어떠한 증상도 볼 수 없다. 도 5a 및 도 5b는 이런 처리를 사용해서 에칭 균일성을 설명하고 있다. 도 5a는 본 발명의 플라즈마 예비처리 단계를 받기 전에 웨이퍼위에 증착된 산화 실리콘 층의 두께를 도시한다. 측정은 이 기술분야에 잘알려진 Rudolph Focus Ellipsometer를 사용해서 이루어졌으며, 이들은 예비처리 단계전에, 산화층이 132 " 15.61 C의 두께를 가짐을 보여준다. 도 5b는 90초 예비처리 단계 바로 직후의 산화 실리콘 층의 두께를 도시한다. 도 5b에서, 산화층은 58 " 16.7 C의 두께를 가진다. 도 5a와 도 5b의 비교에서 알 수 있듯이, 도 5b의 산화층의 두께 변화는 도 5a에 도시한 변화와 거의 동일하다. 그러므로, 이 비교에서 분명하듯이 단계(325)의 에칭은 매우 균일하다.
또한, 본 발명자는 본 발명에 따라서 증착된 티타늄 층의 저항과 유사한 프로세스에 따라, 그러나 플라즈마 예비처리 단계 없이 그리고 표준 HF 디프 단계(standard HF dip step) 없이, 증착된 티타늄의 저항을 측정했다. 후자는 티타늄 증착 전에 산화물을 제거하기 위해서 반도체 제조자에 의해 흔히 사용되는 프로세스이다. 이들 테스트의 결과는 300Å 티타늄 층에 대해서, 층의 저항이 플라즈마 예비처리 단계로 처리된 것보다 플라즈마 예비처리 단계로 처리되지 않은 티타늄 필름에 대해서 보다 높은 0.5와 1.0 S/G 사이임을 보여준다.
이들 결과는 본 발명의 플라즈마 예비처리 단계가 티타늄 층의 증착 전에 실리콘 기판상에 원하지 않은 산화물을 에칭 제거하는데 성공적으로 사용될 수 있음을 증명한다. 상술한 바와 같이, 이런 산화물은 기판상에 일상적으로 생성되어 이전에는 티타늄 필름의 증착용 개별 챔버에 기판을 운송하기 전에 생성된 산화물을 에칭 제거하기 위해서 HF 용액내의 디프와 같은 개별 프로세싱 단계를 필요로 한다. 이런 HF 디프 단계는 웨이퍼를 나중에 건조해서 그 다음 즉시 추가의 산화가 일어나기 전에 증착 챔버로 운송되어야만 한다. 이런 프로세스는 귀찮고 시간이 많이 들고 본 발명의 프로세스보다 신뢰성이 본질적으로 더 낮다.
다른 테스트는 본 발명의 프로세스가 홀(210)(도 2a)과 같은 콘택트 홀의 측벽상에 어떠한 티타늄도 증착하지 않지만 300% 보다 큰 바텀 커버리지를 야기하는 것을 보여준다. 300%의 바텀 커버리지를 나타내는 필름은 100Å 티타늄 층이 콘택트내에 증착될 때 콘택트의 바텀에서 형성된 300Å의 규화티타늄을 가진다.
상기 프로세스와 실험에서 목록된 매개변수는 여기서 기술한 청구범위에만제한되지 않는다. 이 기술분야의 종사자라면 누구나 양호한 실시예에 대해서 기술한 것과 다른 화학품, 챔버 매개변수와 조건들을 사용함으로써 개량할 수 있다. 이를 테면, 상술한 설명은 도시를 목적으로 한 것이지 제한을 목적으로 한 것은 아니며, 본 발명은 다양한 다른 증착 및 세정 프로세스에서 티타늄 필름을 증착하는데 적용될 수 있다. 예를 들어, 건식 세정 프로세스는 Cl2가스 분자 및/또는 다른 가스를 분리하는 원격 플라즈마 시스템(4)을 사용할 수 있다. 유사하게 원격 마이크로파 플라즈마 시스템(4)은 증착 프로세스 동안 티타늄과 다른 프로세스 가스를 분리하는데 사용될 수 있고 분리된 이온은 챔버(30)로 보낼 수 있다. 본 발명은 F2, ClF3및 다른 가스를 포함하는 여러 세정 소스를 사용할 수 있으며, 본 발명의 기술은 다른 티타늄 소스, 예를 들어, TiI4(고체)와 어느 다른 티타늄 활로겐화 화합물을 사용할 수 있다. 또한, 플라즈마 예비처리 단계(325)는 증착 단계 전에 웨이퍼를 가열하고 웨이퍼에서의 온도 균일성을 안정시키는데 사용될 수 있다. 그리고 다른 가스, 예를 들어 N2또는 NH3는 단계(345, 350)에서 티타늄 층을 부동태화하는데 사용될 수 있다. 그러므로, 본 발명의 범주는 상술한 상세한 설명을 기준으로 결정되는 것이 아니라 대신에 첨부의 청구범위와 이와 유사한 모든 범위를 기준으로 결정되어야 한다.
본 발명의 내용을 사용한 다양한 실시예가 여기서 도시되고 기재되어 있을 지라도, 이 기술분야의 숙련된 자는 본 발명의 내용을 여전히 사용해서 다른 다양한 실시예를 쉽게 만들어 낼 수 있다.

Claims (23)

  1. 반응챔버 내부에 있는 기판상에 증착된 티타늄 층을 부동태화하기 위한 방법으로서,
    (a) 상기 반응챔버 내부로 수소 및 질소 흐름을 추가하는 단계를 포함하는 방법.
  2. 제 1 항에 있어서, 상기 수소 및 질소 흐름은 각각 약 800sccm인 방법.
  3. 제 1 항에 있어서, 상기 수소 및 질소 흐름은 약 10 내지 30초 동안 계속되는 방법.
  4. 제 1 항에 있어서, 상기 티타늄 층은 CVD에 의해 증착되는 방법.
  5. 제 1 항에 있어서,
    (b) 상기 반응챔버내에 플라즈마를 형성하는 단계를 더 포함하는 방법.
  6. 제 5 항에 있어서, 상기 플라즈마는 질소 플라즈마인 방법.
  7. 제 5 항에 있어서, 상기 수소 및 질소 흐름은 약 800sccm인 방법.
  8. 제 5 항에 있어서, 상기 수소 및 질소 흐름은 약 10 내지 30초 동안 계속되는 방법.
  9. 제 5 항에 있어서, 상기 플라즈마는 약 10초간 계속되는 방법.
  10. 제 5 항에 있어서, 상기 플라즈마는 상기 반응챔버내에 위치된 전극에 RF 전력을 인가함으로써 형성되는 방법.
  11. 제 5 항에 있어서, 상기 플라즈마는 원격 플라즈마 소오스내에 형성되어 상기 반응챔버로 공급되는 방법.
  12. 반응챔버 내부에 있는 기판상에 증착된 티타늄 층을 부동태화하기 위한 방법으로서,
    (a) 상기 반응챔버 내에 플라즈마를 형성하는 단계를 포함하는 방법.
  13. 제 12 항에 있어서, 상기 플라즈마는 질소 플라즈마인 방법.
  14. 제 12 항에 있어서, 상기 플라즈마는 약 10 내지 30초동안 계속되는 방법.
  15. 제 12 항에 있어서, 상기 플라즈마는 약 600와트의 RF전력을 상기 반응챔버에 가함으로써 발생되는 방법.
  16. 제 12 항에 있어서, 상기 플라즈마는 상기 반응챔버내에 위치된 전극에 RF전력을 인가함으로써 형성되는 방법.
  17. 제 15 항에 있어서, 상기 플라즈마는 원격 플라즈마 소오스 내에 형성되어 상기 반응챔버로 공급되는 방법.
  18. 제 12 항에 있어서, 상기 플라즈마는 수소 및 아르곤을 더 포함하는 방법.
  19. 제 12 항에 있어서, 상기 티타늄 층은 CVD에 의해 증착되는 방법.
  20. 티타늄 층이 상부에 증착되는 반도체 웨이퍼를 처리하기 위한 반응챔버 및 상기 반응챔버의 작동을 제어하기 위한 프로세서를 포함하는 반도체 웨이퍼 처리시스템에 사용되는 프로세서 판독가능한 매체로서,
    상기 프로세서에 의한 실행시, 반도체 웨이퍼가 존재하는 반응챔버에 질소 및 수소 흐름을 추가하는 단계를 실시함으로써 상기 반응챔버내에서 티타늄 층의 부동태화를 수행하는 프로그램을 포함하는 프로세서 판독가능한 매체.
  21. 제 20 항에 있어서,
    상기 프로세서에 의한 실행시, 반도체 웨이퍼가 존재하는 반응챔버 내에 플라즈마를 형성하는 단계를 실시함으로써 상기 반응챔버 내에서 티타늄 층의 부동태화를 수행하는 프로그램을 더 포함하는 프로세서 판독가능한 매체.
  22. 제 21 항에 있어서, 상기 플라즈마는 질소 플라즈마인 프로세서 판독가능한 매체.
  23. 제 20 항에 있어서, 상기 질소 및 수소 흐름은 약 10 내지 30 초동안 계속되는 프로세서 판독가능한 매체.
KR1020017005460A 1998-10-29 1999-10-21 화학 기상 증착된 필름의 인-시츄 후증착 표면 부동태화 방법 KR100798552B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/182,955 1998-10-29
US09/182,955 US6432479B2 (en) 1997-12-02 1998-10-29 Method for in-situ, post deposition surface passivation of a chemical vapor deposited film

Publications (2)

Publication Number Publication Date
KR20010080370A true KR20010080370A (ko) 2001-08-22
KR100798552B1 KR100798552B1 (ko) 2008-01-28

Family

ID=22670782

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020017005460A KR100798552B1 (ko) 1998-10-29 1999-10-21 화학 기상 증착된 필름의 인-시츄 후증착 표면 부동태화 방법

Country Status (4)

Country Link
US (1) US6432479B2 (ko)
JP (1) JP2002529912A (ko)
KR (1) KR100798552B1 (ko)
WO (1) WO2000026952A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230156292A (ko) * 2015-04-13 2023-11-14 램 리써치 코포레이션 구리를 포함하는 합금으로 이루어진 컴포넌트들을 갖는 기판 프로세싱 챔버들로 인한 구리 오염물을 감소시키기 위한 시스템들 및 방법들

Families Citing this family (272)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6841203B2 (en) * 1997-12-24 2005-01-11 Tokyo Electron Limited Method of forming titanium film by CVD
US6869870B2 (en) 1998-12-21 2005-03-22 Megic Corporation High performance system-on-chip discrete components using post passivation process
JP4515550B2 (ja) * 1999-03-18 2010-08-04 東芝モバイルディスプレイ株式会社 薄膜形成方法
EP1077480B1 (en) * 1999-08-17 2008-11-12 Applied Materials, Inc. Method and apparatus to enhance properties of Si-O-C low K films
US7189332B2 (en) * 2001-09-17 2007-03-13 Texas Instruments Incorporated Apparatus and method for detecting an endpoint in a vapor phase etch
US7214289B2 (en) * 2001-10-24 2007-05-08 Tokyo Electron Limited Method and apparatus for wall film monitoring
TW523939B (en) * 2001-11-07 2003-03-11 Nat Univ Chung Hsing High-efficient light emitting diode and its manufacturing method
US6750146B2 (en) * 2002-04-03 2004-06-15 United Microelectronics Corp. Method for forming barrier layer
GB0217553D0 (en) * 2002-07-30 2002-09-11 Sheel David W Titania coatings by CVD at atmospheric pressure
JP3574651B2 (ja) * 2002-12-05 2004-10-06 東京エレクトロン株式会社 成膜方法および成膜装置
US20040118436A1 (en) * 2002-12-21 2004-06-24 Joyce James M. Method and apparatus for thermal gas purging
US6703317B1 (en) * 2003-01-30 2004-03-09 Taiwan Semiconductor Manufacturing Co., Ltd Method to neutralize charge imbalance following a wafer cleaning process
US6911093B2 (en) * 2003-06-02 2005-06-28 Lsi Logic Corporation Lid liner for chemical vapor deposition chamber
US7608300B2 (en) * 2003-08-27 2009-10-27 Applied Materials, Inc. Methods and devices to reduce defects in dielectric stack structures
US8152922B2 (en) 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
US7727588B2 (en) * 2003-09-05 2010-06-01 Yield Engineering Systems, Inc. Apparatus for the efficient coating of substrates
CN100463120C (zh) * 2003-11-14 2009-02-18 东京毅力科创株式会社 等离子体的点火方法和基板处理方法
US20050221612A1 (en) * 2004-04-05 2005-10-06 International Business Machines Corporation A low thermal budget (mol) liner, a semiconductor device comprising said liner and method of forming said semiconductor device
US20070286965A1 (en) * 2006-06-08 2007-12-13 Martin Jay Seamons Methods for the reduction and elimination of particulate contamination with cvd of amorphous carbon
KR20060041497A (ko) * 2004-11-09 2006-05-12 동부일렉트로닉스 주식회사 건식 식각장치
KR100636036B1 (ko) * 2004-11-19 2006-10-18 삼성전자주식회사 티타늄 질화막 형성 방법 및 이를 수행하기 위한 장치
KR100636037B1 (ko) * 2004-11-19 2006-10-18 삼성전자주식회사 티타늄 질화막 형성 방법 및 이를 수행하기 위한 장치
US20080314320A1 (en) * 2005-02-04 2008-12-25 Component Re-Engineering Company, Inc. Chamber Mount for High Temperature Application of AIN Heaters
WO2007084493A2 (en) * 2006-01-19 2007-07-26 Asm America, Inc. High temperature ald inlet manifold
JP2008108703A (ja) * 2006-09-28 2008-05-08 Covalent Materials Corp 面状ヒータ及びこのヒータを備えた半導体熱処理装置
US20100093111A1 (en) * 2006-10-13 2010-04-15 Omron Corporation Method for manufacturing electronic device using plasma reactor processing system
JP2008311457A (ja) * 2007-06-15 2008-12-25 Renesas Technology Corp 半導体装置の製造方法
US20100177454A1 (en) * 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US8318269B2 (en) * 2009-02-17 2012-11-27 Mcalister Technologies, Llc Induction for thermochemical processes, and associated systems and methods
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8178445B2 (en) 2009-06-10 2012-05-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus and manufacturing method of semiconductor device using plasma generation
US8912096B2 (en) * 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
DE102011055061A1 (de) * 2011-11-04 2013-05-08 Aixtron Se CVD-Reaktor bzw. Substrathalter für einen CVD-Reaktor
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
RU2500025C2 (ru) * 2012-07-20 2013-11-27 Гарри Романович Аванесян Корреляционный измеритель временных сдвигов случайных сигналов
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9653282B2 (en) * 2014-07-29 2017-05-16 Applied Materials, Inc. Silicon-containing substrate cleaning procedure
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160329213A1 (en) * 2015-05-04 2016-11-10 Lam Research Corporation Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US20200131634A1 (en) * 2018-10-26 2020-04-30 Asm Ip Holding B.V. High temperature coatings for a preclean and etch apparatus and related methods
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115341194B (zh) * 2022-07-05 2024-02-23 华灿光电(苏州)有限公司 提高微型发光二极管发光一致性的生长方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3656995A (en) * 1969-05-02 1972-04-18 Texas Instruments Inc Chemical vapor deposition coatings on titanium
US4006073A (en) 1975-04-03 1977-02-01 The United States Of America As Represented By The United States Energy Research And Development Administration Thin film deposition by electric and magnetic crossed-field diode sputtering
US4243865A (en) 1976-05-14 1981-01-06 Data General Corporation Process for treating material in plasma environment
US4718976A (en) 1982-03-31 1988-01-12 Fujitsu Limited Process and apparatus for plasma treatment
DE3429899A1 (de) 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo Verfahren zur bildung eines abscheidungsfilms
US4557943A (en) 1983-10-31 1985-12-10 Advanced Semiconductor Materials America, Inc. Metal-silicide deposition using plasma-enhanced chemical vapor deposition
US4678679A (en) 1984-06-25 1987-07-07 Energy Conversion Devices, Inc. Continuous deposition of activated process gases
JPS61137367A (ja) 1984-12-10 1986-06-25 Hitachi Ltd 半導体集積回路装置の製造方法
FR2630133B1 (fr) * 1988-04-18 1993-09-24 Siderurgie Fse Inst Rech Procede pour l'amelioration de la resistance a la corrosion de materiaux metalliques
IT1241922B (it) 1990-03-09 1994-02-01 Eniricerche Spa Procedimento per realizzare rivestimenti di carburo di silicio
US5292555A (en) * 1990-07-04 1994-03-08 Degussa Aktiengesellschaft Process for applying nitride layers to titanium
KR100228259B1 (ko) 1990-10-24 1999-11-01 고지마 마따오 박막의 형성방법 및 반도체장치
CA2049809C (en) * 1990-11-05 2001-02-06 H. Ravindranath Shetty Method of surface hardening orthopaedic implant devices
US5709958A (en) 1992-08-27 1998-01-20 Kabushiki Kaisha Toshiba Electronic parts
WO1995034092A1 (en) 1994-06-03 1995-12-14 Materials Research Corporation A method of nitridization of titanium thin films
DE4440386A1 (de) * 1994-11-11 1996-05-15 Pacesetter Ab Elektroden für medizinische Anwendungen
FR2733255B1 (fr) * 1995-04-21 1997-10-03 France Etat Procede de fabrication d'une piece metallique recouverte de diamant et piece metallique obtenue au moyen d'un tel procede
KR100218728B1 (ko) 1995-11-01 1999-09-01 김영환 반도체 소자의 금속 배선 제조방법
TW319891B (en) 1996-02-02 1997-11-11 Taiwan Semiconductor Mfg Method for improved aluminium-copper deposition and robust via contact resistance
JP2882352B2 (ja) 1996-04-19 1999-04-12 日本電気株式会社 半導体装置の製造方法
JP3105788B2 (ja) * 1996-07-15 2000-11-06 日本電気株式会社 半導体装置の製造方法
JP3004621B2 (ja) 1997-01-24 2000-01-31 アプライド マテリアルズ インコーポレイテッド 高温、高堆積率で膜を堆積する方法及び装置
US5989652A (en) * 1997-01-31 1999-11-23 Tokyo Electron Limited Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications
JP3381767B2 (ja) * 1997-09-22 2003-03-04 東京エレクトロン株式会社 成膜方法および半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230156292A (ko) * 2015-04-13 2023-11-14 램 리써치 코포레이션 구리를 포함하는 합금으로 이루어진 컴포넌트들을 갖는 기판 프로세싱 챔버들로 인한 구리 오염물을 감소시키기 위한 시스템들 및 방법들

Also Published As

Publication number Publication date
WO2000026952A1 (en) 2000-05-11
US6432479B2 (en) 2002-08-13
US20010003015A1 (en) 2001-06-07
KR100798552B1 (ko) 2008-01-28
JP2002529912A (ja) 2002-09-10

Similar Documents

Publication Publication Date Title
KR100798552B1 (ko) 화학 기상 증착된 필름의 인-시츄 후증착 표면 부동태화 방법
US7109114B2 (en) HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US6068729A (en) Two step process for cleaning a substrate processing chamber
KR100562206B1 (ko) 최적의낮은유전상수hdp-cvd처리를위한처방단계의시퀀싱
US6156149A (en) In situ deposition of a dielectric oxide layer and anti-reflective coating
US6589868B2 (en) Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
KR100611610B1 (ko) Hdp-cvd시스템에서의입자성능을개선하기위한시즈닝프로세스에서의산소대실란비율조절
US6802933B2 (en) Apparatus for performing self cleaning method of forming deep trenches in silicon substrates
KR100870852B1 (ko) 배면 오염의 저감을 위한 인 시츄 웨이퍼 열처리
US6125859A (en) Method for improved cleaning of substrate processing systems
US6294466B1 (en) HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
JPH10256192A (ja) 三ふっ化塩素による処理チャンバクリーニング方法および装置
JP4511721B2 (ja) インサイチュプレクリーニングステップを含むウェーハ上のチタン化学気相堆積法
KR100527811B1 (ko) Cvd챔버내의과도한알루미늄축적을최소화하기위한방법및장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Publication of correction
FPAY Annual fee payment

Payment date: 20121227

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131227

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee