JPH10256192A - 三ふっ化塩素による処理チャンバクリーニング方法および装置 - Google Patents

三ふっ化塩素による処理チャンバクリーニング方法および装置

Info

Publication number
JPH10256192A
JPH10256192A JP10043496A JP4349698A JPH10256192A JP H10256192 A JPH10256192 A JP H10256192A JP 10043496 A JP10043496 A JP 10043496A JP 4349698 A JP4349698 A JP 4349698A JP H10256192 A JPH10256192 A JP H10256192A
Authority
JP
Japan
Prior art keywords
processing chamber
gas
temperature
chamber
heater
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10043496A
Other languages
English (en)
Inventor
Shi Min
シ ミン
Kazuhiro Nishina
和宏 仁科
Aihoa Chan Steve
アイホア チャン スティーヴ
Toshiaki Fujita
敏明 藤田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH10256192A publication Critical patent/JPH10256192A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Public Health (AREA)
  • Plasma & Fusion (AREA)
  • Epidemiology (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 基板処理作業中に基板処理システム内に蓄積
された粒子および残留物を除去する方法および装置を提
供すること。 【解決手段】 1つの方法は、基板処理作業終了後、不
活性ガスで希釈したClF3から成るエッチング・ガス
を処理チャンバ15内に流す段階を含む。最大量が蓄積
される処理チャンバ内のシステム部品を加熱し、該部品
の広範なクリーニングを促進することが望ましい。処理
チャンバ内で蓄積量が少ないシステム部品は、これらを
蓄積量の多い部品より約200℃低温に維持することに
より、過剰エッチングを防止する。蓄積量の多い処理チ
ャンバ部品を少なくとも約400℃に加熱することによ
り、低温処理の場合より低いエッチング・ガス濃度を使
用して、クリーニング・プロセスを実行することができ
る。エッチング・ガスは、処理チャンバ内の粒子および
残留物の両方と反応し、粒子関連の欠陥および堆積の形
成の両方を減少する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、集積回路の製造に
関する。さらに詳しくは、本発明は、基板の処理中に発
生した粒子や残渣の蓄積を除去する方法および装置を含
む技術を提供する。本発明は、化学気相堆積処理に特に
有用であるが、プラズマ・エッチングやその他の基板処
理技術にも適用することができる。
【0002】
【従来の技術】最新の半導体デバイスの製造における主
要な段階の1つは、気相前駆物質の化学反応により半導
体基板上に薄膜を形成することである。そうした堆積プ
ロセスは、化学気相堆積法またはCVDと呼ばれる。従
来の熱CVDプロセスでは、反応ガスを基板表面へ供給
し、そこで熱による化学反応(heat-induced chemicalr
eactions)が発生し、処理中の基板の表面全体に薄膜が
形成される。1つの具体的な熱CVDの適用法として、
タングステン・ヘキサフルオライドおよびジクロロシラ
ンまたはシランおよび/または水素ならびにその他のガ
スを包含する処理用ガスからのタングステンまたはタン
グステン包含化合物(けい化タングステン等)の半導体
基板全体の堆積がある。そのようなプロセスは、導電体
層の堆積で、あるいは1つの導電体層と基板または他の
導電体層との間の配線、または1つの層内の配線の堆積
工程の一部として、しばしば使用される。
【0003】しかし、堆積は、基板上だけではなく、処
理チャンバ全体に発生する。最大量の堆積は処理チャン
バの最も高温の領域で発生し、それは一般的に基板の領
域であるが、他の領域でも、気相前駆物質に直接暴露さ
れないかなり低温の領域でさえも、多少の堆積が発生す
る。
【0004】これらの堆積は、多くの問題を引き起こす
ことがある。例えば、これがガス・ノズルの微細な穴に
詰まり、ガスの均等な流動を妨げ、処理の均等性に悪影
響を及ぼすことがある。また、処理チャンバの窓が曇
り、処理チャンバ内を見ることができなくなる。さら
に、これにより微粒子が形成され、基板上に落下し、堆
積層に欠陥を生じたり、あるいは堆積システムの機械的
動作を阻害することさえある。
【0005】こうした問題を回避するために、処理チャ
ンバの内部表面を定期的に掃除し、望ましくない堆積物
質を処理チャンバのチャンバ壁や同様の領域から除去す
る。このようなクリーニング手順は一般に、各ウェハま
たはn枚のウェハごとに堆積段階の間に実行される。手
順の1つの種類として、処理チャンバを分解し、溶液ま
たは溶媒を使用して各部を洗浄し、次に乾燥してシステ
ムを再び組み立てる方法がある。この手順は労働集約的
で時間がかかり、ウェハ製造ラインの効率が低下し、費
用が高くつく。
【0006】別の一般的な技法として、プラズマを使用
して、高周波(RF)エネルギを加えることにより、反
応性ガスの励起および/または分解を促進する方法があ
る。これらの技法では、高度活性種のプラズマを生成
し、それにより、望ましくない堆積物質と反応してチャ
ンバ壁およびその他の領域からそれをエッチングして除
去する。しかし、プラズマは一般に処理チャンバの制限
された領域内に含まれ、プラズマ境界より外部の例えば
ウェハ・ホルダの背面など、同様にクリーニングが必要
である領域で、クリーニングが促進されない。
【0007】この技法の別の一般的な問題点は、プラズ
マ・クリーニング・プロセスで使用されるエッチング・
ガスの多くが過フルオロ化合物、略して“PFC”であ
ることである。一般的によく使用されるPFCを幾つか
挙げると、CF4、C26、NF3、およびSF6、また
は同様のガスがある。これらのガスは寿命が長い(CF
4の場合5万年に及ぶ)ことが知られており、また地球
のオゾン層を破壊すると考えられている。そこで、PF
Cの大気への放出は潜在的に有害であり、政府やその他
の規制の対象となってきた。したがって、CVD反応チ
ャンバなどの半導体処理装置からのPFCの放出を低下
することが重要である。さらに、プラズマ補助クリーニ
ング・プロセスは、処理チャンバがプラズマを発生する
ように構成されていることを前提とする。多くの処理チ
ャンバは、プラズマを発生するように構成されておら
ず、この技法を使用することができない。
【0008】ふっ素分子(F2)および三ふっ化塩素
(ClF3)は、プラズマを使用しないクリーニング作
業でエッチング・ガスとして使用されてきた一部の非P
FCガスの例である。ふっ素分子は腐食性が高く、適切
な安全性水準を維持するために、二重壁の配管を使用す
るなど特殊な対策を必要とする危険ガスである。希釈し
た三ふっ化塩素は、300℃程度の比較的低温で使用さ
れてきた。しかし、このような低温クリーニング工程
は、比較的長い時間がかかる。第1に、堆積工程が一般
的に約300℃で行われるので、システムは最初に冷却
した後、処理温度まで加熱しなければならない。処理温
度とクリーニング温度の温度差が大きければ大きいほ
ど、この工程にかかる時間は長くなる。また、温度が高
くなるほど、クリーニング速度は高くなるので、クリー
ニング温度が低ければ、所定の濃度のClF3のクリー
ニング時間は長くなる。さもなけれが、より高い濃度の
ClF3を使用しなければならず、費用が高くなり、ま
た廃棄物の量が多くなる。
【0009】
【発明が解決しようとする課題】堆積は、処理チャンバ
の高温領域の方が一般的により高速で発生し、また処理
チャンバの温度は均等でないので、堆積物の蓄積は処理
チャンバ全体で一様ではない。腐食性の高いガスを、最
も厚い堆積を除去するのに充分な時間だけ処理チャンバ
内に通すだけでは、薄い堆積領域が過剰にエッチングさ
れる。処理チャンバのどの構成部品も過剰なエッチング
は望ましくないが、窓や微細なノズルなど、一部の処理
チャンバ構成部品は、エッチング・ガスの攻撃に特に弱
い。F2またはClF3を利用するこのクリーニング工程
は、堆積量の少ない処理チャンバ構成部品の過剰エッチ
ングを防げない。過剰エッチングは結果的に、処理チャ
ンバの性能を犠牲にし、保守を増大させ、そのため堆積
システムの歩留りおよびスループットを低下させる。
【0010】
【課題を解決するための手段】本発明は、処理チャンバ
内でシリコン・ウェハなどの基板上にけい化タングステ
ンなどの層を堆積する工程間に、PFCガスやプラズマ
を使用することなく、CVDやその他の種類の処理チャ
ンバを効率的にクリーニングするための方法および装置
を提供する。本発明の方法は、希釈した三ふっ化塩素
(ClF3)を処理チャンバに導入し、差異エッチング
(differential etch)工程により処理チャンバをクリ
ーニングするものである。本発明の1つの態様では、重
度堆積の領域と軽度堆積の領域との間の温度差を利用す
る。最も重度の堆積の処理チャンバ領域を最高温度まで
加熱する一方、軽度の堆積の領域を冷却することによ
り、処理チャンバを素早くクリーニングし、処理チャン
バ構成部品の過剰エッチングを少なくする。本発明の第
2の態様では、デリケートまたは堆積量の少ない処理チ
ャンバの領域を、クリーニング工程の一部分の間、非反
応性ガスで覆うことにより、差異エッチングを達成す
る。
【0011】本発明の方法の1つの好適な実施態様で
は、処理チャンバに非反応性ガスをパージしながら、処
理チャンバ外のランプ加熱器で、石英(シリカ)ガラス
の窓を通して、可視光および非可視光の両方を含む照射
光により、ウェハ・サセプタを550℃の温度まで加熱
する。パージングは、処理チャンバに取り付けられた真
空システムのスロットルバルブを開放した状態で、アル
ゴン等の非反応性ガスを処理チャンバ内へ流し込むこと
によって達成される。パージ・ガスは処理チャンバ内の
他の種と置き換わり、これらを希釈し、両方とも排気流
により排出される。次にサセプタを475℃の温度まで
加熱し、処理チャンバを約15秒間真空排気し、その後
加熱ランプの電力を、ランプが基板をあまり大きく加熱
しないように、しかしランプのフィラメントが高温に維
持されるように充分に低く設定し、それによりランプの
寿命を延長する。この状態は、ランプの「アイドリン
グ」として知られる。真空排気は、真空システムへのス
ロットルバルブを開放したままの状態で、ガス流を停止
することにより、達成される。これによりシステムが排
気される。真空排気後、希釈ClF3を処理チャンバ内
に導入し、これを合計で約200秒間、約3torrの圧力
に維持した後、処理チャンバをさらに15秒間真空排気
する。ClF3は望ましくない堆積物と反応し、揮発性
化合物を形成し、これは処理チャンバの排気口から排出
される。サセプタは最高温度にまで加熱したので、処理
チャンバの他の部品より高温であり、この領域の堆積物
は、低温の領域より高速でエッチングされる。
【0012】本発明の上記およびその他の実施形態を、
その利点および特徴と共に、添付の図面に関連して以下
でさらに詳しく記述する。
【0013】
【発明の実施の形態】
I.序論 本発明は、CVDチャンバで材料を堆積した後のCVD
チャンバの効率的なクリーニングを可能にする。本発明
は、とりわけ、タングステンまたはけい化タングステン
を使用して数枚のウェハにこの材料を堆積した後、望ま
しくないタングステンまたはけい化タングステンの堆積
および粒子を処理チャンバから除去するのに、特に適し
ている。本発明は希釈ClF3を使用して、望ましくな
い堆積を重度蓄積領域からエッチングする一方、軽度蓄
積領域の過剰エッチングを防止する。クリーニング動作
は、堆積温度に近い温度で行われるので、堆積工程とク
リーニング工程の間の処理チャンバの冷却および加熱を
待つ時間の損失がほとんど無い。望ましくない堆積をエ
ッチングした後、シラン掃気操作は、次のウェハ堆積シ
ーケンスを続ける前に、残留ClF3を処理チャンバか
ら除去するのに役立つ。
【0014】II.代表的CVD反応チャンバ 図1の(A)は、本発明に従って基板上に層を堆積する
ために使用できる、真空チャンバ15を備えた簡易化学
気相堆積(CVD)システム10の一実施形態を示す。
図1の(B)は、堆積システム10の要素の一部の詳細
図である。図1の(A)に示すように、CVDシステム
10は、面板22の微細な穴96を通してサセプタ(基
板ホルダ)12上に載置したウェハ(図示せず)へプロ
セス・ガスを分散するガス分配マニホールド106を含
む。サセプタ12は、処理されるウェハまたはその他の
基板を保持するものであり、熱反応性が高く、熱をよく
吸収し、かつ伝導する。一部の用途に適したサセプタの
材料は、ガラス質炭素、または望ましくは黒鉛である。
サセプタ12(およびサセプタ12の上部表面に支持さ
れた基板)は、下部のローディングおよびオフローディ
ング位置と、マニホールド26に近接する上部の処理位
置14またはクリーニング位置14aとの間を、制御可
能に移動できるように、支持体13上に搭載する。セン
ターボード(図示せず)は、ウェハの位置に関する情報
を提供するためのセンサを含む。
【0015】サセプタ12およびウェハがクリーニング
位置14aにある場合、環状真空マニホールド24に排
気する複数のポート23を備えたバッフル板17によ
り、周囲を取り囲まれる。エッチング・ガス、パージ・
ガス、およびキャリア・ガスが、供給管路18からガス
・パネル19へ供給され、そこからマニホールド26お
よび下部パージ・ガス入口110へ送られる。環状リン
グ112に接続された下部ガス・ノズル40の第2リン
グは、非反応性ガス103の流れを窓28の前後、サセ
プタの周囲、および処理チャンバ15の下方部分へ供給
し、これらの領域の過剰エッチングを軽減する。
【0016】図1の(B)は、マニホールド26、ガス
遮蔽板92、および面板穴96を有する面板22をさら
に明瞭に示している。図1の(B)はまたガス・マニホ
ールドの他の特徴、例えば冷却水入口82、冷却水用環
状溝84、および冷却水出口86を示している。
【0017】図2は、ガス・パネル19の1つの可能な
構成を示す。この形態では、9本の供給管路18a〜1
8iがガス・パネル19に入っている。パネル19は、
シラン(管路18g)、ジクロロシラン(DCS)(管
路18f)、タングステン・ヘキサフルオライド(WF
6)(管路18d)、ClF3(管路18a)、および5
種類のアルゴン(管路18b、18c、18e、18
h、18i)を制御する。供給管路入力は、手動バルブ
201または空気圧バルブ202により、作動したり停
止することができる。空気圧バルブは、システム制御装
置(図示せず)によって制御することができる。堆積ガ
スおよびキャリア・ガスのガス・パネル19からガス・
マニホールド(図示せず)への供給流量は、液体または
ガス質量流量制御装置203および/またはバルブによ
って制御される。質量流量制御装置(MFC)203
は、それぞれのガスの流量を制御する。ガス供給管路1
8の一部、例えばパージ管路18eは、MFCで制御す
る必要が無く、MFCを持たないように構成することが
できる。プロセスに有毒ガス、可燃性ガス、または腐食
性ガスを使用する場合、ロックアウトバルブにより危険
な同時的なガス流動を防止する。
【0018】再び図1を参照して説明すると、マニホー
ルド26へ供給されるガスは、矢印21で示すように、
放射方向の層流としてウェハに向かって通気され、ウェ
ハの表面全体に均等に分配される。また、下方のガス・
ノズル40から非反応性ガスが供給され、サセプタ12
の背面を覆い、サセプタ12とバッフル板17との間に
残る小さい間隙を通してポート23の方向に引き上げら
れ、反応性ガスをこの領域から運び去る。排気システム
は次に、真空ポンプ・システム(図示せず)によって、
ポート23を介して環状真空マニホールド24へ、そし
てさらに排気管路31へ、ガスを排気する。ガスが排気
管路31で放出される流量は、スロットルバルブ32に
よって制御される。
【0019】熱は、外部ランプ・モジュール29および
反射板45によって分配される。外部ランプ加熱モジュ
ール29は、ランプ58からのコリメートされた環状パ
ターンの可視光および赤外光27を、石英ガラス窓28
を通してサセプタ12の環状外周部へ供給する。反射板
45は熱をサセプタへ反射し、加熱効率および分布を向
上する。このような熱分布により、サセプタの自然熱損
失パターンが補償され、堆積およびクリーニングのため
の迅速かつ均等なサセプタ加熱が達成される。代替的
に、ランプ加熱システムの代わりに、電気抵抗加熱シス
テムを使用することもできる。電気抵抗加熱システム
は、電気加熱要素(図示せず)を備えた加熱器組立体
(図示せず)を含むことができる。加熱要素は、加熱器
組立体の自然熱損失パターンを補償する熱出力パターン
を達成し、結果的に均等な基板加熱が得られるように構
成することができる。
【0020】上述の処理チャンバは熱的堆積法に関連す
るが、本発明は、プラズマ堆積法を利用する処理チャン
バにも適用可能である。プラズマ堆積法では、単一また
は混合周波数RF電源のいずれかにより、基板の反応ゾ
ーンでプラズマを発生させ、基板上の堆積を促進する。
このようなプロセスでは、サセプタを黒鉛などの導電性
材料で形成すると有利である。
【0021】一般的に、処理チャンバのライニング(図
示せず)、ガス分配マニホールド面板22、支持体1
3、およびその他の様々な反応チャンバハードウェアの
いづれかまたは全ては、アルミニウムまたは陽極酸化ア
ルミニウムで形成される。上述の特徴の多くを含むCV
D装置の一例は、ワン(Wang)らに発行され、本発明の
譲受人であるアプライド・マテリアルズ・インコーポレ
イテッドに譲渡された"Process For PECVD of Silicon
Oxide Using TEOS Decomposition"と称する米国特許第
4,892,753号明細書に記載されている。なお、
その内容は、あらゆる目的のために参照によってここに
組み込むこととする。
【0022】モータ(図示せず)は、サセプタ12を処
理位置14と下方のウェハ・ローディング位置との間で
上昇および下降させる。モータおよび光学センサを使用
し、スロットルバルブ32およびサセプタ12のような
移動可能な機械的組立体を移動し、その位置を決定す
る。供給管路18、ガス分配システム、スロットルバル
ブ32、およびランプ磁石駆動体に接続された加熱器、
モータ、バルブ、または流量制御装置203は全て、制
御ライン36を通してシステム制御装置34によって制
御されるが、図には制御ライン36の一部しか示されて
いない。
【0023】システム制御装置34は、1つ以上の堆積
(処理)チャンバ15を持つCVD装置の動作の全てを
制御する。システム制御装置34は、システム制御ソフ
トウェアを実行する。これは、メモリ38などのコンピ
ュータ読出し可能な媒体に保存されたコンピュータ・プ
ログラムである。メモリ38はハードディスク・ドライ
ブであることが望ましいが、メモリ38は他の種類の記
憶装置であってもよい。コンピュータ・プログラムは、
特定のプロセスのタイミング、ガスの混合、処理チャン
バ圧力、処理チャンバ温度、RF電力レベル、サセプタ
の位置、およびその他のパラメータを指示する複数組の
命令を含む。いうまでもなく、例えばフロッピーディス
クやその他の適切なドライブをはじめとする別の記憶装
置に保存されたものなど、他のコンピュータ・プログラ
ムを使用して制御装置34を作動させることもできる。
【0024】好適な実施形態におけるシステム制御装置
は、ハードディスク・ドライブ(メモリ38)、フロッ
ピーディクス・ドライブ、およびカード・ラックを含
む。カード・ラックは、シングル・ボード・コンピュー
タ(SBC)プロセッサ37、アナログおよびデジタル
入出力ボード、インタフェース・ボード、およびステッ
パ・モータ制御ボードを含む。CVDシステム10の様
々な部品は、ボード、カード・ケージ、およびコネクタ
の寸法および種類を規定したVersa Modular European
(VME)規格に準拠する。VME規格は、16ビット
・データ・バスおよび24ビット・アドレス・バスを持
つバス構造も定義する。
【0025】図3は、1つ以上のモニタ50aを含むこ
とのできるCVDシステム10のシステム・モニタの簡
易図を示している。使用者と制御装置34との間のイン
タフェースは、CRTモニタ50aおよびライト・ペン
50bを介する。好適な実施形態では、2台のモニタ5
0aを使用し、1台をオペレータ用としてクリーン・ル
ームの壁に取り付け、もう1台を保守技術者用に壁の背
後に取り付ける。両方のモニタ50aは、同じ情報を同
時に表示するが、ライト・ペン50bは1度に1本しか
使用できない。特定の画面または機能を選択するには、
オペレータがディスプレイ画面の指定領域に触れ、ペン
50bのボタンを押す。触れた領域のハイライトされた
色が変化するか、または新しいメニューまたは画面が表
示され、ライト・ペンとディスプレイとの間の通信が確
認される。いうまでもなく、ライト・ペン50bの代わ
りに、またはそれに追加して、その他の装置、例えばキ
ーボードやマウスまたはその他のポインティング装置や
通信装置などを使用して、使用者が制御装置34と対話
することができる。
【0026】1つの実施形態では、薄膜を堆積したり、
処理チャンバをクリーニングするプロセスは、制御装置
34によって実行されるコンピュータ・プログラム製品
を使用して実現される。コンピュータ・プログラム・コ
ードは、68000アセンブリ言語、C、C++、パス
カル、フォートラン、またはそれ以外の、従来のコンピ
ュータ読出し可能な任意のプログラミング言語で書くこ
とができる。適切なプログラム・コードは従来のテキス
ト・エディタを使用して、単一のファイルまたは複数の
ファイルに入力し、コンピュータのメモリ・システムな
ど、コンピュータ使用可能な媒体に保存または実現され
る。入力したコード・テキストが高水準言語の場合、コ
ードはコンパイルされ、結果として得られるコンパイラ
・コードは次に、プリコンパイルされたウィンドウズ・
ライブラリ・ルーチンのオブジェクト・コードとリンク
される。リンクされ、コンパイルされたオブジェクト・
コードを実行するには、システム使用者がオブジェクト
・コードを呼び出し、コンピュータ・システムがコード
をメモリにロードし、そこからCPUが、プログラム内
で識別されたタスクを実行するコードを読み出して実行
する。
【0027】図4は、特定の実施形態によるシステム制
御ソフトウェアのコンピュータ・プログラム70の階層
制御構造の解説的ブロック図である。使用者は、CRT
モニタに表示されるメニューまたは画面に応答して、ラ
イト・ペン・インタフェースを使用することにより、プ
ロセス・セット番号および処理チャンバ番号をプロセス
選択サブルーチン73に入力する。プロセス・セット
は、指定されたプロセスを実行するために必要な所定の
プロセス・パラメータの組であり、予め定義されたセッ
ト番号で識別される。各プロセス・セットは、特定の動
作を実行するように一般CVDシステムを構成する。プ
ロセス・セレクタ・サブルーチン73は、(i)所望の
処理チャンバ、および(ii)処理チャンバを作動して特
定のプロセスを実行するために必要なプロセス・パラメ
ータの所望の組を識別する。特定のプロセスを実行する
ためのプロセス・パラメータは、例えばプロセス・ガス
の組成と流速(流量)、温度、圧力、冷却ガス圧、およ
び処理チャンバ壁の温度といったようなプロセス条件に
関連するものであり、レシピの形で使用者に提供され
る。プロセス・レシピによって指定されるパラメータ
は、ライト・ペン/CRTモニタ・インタフェースを利
用して入力される。
【0028】プロセスを監視するための信号は、システ
ム制御装置のアナログ入力およびデジタル入力ボードに
よって提供され、プロセスを制御する信号は、CVDシ
ステム10のアナログ出力およびデジタル出力ボードで
出力される。
【0029】プロセス・シーケンサ・サブルーチン75
は、識別された処理チャンバおよびプロセス・セレクタ
・サブルーチン73からのプロセス・パラメータの組を
受け入れるための、そして様々な処理チャンバの動作を
制御するためのプログラム・コードで構成される。複数
の使用者がプロセス・セット番号および処理チャンバ番
号を入力することができ、あるいは1人の使用者が複数
のプロセス・セット番号および処理チャンバ番号を入力
することができ、シーケンサ・サブルーチン75は、選
択されたプロセスを所望の順序に実行するようにスケジ
ューリングを行なう。シーケンサ・サブルーチン75
は、(i)処理チャンバの動作を監視して、処理チャン
バが使用されているか否かを決定する段階、(ii)使用
されている処理チャンバでどのプロセスが実行中である
かを決定する段階、および(iii)処理チャンバの利用
可能性および実行すべき処理の種類に基づき、所望のプ
ロセスを実行する段階を実行するプログラム・コードを
含むことが望ましい。
【0030】ポーリング(polling)などの従来の処理
チャンバの監視方法を使用することができる。どのプロ
セスを実行するかスケジューリングを行なうときに、シ
ーケンサ・サブルーチン75が、選択されたプロセスの
所望の処理条件に比較した使用中の処理チャンバの現在
の条件や、特定の各使用者の入力した要求の「エージ
(age)」、またはシステム・プログラマがスケジュー
リングの優先順位を決定するときに含めることを希望す
るその他の関連要素を、考慮に入れるように指定するこ
とができる。
【0031】シーケンサ・サブルーチン75でどの処理
チャンバとプロセス・セットの組合せを次に実行するか
が決定されると、シーケンサ・サブルーチン75は、シ
ーケンサ・サブルーチン75によって決定されたプロセ
ス・セットに従って、処理チャンバ15内の複数の処理
作業を制御する処理チャンバマネージャ・サブルーチン
77a〜77cへ特定のプロセス・セット・パラメータ
を渡すことによって、プロセス・セットを実行させる。
例えば、処理チャンバマネージャ・サブルーチン77a
は、処理チャンバ15におけるスパッタリングおよびC
VDプロセス動作を制御するプログラム・コードで構成
される。処理チャンバマネージャ・サブルーチン77は
また、選択されたプロセス・セットを実行するために必
要な処理チャンバ構成要素の動作を制御する様々な処理
チャンバ構成要素サブルーチンの実行をも制御する。処
理チャンバ構成要素サブルーチンの例として、基板位置
決めサブルーチン80、プロセス・ガス制御サブルーチ
ン83、圧力制御サブルーチン85、および加熱器制御
サブルーチン87がある。当該技術分野における通常の
熟練者は、処理チャンバ15でどんな処理を実行したい
かによって、他の処理チャンバ制御サブルーチンを含め
ることができることを容易に認識されるであろう。動作
中、処理チャンバマネージャ・サブルーチン77aは、
実行する特定のプロセス・セットに従ってプロセス構成
要素サブルーチンを選択的にスケジュールしたり、呼び
出す。処理チャンバマネージャ・サブルーチン77a
は、シーケンサ・サブルーチン75がどの処理チャンバ
15およびプロセス・セットを次に実行するかをスケジ
ュールするのと同様に、プロセス構成要素サブルーチン
をスケジュールする。一般的に、処理チャンバマネージ
ャ・サブルーチン77aは、様々な処理チャンバ構成要
素を監視する段階、実行すべきプロセス・セットのプロ
セス・パラメータに基づきどの構成要素を動作する必要
があるかを決定する段階、および監視段階および決定段
階に応答して処理チャンバ構成要素サブルーチンを実行
させる段階を含む。
【0032】特定の処理チャンバ構成要素サブルーチン
の動作について、次に図4に関連して説明する。この図
は、制御装置の構造を示す。基板位置決めサブルーチン
80は処理チャンバ構成要素(基板をサセプタ12にロ
ードし、任意選択的に基板を処理チャンバ15内の所望
の高さまで上昇させ、基板とガス分配マニホールド26
との間の間隔を制御するために使用される要素)を制御
するためのプログラム・コードで構成される。基板を処
理チャンバ15内へロードすると、サセプタ12は下降
して基板を受容し、その後サセプタは処理チャンバ内の
所望の高さまで上昇し、CVDプロセス中、基板をガス
分配マニホールドから第1距離または間隔の位置に維持
する。動作中、基板位置決めサブルーチン80は、処理
チャンバマネージャ・サブルーチン77aから転送され
た支持体高さに関連するプロセス・セット・パラメータ
に応答して、サセプタの動作を制御する。
【0033】プロセス・ガス制御サブルーチン83は、
プロセス・ガスの組成および流量(流速)を制御するプ
ログラム・コードを有する。プロセス・ガス制御サブル
ーチン83は、安全遮断バルブの開閉位置を制御し、ま
た所望のガス流量を得るために質量流量制御装置をラン
プアップないしはランプダウン(ramp up/down)させ
る。プロセス・ガス制御サブルーチン83は、全ての処
理チャンバ構成要素サブルーチンと同様に、処理チャン
バマネージャ・サブルーチン77aによって呼び出さ
れ、処理チャンバマネージャ・サブルーチンから所望の
ガス流量に関連するプロセス・パラメータを受け取る。
一般的に、プロセス・ガス制御サブルーチン83は、ガ
ス供給管路を開き、繰返し(i)必要な質量流量制御装
置を読み取り、(ii)読みの値を処理チャンバマネージ
ャ・サブルーチン77aから受け取った所望の流量と比
較し、(iii)ガス供給管路の流量を必要に応じて調節
することによって動作する。さらに、プロセス・ガス制
御サブルーチン83は、ガス流量が危険流量でないかを
監視する段階、および危険状態が検出されたときには安
全遮断バルブを起動する段階をも含む。
【0034】いくつかのプロセスにおいて、反応性プロ
セス・ガスを処理チャンバ内に導入する前に、アルゴン
などの不活性ガスを処理チャンバ15内に流入させ、処
理チャンバ内の圧力を安定させる。このようなプロセス
の場合、プロセス・ガス制御サブルーチン83は、処理
チャンバの圧力を安定させるのに必要な時間量だけ、処
理チャンバ15内へ不活性ガスを流入させる段階を含
み、その後上述の段階が実行されるようにプログラムす
る。
【0035】圧力制御サブルーチン85は、処理チャン
バの排気システムのスロットルバルブ32の開口の大き
さを調整することによって、処理チャンバ15内の圧力
を制御するプログラム・コードで構成される。スロット
ルバルブ32の開口の大きさは、総プロセス・ガス流
量、処理チャンバの大きさ、および排気システムのポン
ピング設定圧力に対して、処理チャンバ圧力を所望の水
準に制御するように設定する。圧力制御サブルーチン8
5を呼び出すと、所望の、または目標の圧力水準が、処
理チャンバマネージャ・サブルーチン77aからパラメ
ータとして受け渡される。圧力制御サブルーチン85
は、処理チャンバに接続された1つ以上の従来の圧力ナ
ノメータを読み出すことによって、処理チャンバ15内
の圧力を測定し、測定値を目標圧力と比較し、目標圧力
に対応する保存された圧力テーブルからPID(比例、
積分、および微分)値を得るように作動する。圧力制御
サブルーチン85は次に、圧力テーブルから得たPID
値に従って、ステッパ・モータ118を駆動してスロッ
トルバルブ32を調整する。代替的に、圧力制御サブル
ーチン85は、ステッパ・モータ118を介してスロッ
トルバルブを特定の開口サイズにまで開閉し、処理チャ
ンバ15を所望の圧力に調整するように作成することが
できる。
【0036】加熱器制御サブルーチン87は、サセプタ
12を加熱するために使用するランプ・モジュールの温
度を制御するプログラム・コードで構成される。加熱器
制御サブルーチン87もまた、処理チャンバマネージャ
・サブルーチン77aによって呼び出され、目標または
設定温度パラメータを受け取る。加熱器制御サブルーチ
ン87は、サセプタ12に配置した熱電対の電圧出力を
測定することによって温度を測定し、測定温度を設定温
度と比較し、ランプ・モジュール29に印加する電流を
増加または減少して設定温度を達成する。保存された変
換テーブル内の対応する温度を参照するか、または4次
多項式を使用して熱電対電圧から温度を計算することに
よって、熱電対電圧から温度を得る。放射ランプを使用
してサセプタ12を加熱する場合、加熱器制御サブルー
チン87はランプに印加する電流の増減を徐々に制御す
る。電流を徐々に増減することにより、ランプの寿命お
よび信頼性が向上する。さらに、プロセスの安全性準拠
性を検出するために、内蔵フェールセーフ・モードを組
み込むことができ、処理チャンバ15が適切に設定され
ていない場合、ランプ・モジュール29の動作を停止す
ることができる。
【0037】上記反応チャンバの記述は主として解説を
目的としたものであり、他の熱CVD装置や電子サイク
ロトロン共鳴(ECR)プラズマCVD装置、誘導結合
RF高密度プラズマCVD装置など、他のCVD装置を
使用することもできる。さらに、サセプタの設計、加熱
器の設計など、上述のシステムの変形も可能である。例
えば、ウェハを抵抗加熱プラテンで支持し、加熱するこ
とができる。本発明の層およびそうした層を形成する方
法は、特定の装置に限定されない。
【0038】III. ClF3エッチングを使用するCV
D処理チャンバのクリーニング 本発明の方法は、タングステン、けい化タングステン、
チタン、窒化チタン、または二酸化けい素化合物の望ま
しくない堆積を、上述の典型的CVDチャンバなどの基
板処理チャンバから除去するために、使用することがで
きる。この方法は特に、システム構成部品を過度のエッ
チングにさらすことなく、クリーニング時間を削減する
ために適用することができる。
【0039】けい化タングステンは、多段階プロセスの
中でタングステン・テトラフルオライド、シラン、ジク
ロロシラン、およびその他のガスから堆積する。けい化
タングステンの層は、ガスの熱反応により基板上に形成
される。堆積プロセスの詳細は、当業者にとって周知で
ある。なお、けい化タングステンの堆積は、堆積チャン
バからクリーニングにより除去する必要のある望ましく
ない蓄積物を形成する堆積プロセスの一例にすぎない。
【0040】本発明の方法では、三ふっ化塩素(ClF
3)蒸気を不活性ガスで希釈し、堆積またはその他の基
板処理段階の終了後に、乾式クリーニング段階として、
処理チャンバ10内へ導入する。他のエッチング・ガス
を使用することもできるが、ClF3は多くの化合物を
効率的にエッチングするので、ClF3が望ましい。こ
れは、チタンおよびチタン化合物をエッチングできる塩
素と、タングステン、タングステン化合物、および二酸
化けい素化合物をエッチングできるふっ素の両方を含む
からである。ClF3の沸点は室温よりわずかに低いの
で、ClF3は加圧容器内で液相に維持され得る。圧力
調整器が純粋ClF3蒸気を供給し、他のガスで希釈さ
れる。他のガスとして考えられるのは、特に窒素
(N2)、アルゴン(Ar)、ネオン(Ne)、または
ヘリウム(He)などである。ClF3蒸気は、他の1
種類または複数種のガスにより、全ガス濃度の約5%な
いし40%の間に希釈される。図5は、3torrの固定圧
におけるClF3の濃度に対するけい化タングステンの
エッチング・レートを示すグラフである。ClF3の濃
度は、キャリア・ガス濃度の約15%ないし25%の間
の濃度に希釈することが望ましい。
【0041】本発明の技術は、処理チャンバ内で1つの
ウェハの堆積または基板処理動作段階が実行し終わるた
びに、処理チャンバのクリーニングを行なうのに使用し
てもよく、また複数のウェハを処理した後で使用しても
よい。ウェハは一般的にサセプタより小さいので、サセ
プタの表面の一部分が堆積処理中にウェハで覆われな
い。サセプタ12は処理されるウェハより約25〜75
℃高温であるので、例えばウェハに1500オングスト
ローム(0.15μm)の層を堆積した場合、サセプタ
の覆われない表面には約2000オングストローム
(0.2μm)のけい化タングステンが蓄積される。け
い化タングステンはまた、サセプタ12の縁部や背面に
も堆積するが、背面パージ・ガスが反応性ガスの代わり
に置換されるので、その程度は一般的に、サセプタ12
の上部表面の覆われない部分より軽度である。各ウェハ
に厚さ約1500オングストローム(0.15μm)の
けい化タングステンの層を形成する処理を25枚のウェ
ハに実行した後、サセプタ12の上部表面の覆われない
部分には、約50000オングストローム(5.0μ
m)の望ましくない堆積が形成される。
【0042】しかし、ガス・マニホールド面板22は約
55〜70℃まで冷却されるので、面板22上の蓄積は
1000オングストローム(0.1μm)未満である。
面板22は、その表面に多くの微細な穴を有しており、
面板22からのガス流量特性に影響を及ぼすおそれのあ
る堆積物がこれらの穴に詰まらないように維持すること
は重要である。また、これらの微細な穴は、堆積チャン
バの他の要素より過剰エッチングを受けやすい。
【0043】図6は、本発明の方法の好適な一実施形態
を概略的に示す流れ図である。ウェハ堆積の実行がスタ
ートすると(段階301)、基板は処理チャンバにロー
ドされ、上述のけい化タングステン堆積プロセスなどの
堆積プロセスに従って、または他の処理動作によって処
理される(段階302)。基板処理動作の終了後、基板
は処理チャンバから取り出され(段階303)、クリー
ニング段階を実行すべきか否かについて、制御装置42
が決定を下す(段階304)。n枚の基板を処理するご
とに、クリーニング段階が実行される。nは1またはそ
れ以上でもよいが、この実施形態において、けい化タン
グステンを堆積するために使用する処理チャンバに対
し、nは25が望ましい。
【0044】この好適な実施形態における処理チャンバ
のクリーニングでは、処理チャンバをパージしながら、
ランプ58でサセプタ12を530℃の温度にまで加熱
する(段階306)。この段階中に、ジクロロシラン
(DCS)分配管路内にアルゴン・ガスを流し、その管
路から残留DCSおよびシランをパージする(図2のガ
ス分配管路18f、18g、18hを参照のこと)。次
に、サセプタ12の温度を475℃に維持しながら、処
理チャンバを真空引きする(段階307)。その後、加
熱器制御ループを使用不能状態にし、加熱器ランプを全
出力の固定6%でアイドリングする(段階308)。こ
れは加熱器の「アイドリング」として知られ、加熱器は
基本的に作動しない状態になる。すなわち、これはサセ
プタへの熱伝達に関する限り、加熱器は完全に作動を停
止しているのと同じである。他の実施形態では、ランプ
の種類、窓(曇り状態の程度をはじめ)、サセプタの種
類、ランプの使用年数などによって、異なるパーセンテ
ージの出力を使用することができる。さらに、設定点が
制御(測定された動作)点よりずっと低い場合には、少
なくとも最小限の電力、例えば6%の電力をランプに提
供するように、制御ループを設計することができる。こ
れにより、実際にループを使用不能状態にすることな
く、ループ(上述)を使用不能状態にしたのと同様に、
加熱器を作動停止にする機能が得られる。抵抗加熱シス
テムなど、様々な加熱システムを組み込んだ実施形態で
は、加熱器の作動を停止することを含め、その他の方法
で加熱器を作動不能状態にすることができる。
【0045】ランプ加熱器は作動を停止することもでき
るが、加熱器のアイドリングは、サセプタおよびその他
の処理チャンバ構成部品へ相当のエネルギを放射するこ
となく、ランプのフィラメントを高温に維持することに
より、加熱器ランプの寿命を延長する。これにより、ラ
ンプを完全に停止し周囲温度にまで冷却させた場合に発
生する、熱サイクルに伴う周期的応力が最小化される。
アイドリングしたフィラメントの温度は約800℃〜約
1200℃の間であると予想される。いうまでもなく、
フィラメントからサセプタへ多少のエネルギが放射され
るが、アイドリング・フィラメントの温度では、ランプ
によるサセプタの著しい加熱は行なわれない。加熱器の
アイドリングはクリーニング工程に影響を与えず、クリ
ーニングを実行する必要が無いことに、特に注目された
い。したがって、加熱器はプロセスのこの時点で完全に
停止することができる。
【0046】次に、ClF3を希釈するために使用する
アルゴン・ガスを800sccmの流量で処理チャンバ内に
流入させ、スロットルバルブ32を開放したまま、下方
のガス・ノズル40からさらに700sccmのアルゴンを
流入させる(段階310)。15秒後、処理チャンバ圧
力を3torrに設定し、下方のガス・ノズル40からの流
量を700sccmに維持したまま、200sccmのClF3
を800sccmのアルゴンで希釈する(段階311)。こ
の状態を180秒間維持し(段階312)、その後、下
方のパージ・ガス流を停止する(段階313)。このシ
ーケンスにより、堆積がより軽度の処理チャンバの下方
部分が非反応性パージ・ガスで覆われ、したがって、堆
積がより重度の処理チャンバの上方部分はクリーニング
・ガスに暴露されるが、下方部分の過剰エッチングは防
止される。いうまでもなく、このシーケンスを逆にし
て、同じ効果を達成することができる。すなわち、クリ
ーニング・ガスの開始中に下方のパージを停止し、後者
の作動を開始して、処理チャンバの下方部分を保護する
ことができる。800sccmの希釈アルゴンの流れ、およ
び200sccmのClF3により、処理チャンバ内の圧力
をさらに20秒間3torrに維持する(段階314)。次
にガスを停止し(段階315)、処理チャンバを真空引
きする(段階316)。
【0047】ここまでのこのプロセスを、以下の表1に
要約する。上述のクリーニング段階の後、残留ClF3
を掃気するために、処理チャンバ内にシラン(Si
4)を導入することが望ましい(段階317)。さら
に、サセプタおよび処理チャンバの処理ゾーンに薄い均
等な二けい化チタンの層を予め被覆し、処理中の基板の
化学物質および粒子の汚染を減少することができる(段
階318)。パージングおよび予備被覆段階の後、新し
いウェハ堆積段階を開始することができる(段階31
9)。
【0048】
【表1】 サセプタ12は一般的に蓄積の量が最大になり、またサ
セプタはクリーニングの前に直接加熱され、かつ比較的
高い熱容量を有するという両方の事実により、サセプタ
12はクリーニング・プロセス中、処理チャンバで最も
高温の部分でもあるという点に、留意することが重要で
ある。これは、最大量の蓄積がある領域付近のエッチン
グ・レートが高くなることを意味する。さらに重要なこ
とは、窓28および反射板45が両方とも比較的低温で
あり、したがってこれらの領域のエッチング・レート
は、サセプタより低いということである。窓28および
反射板は過剰エッチングに弱いので、これは重要であ
る。いずれかの構造の表面が過剰エッチングによって劣
化すると、加熱システムは効果的に作動しなくなり、部
品の交換が必要になる。その結果、交換部品のコスト以
外に、堆積システムを修理するので、ウェハ・スループ
ットの損失が発生する。
【0049】望ましくないけい化タングステンの堆積の
クリーニングにおいて、約3〜12torrの処理チャンバ
圧力および475〜550℃のサセプタにおける処理チ
ャンバ温度は、アプライド・マテリアルズ・インコーポ
レイテッド製造のランプ加熱式MCVDチャンバで、好
適な条件および充分なエッチング・レートを達成する。
異なる温度および圧力水準を使用することもでき、特定
の状況では異なる温度および圧力水準が望ましい。例え
ば、堆積したポリシリコン材のクリーニング時には、ポ
リシリコンは高い温度で堆積するので、約700℃以上
の温度を使用することができる。別の実施形態では、望
ましくないけい素、二酸化けい素、または窒化けい素の
堆積物のクリーニング時には、700〜850℃の温度
を使用することができる。
【0050】クリーニング段階の持続時間は、処理チャ
ンバ内に蓄積した残留物および粒子の量によって異な
る。クリーニング段階の持続時間は、処理チャンバ内か
ら実質的に全ての粒子および残留物が除去されるよう
に、選択することが望ましい。ClF3を導入する流量
は、ガスの費用とエッチング時間を考慮して選択する。
一般に、ClF3の流量は約200sccmとする。200s
ccmのClF3により、処理チャンバへのガス流量は、2
0%濃度の場合1000sccmであり、さらに下部のパー
ジ口から700sccmのアルゴンが処理チャンバ内へ流入
する。ClF3と不活性ガスの実際の流量は、処理チャ
ンバの堆積によって異なる。
【0051】前述の通り、本発明の方法は、上記の一般
的なけい化タングステン・プロセスで処理チャンバ内に
堆積した物質の除去だけに限定されない。本発明は、他
の前駆物質のガスを使用するけい化タングステンCVD
プロセスからの物質のクリーニングにも適用できる。本
発明のClF3化学物質は、窒化けい素、ドープトまた
はアンドープト・ポリシリコン、およびタングステンだ
けでなく様々な材料の堆積中に堆積した物質のクリーニ
ングにも適用できる。
【0052】IV.試験結果および測定 本発明による装置の動作および方法を実証するために、
けい化タングステンのエッチング・レートを温度の関数
として測定する実験を実行した。この実験は、アプライ
ド・マテリアルズ・インコーポレイテッドで製造され、
200mmウェハを装備したランプ加熱式MCVD処理チ
ャンバで実行した。
【0053】図7は、一定の処理チャンバ圧力およびガ
ス濃度におけるサセプタのセ氏温度に対する堆積けい化
タングステンのμm/分単位のエッチング・レートを示
すグラフである。第1組の実験では、けい化タングステ
ンの層を予め堆積した基板を処理チャンバ内に配置し、
約20%のClF3蒸気および80%のArを含むガス
を、処理チャンバ内に導入した。処理チャンバ内の圧力
は3torrに設定し、維持した。エッチング・レートは、
ClF3ガスに所定の時間暴露する前と後のけい化タン
グステン層の厚さを測定することによって決定した。厚
さの測定は、当業者には周知のプロフィロメータを用い
て行なった。
【0054】本発明は、クリーニング処理中に処理チャ
ンバの一部分を冷却してこれらの領域のエッチング・レ
ートを低下することにより、エッチング・レートの温度
依存性を利用する。例えば、ガス・マニホールド26の
蓋32には、蓋32内に冷却水を通す溝82、84、8
6を具備する。この冷却水は開放ループを循環するか、
または水または蓋の測定温度に基づくフィードバック・
ループに従って、制御することができる。マニホールド
面板22には多くの小さい穴があり、これらの穴をゆが
めるおそれのある過剰エッチングには弱い。面板22は
冷却蓋に接触しているので、面板22の表面は、サセプ
タの温度が550℃のときに、55〜70℃の間に冷却
される。したがって、ClF3を処理チャンバ内に流入
させるとき、サセプタ12と面板22との間には400
℃以上の温度差が存在する。図7から分かるように、こ
の結果、面板22のエッチング・レートは低下し、過剰
エッチングが防止される。同様に、本発明は、非エッチ
ング・ガスを利用して差異エッチングを達成し、処理チ
ャンバの一部の部品の過剰エッチングを防止する。窓2
8および反射板45はサセプタの下に位置するが、これ
らの領域に望ましくない堆積が多少発生する。窓28お
よび反射板45は、効率的に作動するためには、表面が
きれいでなければならない。また、これらの表面はエッ
チングによる劣化に弱い。処理チャンバのより厚い堆積
領域をクリーニングする間、これらの部分にブランケッ
ト・ガスを流すことによって、差異エッチングを達成す
る。ブランケット・ガスは物理的に、これらの領域のエ
ッチング・ガスと置き換わり、これを希釈する。図5に
示すように、濃度が低い方がエッチング・レートは低く
なる。厚い堆積物の大部分が除去された後、ブランケッ
ト・ガスの流入を停止し、エッチング・ガスを流して、
処理チャンバの残りの領域をクリーニングする。この順
序は重要ではない。
【0055】本発明の実施形態を詳細に説明したが、そ
の他の同等の、または代替的な本発明による処理チャン
バのクリーニング方法が当業者には明白であろう。そう
した同等例または代替例は、本発明の範囲内に含まれる
ものとする。
【図面の簡単な説明】
【図1】(A)は本発明による簡易化学気相堆積装置の
一実施形態の縦断面図であり、(B)は(A)に示すガ
ス・マニホールドの詳細断面図である。
【図2】処理チャンバへのガスの流入を質量流量制御装
置およびバルブによって制御するガス・パネル・ガス混
合システムの一実施形態を示す。
【図3】CVDシステム10のシステム・モニタの簡易
図である。
【図4】特定の実施形態によるシステム制御ソフトウェ
ア、コンピュータ・プログラム70の階層制御構造を示
すブロック図である。
【図5】3torrの処理チャンバ圧力および500℃の温
度で、アルゴン内のClF3蒸気のパーセント濃度に対
するけい化タングステンのマイクロメートル/分単位の
エッチング・レートを示すグラフである。
【図6】ウェハ堆積の実行間に実施される本発明の好適
な実施形態の段階を示す流れ図である。
【図7】3torrの処理チャンバ圧力で、アルゴン内の2
0%のClF3蒸気の温度に対するけい化タングステン
のマイクロメートル/分単位のエッチング・レートを示
すグラフである。
【符号の説明】
10…化学気相堆積システム、12…サセプタ、15…
処理チャンバ、18…管路、19…ガス・パネル、22
…面板、26…マニホールド、28…窓、31…排気管
路、32…スロットルバルブ、106…ガス分配マニホ
ールド。
フロントページの続き (72)発明者 仁科 和宏 日本国千葉県成田市新泉14−3野毛平工業 団地内 アプライド マテリアルズ ジャ パン 株式会社内 (72)発明者 スティーヴ アイホア チャン アメリカ合衆国, カリフォルニア州, フリーモント, リヴァーモア コモン 43241 (72)発明者 藤田 敏明 日本国千葉県成田市新泉14−3野毛平工業 団地内 アプライド マテリアルズ ジャ パン 株式会社内

Claims (30)

    【特許請求の範囲】
  1. 【請求項1】 基板処理チャンバ内から残留物を除去す
    る方法において、 (a)前記処理チャンバの第1部分を所定の出力レベル
    で加熱器により第1温度に加熱する段階と、 (b)前記加熱器を非作動状態にする段階と、 (c)三ふっ化塩素(ClF3)を含むエッチング・ガ
    スを前記処理チャンバ内に流す段階とを備える方法。
  2. 【請求項2】 前記残留物がタングステン、けい化タン
    グステンまたはこれらの組合せを含む請求項1記載の方
    法。
  3. 【請求項3】 前記処理チャンバが化学気相堆積(CV
    D)チャンバである請求項1記載の方法。
  4. 【請求項4】 前記(a)の段階の前記第1温度が約3
    00℃以上である請求項1記載の方法。
  5. 【請求項5】 前記加熱器がランプを備え、前記ランプ
    をアイドリングすることによって前記加熱器を非作動状
    態とする請求項1記載の方法。
  6. 【請求項6】 前記加熱器が抵抗加熱器を備える請求項
    1記載の方法。
  7. 【請求項7】 基板処理チャンバ内から残留物を除去す
    る方法において、 (a)前記処理チャンバの第1部分を加熱システムによ
    り第1温度に加熱しながら、処理チャンバの第2部分を
    冷却システムにより、前記第1温度より低温の第2温度
    に冷却する段階と、 (b)前記加熱システムを非作動状態にする段階と、 (c)三ふっ化塩素(ClF3)を含むエッチング・ガ
    スを前記処理チャンバ内に流す段階とを備える方法。
  8. 【請求項8】 前記処理チャンバの前記第1部分がサセ
    プタであり、前記処理チャンバの前記第2部分がガス・
    マニホールドの面板である請求項7記載の方法。
  9. 【請求項9】 前記第2温度が前記第1温度より少なく
    とも約200℃低い請求項7記載の方法。
  10. 【請求項10】 前記処理チャンバが化学気相堆積(C
    VD)チャンバである請求項7記載の方法。
  11. 【請求項11】 前記(a)の段階の前記第1温度が約
    300℃である請求項7記載の方法。
  12. 【請求項12】 前記加熱システムがランプを備え、前
    記ランプをアイドリングすることによって前記加熱シス
    テムを非作動状態にする請求項7記載の方法。
  13. 【請求項13】 前記加熱システムが抵抗加熱器を備え
    る請求項7記載の方法。
  14. 【請求項14】 前記エッチング・ガスが約30%未満
    の三ふっ化塩素(ClF3)を含む請求項7記載の方
    法。
  15. 【請求項15】 残留物がタングステン、けい化タング
    ステンまたはこれらの組合せを含む請求項7記載の方
    法。
  16. 【請求項16】 前記残留物がチタン、窒化チタン、二
    酸化けい素またはこれらの組合せを含む請求項7記載の
    方法。
  17. 【請求項17】 処理チャンバ内の基板を処理する方法
    において、 (a)前記処理チャンバ内で基板上に層を形成する段階
    と、 (b)前記処理チャンバから基板を取り出す段階と、 (c)前記処理チャンバの第1部分を加熱器により第1
    温度に加熱する段階と、 (d)前記加熱器を非作動状態にする段階と、 (e)三ふっ化塩素(ClF3)を含むエッチング・ガ
    スを前記処理チャンバ内に流して前記残留物を除去する
    段階とを備える方法。
  18. 【請求項18】 請求項17記載の方法によって製造さ
    れる集積回路電子デバイス。
  19. 【請求項19】 基板処理チャンバから残留物を除去す
    る方法において、 (a)前記処理チャンバ内に所定の圧力を確立し、維持
    する段階と、 (b)前記処理チャンバの一部分に第1時間の間、非反
    応性ガスを流す段階と、 (c)第2時間の間、前記処理チャンバ内にエッチング
    ・ガスを流す段階とを備え、前記第2時間は前記第1時
    間よりも長いものとした方法。
  20. 【請求項20】 前記非反応性ガスを流す処理チャンバ
    の前記部分がサセプタの下である請求項19記載の方
    法。
  21. 【請求項21】 前記第1時間が前記第2時間の開始部
    である請求項19記載の方法。
  22. 【請求項22】 前記第1時間が前記第2時間の終了部
    である請求項19記載の方法。
  23. 【請求項23】 前記エッチング・ガスがClF3を含
    む請求項19記載の方法。
  24. 【請求項24】 前記残留物がタングステン、けい化タ
    ングステンまたはこれらの組合せを含む請求項19記載
    の方法。
  25. 【請求項25】 前記残留物がチタン、窒化チタン、二
    酸化けい素またはこれらの組合せを含む請求項19記載
    の方法。
  26. 【請求項26】 CVD処理チャンバ内部からタングス
    テン残留物又はけい化タングステン残留物を除去する方
    法において、 (a)前記処理チャンバの第1部分をランプ加熱器によ
    り少なくとも約300℃の温度に加熱すると共に、前記
    処理チャンバの第2部分を約100℃未満に冷却する段
    階と、 (b)前記加熱器を非作動状態にする段階と、 (c)前記処理チャンバの第3部分に非反応性ガスを流
    す段階であって、前記第3部分は前記第2部分と同じか
    または異なるものとした段階と、 (d)前記処理チャンバ内に約12torr未満の圧力を確
    立する段階と、 (e)三ふっ化塩素を含むエッチング・ガスを前記処理
    チャンバ内に流す段階と、 (f)第1時間を待つ段階と、 (g)前記非反応性ガスの前記流入を停止する段階と、 (h)第2時間を待つ段階とを備える方法。
  27. 【請求項27】 処理チャンバ内で集積回路を製造する
    方法において、 (a)ウェハを前記処理チャンバ内に導入する段階と、 (b)前記処理チャンバ内で前記ウェハへの処理作業を
    実行し、前記処理作業から望ましくない残留物が前記処
    理チャンバの領域に形成される段階と、 (c)前記ウェハを前記処理チャンバから取り出す段階
    と、 (d)前記処理チャンバの第1部分を少なくとも400
    ℃の温度に加熱すると共に、前記処理チャンバの第2部
    分を少なくとも約200℃未満に冷却する段階と、 (e)三ふっか塩素(ClF3)を含むエッチング・ガ
    スを前記処理チャンバ内に流す段階と、 (f)前記処理チャンバ内の圧力を少なくとも約12to
    rr未満に設定し、維持する段階とを備える方法。
  28. 【請求項28】 前記処理作業が、けい化タングステ
    ン、タングステンまたはこれらの組合せの化学気相堆積
    を含む請求項27記載の方法。
  29. 【請求項29】 前記処理作業がチタン、窒化チタン、
    二酸化けい素またはこれらの組合せの化学気相堆積を含
    む請求項27記載の方法。
  30. 【請求項30】 (a)処理チャンバと、 (b)前記処理チャンバ内に配置された基板ホルダと、 (c)前記処理チャンバにガスを流入するように構成さ
    れたガス分配システムと、 (d)前記処理チャンバ内に選択された圧力を設定し維
    持するように構成された真空システムと、 (e)前記基板ホルダを加熱するように構成された加熱
    システムと、 (f)前記ガス分配システム、前記真空システムおよび
    前記加熱システムを制御するように構成された制御装置
    と、 (g)前記制御装置に結合されたメモリであって、当該
    クリーニング装置の動作を支持するためにコンピュータ
    内で実現されるコンピュータ読出し可能なプログラムを
    有するコンピュータ読出し可能な媒体を含むメモリとを
    備え、 前記コンピュータ読出し可能なプログラムが、 (i)前記加熱システムを制御して前記基板ホルダを選
    択された温度に加熱するための第1組の命令と、 (ii)前記加熱システムを制御して前記基板ホルダの加
    熱を停止するための第2組の命令と、 (iii)前記ガス分配システムを制御して前記処理チャ
    ンバの一部分へ第1時間だけ非反応性ガスを流入させる
    ための第3組の命令と、 (iv)前記ガス分配システムを制御して、ClF3を含
    むエッチング・ガスを前記処理チャンバ内へ第2時間だ
    け流入されるための第4組の命令であって、前記第1時
    間が前記第2時間より短い前記第4組の命令と、 (v)前記真空システムを制御して前記処理チャンバ内
    の圧力を選択された圧力に確立し維持するための第5組
    の命令とを含むことを特徴とするクリーニング装置。
JP10043496A 1997-02-25 1998-02-25 三ふっ化塩素による処理チャンバクリーニング方法および装置 Pending JPH10256192A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/805,459 US5849092A (en) 1997-02-25 1997-02-25 Process for chlorine trifluoride chamber cleaning
US08/805459 1997-02-25

Publications (1)

Publication Number Publication Date
JPH10256192A true JPH10256192A (ja) 1998-09-25

Family

ID=25191624

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10043496A Pending JPH10256192A (ja) 1997-02-25 1998-02-25 三ふっ化塩素による処理チャンバクリーニング方法および装置

Country Status (2)

Country Link
US (2) US5849092A (ja)
JP (1) JPH10256192A (ja)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000019491A1 (en) * 1998-09-30 2000-04-06 Applied Materials, Inc. Method for cleaning a process chamber
JP2000156354A (ja) * 1998-05-20 2000-06-06 Samsung Electronics Co Ltd タングステンシリサイド蒸着工程における微粒子汚染物を除去するための方法及び装置
JPWO2004006316A1 (ja) * 2002-07-05 2005-11-10 東京エレクトロン株式会社 基板処理装置のクリーニング方法および基板処理装置
JP2006278594A (ja) * 2005-03-29 2006-10-12 Hitachi Kokusai Electric Inc 半導体装置の製造方法
WO2008007675A1 (fr) * 2006-07-11 2008-01-17 Tokyo Electron Limited procédé de formation de film, procédé de nettoyage, et dispositif de formation de film
US7453096B2 (en) 2001-03-27 2008-11-18 Ricoh Company, Ltd. Method of fabricating a semiconductor light-emitting device
US7518161B2 (en) 2001-03-27 2009-04-14 Ricoh Company, Ltd. Semiconductor light-emitting device, surface-emission laser diode, and production apparatus thereof, production method, optical module and optical telecommunication system
JP2010059522A (ja) * 2008-09-05 2010-03-18 Tokyo Electron Ltd 成膜方法及び成膜装置
WO2011013810A1 (ja) * 2009-07-31 2011-02-03 株式会社 アルバック 半導体装置の製造方法及び半導体装置の製造装置
US7968362B2 (en) 2001-03-27 2011-06-28 Ricoh Company, Ltd. Semiconductor light-emitting device, surface-emission laser diode, and production apparatus thereof, production method, optical module and optical telecommunication system
KR20130093689A (ko) * 2004-06-17 2013-08-22 도쿄엘렉트론가부시키가이샤 챔버 세정 공정을 제어하기 위한 방법 및 그 처리 시스템
KR101366947B1 (ko) * 2011-07-06 2014-02-24 도쿄엘렉트론가부시키가이샤 텅스텐 막의 성막 방법

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5855677A (en) * 1994-09-30 1999-01-05 Applied Materials, Inc. Method and apparatus for controlling the temperature of reaction chamber walls
US6127269A (en) * 1996-11-12 2000-10-03 Taiwan Semiconductor Manufacturing Company Method for enhancing sheet resistance uniformity of chemical vapor deposited (CVD) tungsten silicide layers
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
EP0883162A3 (en) * 1997-06-05 2001-04-18 Sizary Limited Semiconductor wafer cleaning apparatus
US6274058B1 (en) * 1997-07-11 2001-08-14 Applied Materials, Inc. Remote plasma cleaning method for processing chambers
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US6451686B1 (en) * 1997-09-04 2002-09-17 Applied Materials, Inc. Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6071353A (en) * 1997-10-31 2000-06-06 Applied Materials, Inc. Protection of consumable susceptor during etch by a second coating of another consumable material
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6201219B1 (en) * 1998-02-25 2001-03-13 Micron Technology, Inc. Chamber and cleaning process therefor
US6168672B1 (en) * 1998-03-06 2001-01-02 Applied Materials Inc. Method and apparatus for automatically performing cleaning processes in a semiconductor wafer processing system
US6067999A (en) * 1998-04-23 2000-05-30 International Business Machines Corporation Method for deposition tool cleaning
US6254717B1 (en) * 1998-04-23 2001-07-03 Sandia Corporation Method and apparatus for monitoring plasma processing operations
JP4459329B2 (ja) * 1999-08-05 2010-04-28 キヤノンアネルバ株式会社 付着膜の除去方法及び除去装置
US6824825B2 (en) * 1999-09-13 2004-11-30 Tokyo Electron Limited Method for depositing metallic nitride series thin film
US6399507B1 (en) 1999-09-22 2002-06-04 Applied Materials, Inc. Stable plasma process for etching of films
US6500356B2 (en) * 2000-03-27 2002-12-31 Applied Materials, Inc. Selectively etching silicon using fluorine without plasma
US20030010354A1 (en) * 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
US6666924B1 (en) 2000-03-28 2003-12-23 Asm America Reaction chamber with decreased wall deposition
US6564810B1 (en) 2000-03-28 2003-05-20 Asm America Cleaning of semiconductor processing chambers
JP4348835B2 (ja) * 2000-05-26 2009-10-21 東京エレクトロン株式会社 クリーニング方法
EP1167568B1 (en) * 2000-06-21 2006-06-07 Tokyo Electron Limited Heat treatment apparatus and cleaning method of the same
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
KR100444149B1 (ko) 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
JP3598054B2 (ja) * 2000-11-06 2004-12-08 東京エレクトロン株式会社 塗布膜形成装置
JP4669605B2 (ja) * 2000-11-20 2011-04-13 東京エレクトロン株式会社 半導体製造装置のクリーニング方法
US6585830B2 (en) * 2000-11-30 2003-07-01 Agere Systems Inc. Method for cleaning tungsten from deposition wall chambers
TWI237066B (en) * 2000-12-14 2005-08-01 Mosel Vitelic Inc A method of prevent an etcher from being eroded
US6843258B2 (en) * 2000-12-19 2005-01-18 Applied Materials, Inc. On-site cleaning gas generation for process chamber cleaning
WO2002065532A1 (fr) * 2001-02-15 2002-08-22 Tokyo Electron Limited Procede de traitement de piece et dispositif de traitement
US6584987B1 (en) 2001-03-16 2003-07-01 Taiwan Semiconductor Manufacturing Company Method for improved cleaning in HDP-CVD process with reduced NF3 usage
US6564811B2 (en) * 2001-03-26 2003-05-20 Intel Corporation Method of reducing residue deposition onto ash chamber base surfaces
US6770214B2 (en) 2001-03-30 2004-08-03 Lam Research Corporation Method of reducing aluminum fluoride deposits in plasma etch reactor
US6810886B2 (en) * 2001-05-24 2004-11-02 Applied Materials, Inc. Chamber cleaning via rapid thermal process during a cleaning period
KR20080103609A (ko) * 2001-05-30 2008-11-27 에이에스엠 아메리카, 인코포레이티드 저온 로딩 및 소성
US20050020071A1 (en) * 2001-07-31 2005-01-27 Jun Sonobe Method and apparatus for cleaning and method and apparatus for etching
US20030068434A1 (en) * 2001-08-21 2003-04-10 Moore James B. Method for bonding thermoplastic films to metal surfaces of cylinders, vessels and component parts
WO2003062490A2 (en) * 2002-01-17 2003-07-31 Sundew Technologies, Llc Ald apparatus and method
US6920891B2 (en) * 2002-10-05 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Exhaust adaptor and method for chamber de-gassing
US20040261946A1 (en) * 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
US20050019963A1 (en) * 2003-07-21 2005-01-27 Texas Instruments Incorporated Maintaining a reactor chamber of a chemical vapor deposition system
US7628861B2 (en) * 2004-12-17 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US7628860B2 (en) * 2004-04-12 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
JP2006253696A (ja) * 2005-03-10 2006-09-21 Asm America Inc ガスインジェクタ制御システム
WO2007112058A2 (en) * 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
WO2007116768A1 (ja) * 2006-03-27 2007-10-18 Hitachi Kokusai Electric Inc. 半導体装置の製造方法及び基板処理装置
CN101415865B (zh) * 2006-04-07 2015-10-07 应用材料公司 用于外延膜层形成的集束型设备
EP2021525A2 (en) * 2006-04-07 2009-02-11 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
KR101160930B1 (ko) 2006-07-31 2012-06-29 어플라이드 머티어리얼스, 인코포레이티드 카본-함유 실리콘 에피택셜 층을 형성하는 방법
JP5175285B2 (ja) 2006-07-31 2013-04-03 アプライド マテリアルズ インコーポレイテッド エピタキシャル層形成中の形態制御方法
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US20080289650A1 (en) * 2007-05-24 2008-11-27 Asm America, Inc. Low-temperature cleaning of native oxide
US7867921B2 (en) * 2007-09-07 2011-01-11 Applied Materials, Inc. Reduction of etch-rate drift in HDP processes
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US8067061B2 (en) 2007-10-25 2011-11-29 Asm America, Inc. Reaction apparatus having multiple adjustable exhaust ports
US8118946B2 (en) 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US20090163033A1 (en) * 2007-12-21 2009-06-25 Guowen Ding Methods for extending chamber component life time
US7871937B2 (en) 2008-05-16 2011-01-18 Asm America, Inc. Process and apparatus for treating wafers
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US9885123B2 (en) 2011-03-16 2018-02-06 Asm America, Inc. Rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US8956683B2 (en) 2011-06-16 2015-02-17 Zimmer, Inc. Chemical vapor infiltration apparatus and process
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
SE536165C2 (sv) * 2012-01-05 2013-06-11 Bencar Ab System för styrning av miljö i en reaktionsbox
DE102012102661B4 (de) * 2012-03-28 2024-01-18 Aixtron Se Verfahren zum Reinigen der Wände einer Prozesskammer eines CVD-Reaktors
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP5941491B2 (ja) * 2014-03-26 2016-06-29 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びにプログラム
US10253412B2 (en) * 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US10032670B2 (en) 2016-06-14 2018-07-24 Infineon Technologies Ag Plasma dicing of silicon carbide
JP6823533B2 (ja) * 2017-04-24 2021-02-03 東京エレクトロン株式会社 チタンシリサイド領域を形成する方法
CN112390523A (zh) * 2019-08-13 2021-02-23 斯特里特技术有限公司 用于制造气化二氧化硅颗粒的系统
JP2021042409A (ja) * 2019-09-09 2021-03-18 東京エレクトロン株式会社 プラズマ処理装置及び温度制御方法
JP7418309B2 (ja) * 2020-09-16 2024-01-19 東京エレクトロン株式会社 プラズマパージ方法
US20220307129A1 (en) * 2021-03-23 2022-09-29 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
JP3326538B2 (ja) * 1991-10-24 2002-09-24 東京エレクトロン株式会社 コールドウォール形成膜処理装置
JPH06330323A (ja) * 1993-05-18 1994-11-29 Mitsubishi Electric Corp 半導体装置製造装置及びそのクリーニング方法
US5486235A (en) * 1993-08-09 1996-01-23 Applied Materials, Inc. Plasma dry cleaning of semiconductor processing chambers
US5609721A (en) * 1994-03-11 1997-03-11 Fujitsu Limited Semiconductor device manufacturing apparatus and its cleaning method
JPH08319586A (ja) * 1995-05-24 1996-12-03 Nec Yamagata Ltd 真空処理装置のクリーニング方法

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000156354A (ja) * 1998-05-20 2000-06-06 Samsung Electronics Co Ltd タングステンシリサイド蒸着工程における微粒子汚染物を除去するための方法及び装置
US6242347B1 (en) 1998-09-30 2001-06-05 Applied Materials, Inc. Method for cleaning a process chamber
US6482746B2 (en) 1998-09-30 2002-11-19 Applied Materials, Inc. Computer readable medium for controlling a method of cleaning a process chamber
WO2000019491A1 (en) * 1998-09-30 2000-04-06 Applied Materials, Inc. Method for cleaning a process chamber
US7518161B2 (en) 2001-03-27 2009-04-14 Ricoh Company, Ltd. Semiconductor light-emitting device, surface-emission laser diode, and production apparatus thereof, production method, optical module and optical telecommunication system
US8293555B2 (en) 2001-03-27 2012-10-23 Ricoh Company, Ltd. Semiconductor light-emitting device, surface-emission laser diode, and production apparatus thereof, production method, optical module and optical telecommunication system
US7968362B2 (en) 2001-03-27 2011-06-28 Ricoh Company, Ltd. Semiconductor light-emitting device, surface-emission laser diode, and production apparatus thereof, production method, optical module and optical telecommunication system
US7453096B2 (en) 2001-03-27 2008-11-18 Ricoh Company, Ltd. Method of fabricating a semiconductor light-emitting device
JPWO2004006316A1 (ja) * 2002-07-05 2005-11-10 東京エレクトロン株式会社 基板処理装置のクリーニング方法および基板処理装置
KR20130093689A (ko) * 2004-06-17 2013-08-22 도쿄엘렉트론가부시키가이샤 챔버 세정 공정을 제어하기 위한 방법 및 그 처리 시스템
JP4703230B2 (ja) * 2005-03-29 2011-06-15 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP2006278594A (ja) * 2005-03-29 2006-10-12 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JPWO2008007675A1 (ja) * 2006-07-11 2009-12-10 東京エレクトロン株式会社 成膜方法、クリーニング方法、および成膜装置
WO2008007675A1 (fr) * 2006-07-11 2008-01-17 Tokyo Electron Limited procédé de formation de film, procédé de nettoyage, et dispositif de formation de film
US8021717B2 (en) 2006-07-11 2011-09-20 Tokyo Electron Limited Film formation method, cleaning method and film formation apparatus
JP2010059522A (ja) * 2008-09-05 2010-03-18 Tokyo Electron Ltd 成膜方法及び成膜装置
WO2011013810A1 (ja) * 2009-07-31 2011-02-03 株式会社 アルバック 半導体装置の製造方法及び半導体装置の製造装置
JP5389924B2 (ja) * 2009-07-31 2014-01-15 株式会社アルバック 半導体装置の製造方法及び半導体装置の製造装置
KR101366947B1 (ko) * 2011-07-06 2014-02-24 도쿄엘렉트론가부시키가이샤 텅스텐 막의 성막 방법

Also Published As

Publication number Publication date
US5849092A (en) 1998-12-15
US5926743A (en) 1999-07-20

Similar Documents

Publication Publication Date Title
JPH10256192A (ja) 三ふっ化塩素による処理チャンバクリーニング方法および装置
US6068729A (en) Two step process for cleaning a substrate processing chamber
US6125859A (en) Method for improved cleaning of substrate processing systems
KR100798552B1 (ko) 화학 기상 증착된 필름의 인-시츄 후증착 표면 부동태화 방법
US6589868B2 (en) Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
EP0892083B1 (en) Method and apparatus for seasoning a substrate processing chamber
JP4484997B2 (ja) 加速プラズマ洗浄
JP4176864B2 (ja) 四弗化珪素/酸素の化学作用を用いて低誘電率のSi−O−F膜を堆積させる方法
US6271148B1 (en) Method for improved remote microwave plasma source for use with substrate processing system
US6020035A (en) Film to tie up loose fluorine in the chamber after a clean process
US6482746B2 (en) Computer readable medium for controlling a method of cleaning a process chamber
US20060219169A1 (en) Hdp-cvd seasoning process for high power hdp-cvd gapfil to improve particle performance
JPH1116845A (ja) チャンバシーズニング膜堆積を使用したhdp−cvdチャンバ内の移動イオン及び金属の汚染の低減
JPH1174258A (ja) プラズマ清浄プロセス中の終点を求める方法及び装置
JP2002507054A (ja) 遠隔プラズマクリーニング装置
KR100527811B1 (ko) Cvd챔버내의과도한알루미늄축적을최소화하기위한방법및장치
JP4511721B2 (ja) インサイチュプレクリーニングステップを含むウェーハ上のチタン化学気相堆積法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050218

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081125

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090421