JP2002057114A - 遠隔プラズマ発生器の半導体処理チャンバとの統合化 - Google Patents

遠隔プラズマ発生器の半導体処理チャンバとの統合化

Info

Publication number
JP2002057114A
JP2002057114A JP2001171771A JP2001171771A JP2002057114A JP 2002057114 A JP2002057114 A JP 2002057114A JP 2001171771 A JP2001171771 A JP 2001171771A JP 2001171771 A JP2001171771 A JP 2001171771A JP 2002057114 A JP2002057114 A JP 2002057114A
Authority
JP
Japan
Prior art keywords
gas
remote plasma
inlet
processing chamber
plasma generator
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001171771A
Other languages
English (en)
Other versions
JP5214832B2 (ja
Inventor
Karthik Janakiraman
ヤナキラマン カーシック
Kelly Fong
フォン ケリー
Chen-An Chen
アン チェン チェン
Paul Le
リ ポール
Rong Pan
パン ロン
Shankar Venkataraman
ヴェンカタラマン シャンカー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002057114A publication Critical patent/JP2002057114A/ja
Application granted granted Critical
Publication of JP5214832B2 publication Critical patent/JP5214832B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel

Abstract

(57)【要約】 【課題】 小型密閉式遠隔プラズマ発生器が、半導体処
理チャンバの蓋の上に搭載され、統合化された基板処理
装置を構成する。 【解決手段】 遠隔プラズマ発生器は、洗浄工程中に作
動され、洗浄プラズマ種を発生して、過フッ化炭化水素
排出を低減する。三方バルブが、チャンバへのガス流れ
を調節可能に制御する。洗浄工程の間に、三方バルブ
は、洗浄プラズマ前駆物質を第1ガス管路から遠隔プラ
ズマ発生器に導き、洗浄プラズマ種を発生しそれがチャ
ンバ中の堆積物を洗浄するためにチャンバに流される。
蒸着工程の間に、三方バルブは、遠隔プラズマ発生器を
迂回して第1処理ガスを第1ガス管路からチャンバに導
く。第1処理ガスは、チャンバ内に配置された基板上に
層を蒸着するためにチャンバに導入される前に、一般的
に混合デバイス中で第2ガス管路から供給される第2処
理ガスと混合される。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、一般的に基板処理
用の装置に関し、より詳細には、小型密閉式遠隔プラズ
マ発生器の基板処理装置との統合化に関する。
【0002】
【従来の技術】集積回路のような半導体製品の製作は、
シリコン・ウエハのような基板上に層を形成することを
しばしば必要とする。層には異なる材料が含まれること
がしばしばあるので、蒸着プロセスのための様々な技術
がいままで開発されてきた。例えば、金属の層が蒸着さ
れパターン化されて、導電性相互接続を形成することが
可能であるか、または絶縁層が形成されて導電性の層を
互いに電気的に絶縁することが可能であった。絶縁材料
あるいはその他の材料の層を形成するために用いられて
きた層形成プロセスの種類の中に化学蒸着(CVD)プ
ロセスがある。
【0003】CVDプロセスは、電磁エネルギーを少な
くとも1つの前駆物質ガスまたは蒸気に作用させて前駆
物質をより反応性のプラズマに変化させるプラズマ増強
CVD(“PECD”)プロセスだけでなく、前駆物質
ガスまたは蒸気が基板の加熱された表面に応じて反応す
る熱蒸着プロセスを含む。プラズマを形成することで薄
膜を形成するのに必要とされる温度を下げることが可能
になり、膜形成の速度を増大させるかまたは膜形成の速
度を増大と温度を下げることが可能になる。従って、プ
ラズマ増強プロセスが望ましい応用範囲は広い。
【0004】基板上に層が形成されるとき、いくらかの
物質が残渣として普通蒸着チャンバの壁面及び蒸着装置
の他の構成部品に堆積する。残渣は堆積して微粒状汚染
の発生源となり、ウエハの不合格の原因となる可能性が
あるので、チャンバの壁面上の物質は、一般に望ましく
ない。チャンバの内部から残渣を除去するためのいくつ
かの洗浄方法が今まで開発されてきている。“ウエット
洗浄”として知られている1つの方法が、蒸着チャンバ
を部分的に分解して、表面を好適な洗浄液を用いて清拭
することにより実施される。他の種類の洗浄方法は、プ
ラズマを用いて残渣を、チャンバの排気装置から取り出
すことができる揮発性の生成物に転換することで残渣を
除去する。これらのプロセスは“ドライ”洗浄として知
られている。
【0005】2つの一般的な種類のプラズマドライ洗浄
プロセスがある。1方の種類は、処理チャンバの内部、
つまり“その場で”プラズマを形成する。“その場で”
のプラズマ洗浄の実例は、NF3及びC26ガスを用い
てチャンバ内部の残渣を除去するために遊離フッ素を生
成する。他方の種類は、遠隔プラズマ発生器中にプラズ
マを生成し、次いでイオンを処理チャンバ中に流す。か
かる遠隔プラズマ洗浄プロセスは、“その場で”のプラ
ズマ装置を備えていない蒸着装置にドライ洗浄機能を施
すような幾つかの長所を備えている。さらに、遠隔プラ
ズマ装置は、洗浄プラズマ前駆物質ガスまたは蒸気をプ
ラズマに転換するのにより効率的である可能性があり、
またチャンバの外部でプラズマを生成することで、プラ
ズマ加熱及びスパッタ作用のようなプラズマ生成過程で
の潜在的に望ましくない副産物からチャンバの内部を保
護する。遠隔プラズマ装置を用いることで、また過フッ
化炭化水素(PFC)排出も減少する。一方、CVD装
置に適した遠隔プラズマ装置は、一般に高価でかつ嵩張
るので非実用的であり経済的見地からして実現不可能で
ある可能性がある。遠隔プラズマ発生器付きの現用のC
VD装置を改造するには、費用と時間がかかるかなりの
変更を必要とする可能性がある。
【0006】
【発明が解決しようとする課題】本発明は、小型密閉式
の低コストの遠隔プラズマ発生器の基板処理装置との統
合化を目的としている。
【0007】
【課題を解決するための手段】特定の実施形態におい
て、遠隔プラズマ発生器は、処理チャンバ内に配置され
た基板保持具の上部の処理チャンバの蓋に搭載される。
ガス供給装置が設けられて、洗浄工程において洗浄プラ
ズマ先駆物質を遠隔プラズマ発生器を通して処理チャン
バに導き、洗浄プラズマ種を発生してチャンバを洗浄す
る。蒸着の間に、ガス供給装置は、遠隔プラズマ発生器
を迂回しながら、1つあるいはそれ以上の処理ガスを処
理チャンバ中に導く。混合デバイスが、洗浄工程の間に
遠隔プラズマ発生器から処理チャンバへの洗浄プラズマ
種のための短い直接の流路、及び蒸着プロセスの間に複
数の処理ガスを混合するための長い混合流路をモータら
す。統合化は、現用の処理チャンバに些細な変更をする
必要があるだけで、最小限度の装置休止時間のうちに低
コストでかかるチャンバを改造するのに特に適してい
る。統合化すれば、充分に頑丈であるので異なるチャン
バ構成に適応性がある。過フッ化炭化水素排出をかなり
減少させるほかに、統合化された装置は、洗浄回数を減
らすことで処理能力を高め、従って運転費用を低減す
る。統合化された装置は、“その場で”のプラズマ洗浄
プロセスにより引き起こされるチャンバ内部への有害な
影響を排除することでCVD装置の寿命を延ばすことも
併せて可能になる。
【0008】本発明の態様によると、基板処理装置は、
処理チャンバを画定するハウジング、及び処理チャンバ
中での基板処理の間に基板を支持するための基板支持体
を含む。装置は、入口及び出口を有する遠隔プラズマ発
生器並びにガスを処理チャンバ中に導入するためのガス
供給装置をさらに含む。ガス供給装置は、バルブ入口、
第1バルブ出口及び第2バルブ出口を有する三方バルブ
を含む。三方バルブは、バルブ入口から第1バルブ出口
への第1バルブ流路及びバルブ入口から第2バルブ出口
への第2バルブ流路の間の流れを切り換えるのに調節可
能である。第1入口流れ導管は、遠隔プラズマ発生器の
出口及び処理チャンバの間に連結される。遠隔プラズマ
導管は、三方バルブの第1バルブ出口及び遠隔プラズマ
発生器の入口の間に連結される。第2入口流れ導管は、
三方バルブの第2バルブ出口及び処理チャンバの間に連
結される。
【0009】実施形態の中には、ガス供給装置が、処理
チャンバと連結された第3入口流れ導管を含むものがあ
る。ガス供給装置は、遠隔プラズマ発生器からのガス流
れを第1入口流れ導管を通してチャンバへ導くための第
1流路を有する混合ブロックを含む。混合ブロックは、
第2入口流れ導管及び処理チャンバの間に連結された第2
流路並びに第3入口流れ導管及び処理チャンバの間に連
結された第3流路を含む。混合ブロック中の第2及び第
3流路は、少なくとも部分的にオーバラップして多数の
屈曲部を含み、第2及び第3入口流れ導管からの処理ガ
スを混合させる。
【0010】好ましい実施形態において、遠隔プラズマ
発生器は、チャンバ中の基板支持体のほぼ上方に配置さ
れたハウジングの蓋上に搭載される。セラミック絶縁体
が、遠隔プラズマ発生器及び処理チャンバの間に連結さ
れ、遠隔プラズマ発生器及び処理チャンバの間のガス流
れを促進する流路を含む。チャンバ中の“その場で”の
プラズマプロセスの間に、処理ガスは、遠隔プラズマ発
生器の方に逆流する可能性がある。一方の側面でのチャ
ンバのRFが流れている構成部品及び他方の側面での遠
隔プラズマ発生器をチャンバに取り付けるための接地さ
れた取付け金具との間に処理ガスが存在すれば、その領
域に二次プラズマを生じる可能性がある。二次プラズマ
は、チャンバの内側のプラズマの不安定性を引き起こ
し、蒸着に悪影響を及ぼす可能性がある。セラミック絶
縁体は、チャンバのRFが流れている構成部品を接地さ
れた取付け金具から絶縁し二次プラズマの生成を防止す
る。
【0011】本発明のなおもう1つの態様によると、基
板処理装置は、処理チャンバを画定するハウジング、処
理チャンバ中での基板処理の間に基板を支持するための
基板支持体、及び遠隔プラズマ発生器を含む。この装置
は、第1ガス管路、第2ガス管路、第1ガス用の第1ガ
ス供給源、第2ガス用の第2ガス供給源、及び第3ガス
用の第3ガス供給源をさらに含む。第1ガス供給源を第
1ガス管路に連結するためのデバイスが設けられて、第
1工程の間に第1ガスを第1ガス管路及び遠隔プラズマ
発生器を通して処理チャンバに流す。第2工程の間に、
このデバイスは、第2ガス供給源を第1ガス管路に連結
し、第2ガスを第1ガス管路を通して遠隔プラズマ発生
器を迂回して処理チャンバに流し、第3ガス供給源を第
2ガス管路に連結し、第3ガスを処理チャンバ中に流
し、また第2及び第3ガスを処理チャンバ中に流す前に
第2ガス及び第3ガスを一緒に混合する。
【0012】
【発明の実施の形態】I.例示的基板処理装置 本発明の方法が実行され得る1つの好適な基板処理装置
が、CVD装置10の垂直断面図である図1A及び図1
Bに示され、CVD装置10はチャンバ壁面15a及び
チャンバ蓋組立体15bを含む真空あるいは処理チャン
バ15を有するる。チャンバ壁面15a及びチャンバ蓋
組立体15bは、図1C及び図1Dの分解斜視図に示さ
れる。
【0013】A.処理チャンバ CVD装置10は、処理チャンバ15の内部の中央に置
かれた加熱された受け台12に載置されている基板(図
示せず)に処理ガスを分散させるガス分配マニホルド1
1を含む。加工処理の間、基板(例えば、半導体ウエ
ハ)は、受け台12の平坦な(またはやや凸状の)表面
12aに置かれる。受け台12は、下方の装填/取出位
置(図1Aに示される)及びマニホルド11に接近して
隣接する上方の加工処理位置(図1Aに破線14で示さ
れ、また図1Bに示される)の間を制御可能に移動でき
る。センタボード(図示せず)は、ウエハの位置に関す
る情報を得るためのセンサを含む。
【0014】蒸着ガス及びキャリアガスは、従来の平坦
な円形のガス分配前面板13aの貫通孔13b(図1
D)を通してチャンバ15中に取り入れられる。より具
体的に言えば、蒸着処理ガスは、(図1Bに矢印40に
より示される)入口マニホルド11を通して、従来の多
孔の阻止プレート42を通して、次いでガス分配前面板
13a中の孔13bを通してチャンバ中に流れ込む。
【0015】マニホルド11に到達する前に、蒸着ガス
及びキャリアガスは、ガス源7からガス供給管路8(図
1B)を通して混合装置9中に投入され、そこでそれら
ガスが混合されて、その後マニホルド11に送られる。
一般的に、各処理ガスの供給管路は、(i)処理ガスの
チャンバ中への流れを自動的にまたは手動で遮断するの
に用いることができる幾つかの安全遮断弁(図示せ
ず)、及び(ii)供給管路を通してのガスの流れを測
定する質量流量制御装置(これも図示せず)とを含む。
有毒なガスがプロセス中に用いられる場合には、幾つか
の安全遮断弁は、従来の構成中の各ガス供給管路上に配
置される。
【0016】CVD装置10において実行される蒸着プ
ロセスは、熱プロセスまたはプラズマ増強プロセスのど
ちらかにすることが可能である。プラズマ増強プロセス
においては、高周波(RF)電源44が、ガス分配前面
板13a及び受け台12の間の円筒形の領域内にプラズ
マを生成するために処理ガス混合気を励起するように、
ガス分配前面板13a及び受け台12の間に電力を印加
する。(この領域をここでは“反応領域”と呼ぶことに
する。)プラズマの成分が作用して、受け台12に支持
される半導体ウエハの表面に所望の薄膜を蒸着させる。
RF電源44は、一般的に13.56MHzの高域RF周
波数(RF1)と360KHzの低域RF周波数(RF
2)で電力を供給し、真空チャンバ15中に導入される
反応する種の分解を促進する混合周波数のRF電源であ
る。熱プロセスおいては、RF電源44は利用されない
はずで、処理ガス混合気が熱的に反応して、抵抗で加熱
されて反応のために熱エネルギーを供給する受け台12
に支持される半導体ウエハの表面に所望の薄膜を蒸着す
る。
【0017】プラズマ増強蒸着プロセスの間に、プラズ
マが、排気通路23及び遮断弁24を囲繞するチャンバ
ボディー15aの壁面を含む処理チャンバ15全体を加
熱する。プラズマが作動していないかまたは熱蒸着プロ
セスの間である場合には、高温の流体が、処理チャンバ
15の壁面15aを通して循環されて、チャンバを高温
に維持する。チャンバ15の蓋組立体15b中のこれら
の熱交換通路18の1部分が、図1Bに示される。チャ
ンバ壁面15aの残りの部分における通路は示されてい
ない。チャンバ壁面15aを加熱するのに用いられる流
体は、典型的な流体の種類、つまり、水をベースにした
エチレングリコールまたは油をベースにした伝熱流体を
含む。この加熱(“熱交換”による加熱と呼ばれる)
は、望ましくない反応生成物が凝縮するのを減少又は排
除するのに効果的であり、プロセスを汚染する可能性が
ある処理ガスの揮発性の生成物及び他の汚染物質が、低
温の真空通路の壁面に万一凝縮し、ガスが流れていない
間に処理チャンバに移動して戻ってしまうようなことが
ある場合に、それらの排除を促進する。
【0018】反応副産物を含む層に蒸着していないガス
混合気の残りの部分は、真空ポンプ(図示せず)により
チャンバ15から排出される。具体的に言えば、ガスは
反応領域を囲繞する環状のスロット形状のオリフィス1
6を通して環状の排気プレナム17中に排出される。環
状のスロット16及びプレナム17は、チャンバの円筒
形の側壁15a(壁面の上部絶縁ライニング19を含
む)の上部及び円形のチャンバの蓋20の底部の間の隙
間により画定される。スロットオリフィス16及びプレ
ナム17の360°の円形の対称性及び均一性は、ウエ
ハに均一な薄膜を蒸着するようにウエハの全面に処理ガ
スの一均一な流れを得る上で重要である。
【0019】排気プレナム17から、ガスは、排気プレ
ナム17の側方の延長部分の下を流れ、観察窓(図示せ
ず)を通過して、下方に延びるガス通路23を通って、
真空の遮断弁24(その本体が下方のチャンバ壁面15
aと一体化している)を通過して、そして前方管路(図
示せず)を介して外部の真空ポンプ(これも図示せず)
に接続する排気出口25中に流入する。
【0020】受け台12のウエハ支持プラッタ(好まし
くはアルミニウム、セラミックまたはその組合せ)は、
平行な同心円の形状で2回全体を巻くように構成された
埋め込まれた単一ループのヒータ要素を用いて抵抗加熱
される。ヒータ要素の外側の部分が、支持プラッタの周
辺に隣接しているのに対して、内側の部分は、より小さ
い半径を有する同心円の経路上にある。ヒータ要素の配
線は受け台12のステムを貫通する。
【0021】一般的に、チャンバライニング、ガス入口
マニホルド前面板、及び様々な他の反応装置ハードウェ
アのいずれかあるいは全てが、アルミニウム、陽極酸化
アルミニウム、またはセラミックのような材料から作ら
れる。かかるCVD装置の実例が、Zhaoらに付与さ
れた「CVD処理チャンバ」の名称の米国特許第5,5
58,717号に記載されている。この第5,558,
717号特許は、本発明の譲受人であるApplied
Materials,Inc.に譲渡されており、そ
の全て本明細書に参考文献として組み込まれる。
【0022】ウエハが、ロボットブレード(図示せず)
によりチャンバ10の側面の装填/取出開口26を通し
てチャンバ15の本体中にまたそれから移送されると
き、モータ付きリフト機構32(図1A)が、ヒータ受
け台組立体12及びそのウエハリフトピン12bを昇降
する。モータ32は、加工処理位置14及び下方ウエハ
装填位置の間で受け台12を昇降する。供給管路8、ガ
ス供給装置、絞り弁、RF電源44、並びにチャンバ及
び基板加熱装置に接続されたモータ、バルブまたは流れ
制御装置は、そのうちの一部分のみが示される制御ライ
ン36を通してシステム制御装置34(図1B)により
すべて制御される。制御装置34は、光センサからのフ
ィードバックに基づき、制御装置34の制御下で適切な
モータにより動かされる絞り弁やサセプタのような可動
式機械組立体の位置を決定する。
【0023】図1Bに示される例示的な実施形態におい
て、システム制御装置は、ハードディスクドライブ(メ
モリ38)、フロッピディスクドライブ及びプロセッサ
37を含む。プロセッサは、シングルボード・コンピュ
ータ(SBC)、アナログ及びディジタル入力/出力ボ
ード、インタフェースボード並びにステッパモータ制御
ボードを含む。CVD装置10の様々な部品は、ボー
ド、カードケージ、及びコネクタ寸法並びに型式を規定す
る「Versa Modular European
(VME)標準」に適合する。VME標準は、またバス
構造を16ビットデータバス及び24ビットアドレスバ
スを有するものとして規定する。
【0024】システム制御装置34は、CVD機械の作
動の全てを制御する。システム制御装置は、メモリ38
のようなコンピュータで読み取り可能な媒体に記憶され
るコンピュータプログラムであるシステム制御ソフトウ
ェアを実行する。メモリ38はハードディスクドライブ
であることが好ましいが、、メモリ38は他の種類の記
憶装置にすることも可能である。コンピュータプログラ
ムは、タイミング、ガス混合、チャンバ圧力、チャンバ
温度、RF電力レベル、サセプタ位置及び特定のプロセ
スのパラメータを命じる指令の集合を含む。例えば、フ
レキシブルディスクまたは他の1つの適当なドライブを
含む、他のメモリ素子に記憶された他のコンピュータプ
ログラムもまた制御装置34を作動するのに用いること
が可能である。
【0025】薄膜を基板に蒸着するためのプロセスまた
はチャンバ15を洗浄するためのプロセスは、制御装置
34により実行されるコンピュータプログラム製品を用
いて実行することができる。コンピュータプログラムコ
ードは、従来のコンピュータで読み取り可能ないずれか
のプログラミング言語、例えば、68000アセンブリ
言語、C,C++,パスカル、フォートランまたは別の
言語でも書くことが可能である。好適なプログラムコー
ドが、従来のテキストエディタを用いて、単一ファイル
または複数のファイルに入力され、コンピュータの記憶
装置のようなコンピュータに使用可能な媒体に記憶され
るかまたは具体化される。入力されたコードテキストが
高レベル言語である場合には、そのコードはコンパイル
され、結果として生じるコンパイラコードは、次ぎにプ
リコンパイルされたWindows(登録商標)ライブ
ラリルーチンの目的コードとリンクされる。リンクさ
れ、コンパイルされた目的コードを実行するために、シ
ステムユーザは、目的コードを呼び出し、コンピュータ
システムにそのコードをメモリ中にロードさせる。次い
で、中央処理装置(CPU)が、コードを読み取って実
行し、プログラム中に特定されるタスクを実行する。
【0026】ユーザと制御装置34との間のインターフ
ェースは、1つあるいはそれ以上のチャンバを含むこと
ができる基板処理装置におけるシステムモニタ及びCV
D装置10の簡略ダイアグラムである図1Eに示される
CRTモニタ50a及びライトペン50bによるもので
ある。この好ましい実施形態においては、2台のモニタ
50aが用いられて、1台は操作者用にクリーンルーム
の壁面に、他の1台は修理技術者用に壁面の後ろに置か
れる。モニタ50aは同じ情報を同時にディスプレイす
るが、ライトペン50bは1本しか使用可能にされな
い。ライトペン50bの先端の光センサは、CRTディ
スプレイにより発射される光を検知する。特定の画面ま
たは機能を選ぶためには、操作者はディスプレイ画面の
指定された領域をタッチし、ペン50b上のボタンを押
す。タッチされた領域は、それの強調表示された色が変
化するかまたは新しいメニュか画面がディスプレイされ
て、ライトペン及びディスプレイ画面の間の情報伝達を
確認する。キーボード、マウスまたは他の位置決め装置
あるいは通信デバイスのような他のデバイスを、ライト
ペン50bの代わりまたはそれに加えて用いることがで
きて、ユーザは制御装置34と通信することが可能にな
る。
【0027】B.遠隔プラズマ発生器の統合化 図1A及び図2は、ガス分配前面板13a及びガス分配
マニホルド11を含む処理チャンバ15の蓋組立体15
bに搭載された遠隔プラズマ発生器60を示す。取付け
アダプタ64が、図1A及び図3に最もよく見られるよ
うに、遠隔プラズマ発生器60を蓋組立体15b上に搭
載する。アダプタ64は一般に金属で作られる。混合デ
バイス70は、ガス分配マニホルド11(図1A)の上流
側に連結される。混合デバイス70は、処理ガス(図
3)を混合するために混合ブロック76のスロット74
の内側に配置された混合インサート72を含む。セラミ
ック絶縁体66が、取付けアダプタ64及び混合デバイ
ス70(図1A及び図3)の間に置かれる。セラミック
絶縁体66は、酸化アルミニウム(Al23)(純度9
9%の)、Teflon(登録商標)または類似のもの
のようなセラミック材料で作られる。取り付けられた場
合、混合デバイス70及びセラミック絶縁体66は、蓋
組立体15bの1部分を形成する。絶縁体66は、金属
アダプタ64を混合デバイス70及びガス分配マニホル
ド11から絶縁して、以下により詳細に説明されるよう
に、二次プラズマが蓋組立体15b中に生成される可能
性を最小限にする。図2に示されるように、三方バルブ
77が、処理ガスの処理チャンバ15への流れを直接に
または遠隔プラズマ発生器60を通して制御する。
【0028】遠隔プラズマ発生器60は、蓋組立体15
bに取り付けられてしかも費用や時間がかかる変更を伴
わずに容易に改造できて現用のチャンバに取り付けるこ
とが可能な、小型の密閉式装置であることが望ましい。
1つの好適な装置が、マサチューセッツ州ウォバーンの
Applied Science and Techn
ologyから入手可能なASTRON(登録商標)発
生器である。ASTRON(登録商標)発生器は、処理
ガスを分離するのに低領域のトロイド形の(LFT(登
録商標))プラズマを利用する。1つの実例では、プラ
ズマは、NF3のようなフッ素を含むガス及びアルゴン
のようなキャリアガスを含む処理ガスを分離し、処理チ
ャンバ15の薄膜堆積を洗浄するのに用いられる遊離し
たフッ素を生成する。
【0029】図3及び図4に示されるように、混合ブロ
ック76は、その中で混合される処理ガスを受入れるた
めの2つの入口78、80及びガス混合気がそれを通し
て出る底部の出口81を含む。冷却入口82及び冷却出
口84が、冷却(図3)用の冷却ブロック76を通して
冷却剤を循環させるために設けられる。処理ガスの混合
は混合インサート72内で起こる。
【0030】図4はCVD装置10を通してのガス流れ
を概略的に示す。第1ガス管路90からの第1ガスは、
入口91で三方バルブ77に入り、第1出口92または
第2出口93のどちらかを通って出る。第1出口92
は、中間導管94に導かれ、遠隔プラズマ発生器60を
貫通して混合ブロック76の上部入口98に連結された
上部導管95へ通じる。発生器60中のプラズマは、第
1処理ガスからプラズマ種を生じ、それが次いで上部導
管95、混合デバイス70、及びガス分配マニホルド1
1を通してチャンバ15に流れる。図1Aに示されるよ
うに、セラミックインサート66及び取付けアダプタ6
4を通る導管は、遠隔プラズマ発生器60及び混合デバ
イス70の間に流体連結される上部導管95形成する。
【0031】図4を参照すれば、第2出口93は、入口
導管96を介して混合デバイス70の混合ブロック76
の側部の入口78に直接通じて、遠隔プラズマ発生器6
0を迂回する。第2処理ガスは、第2ガス管路100を
介して側部の入口80で混合ブロック76に入る。特定
の実施形態において、気化器101が、第2ガス管路1
00に供給される液体の前駆物質を気化するために、第
2ガス管路100と連結される。処理ガスは、混合デバ
イス70の混合インサート72中で混合される。ガス混
合気は、混合ブロック76の出口81を出て、ガス分配
マニホルド11を通してチャンバ15に入る。排気ガス
は排気出口25を通してチャンバ15を出る。
【0032】図5Aの正面図並びに図5B及び図5Cの
側面図に最も良く見られるように、混合インサート72
は、複数の流路を含む。破線の矢印106により示され
る第1流路は、インサート72(図5B及び図5C)の
中心線を通ってほぼ真っ直ぐに延びて、混合ブロック7
6(図4)の上部入口98及び出口81の間に流体連結
される。第2流路は、矢印108により示されるよう
に、インサート72(図5A及び図5C)のほぼ右の側
面を通して蛇行し、混合ブロック76(図4)の側部入
口78及び出口81の間に流体連結される。第3流路
は、矢印110により示されるように、インサート72
(図5A及び図5B)のほぼ左の側面を通して蛇行し、
混合ブロック76(図4)の側部入口80及び出口81
の間に流体連結される。図5Aに見られるように、第2
及び第3流路108、110は、多数位置で部分的にオ
ーバラップし、望ましくは鋭い屈曲部でガスの正面衝突
の混合を実現して、完全な混合を生じるようにする。イ
ンサート72の底部の近傍で、流路108,110は、
それぞれ中央の貫通開口114、116(図5A及び図
5B)に合体して、第1流路106の最後の部分は混合
ブロック76(図4)の出口81と流体連結される共通
の出口に至る。第2及び第3流路108、100は、第
1流路106より実質的に長く、また入口78、80か
らの第1及び第2処理ガスの完全な混合を促進する渦流
を発生するために複数の屈曲部を含む。第1流路106
は、遠隔プラズマ発生器60で生じたプラズマ種の再結
合を極力少なくするために短くかつ真っ直ぐであるのが
有利である。1つの実施形態において、第1流路106
は、長さが約1.5インチで、第2及び第3流路10
8、110は、長さが約7.5インチである。
【0033】三方バルブ77は、図6に最も良く見られ
るように、遠隔プラズマ発生器60の側面に取り付けら
れる。バルブ77は、一般に空気圧で作動し、入口91
及び第1出口92の間に連結される第1圧力スイッチ1
20並びに入口91及び第2出口93の間に連結される
第2圧力スイッチ122を含む。バルブ77の入口91
は、第1ガス管路90に接続される。バルブ77の第1
出口92は、遠隔プラズマ発生器60に通じる中間導管
94に接続される。バルブ77の第2出口93は、チャ
ンバ15に通じる入口導管96に接続される。第1圧力
スイッチ120は、常時は閉じられて入口91から第1
出口92への流れを阻止するのに対して、第2圧力スイ
ッチ122は、常時は開いていて入口91から第2出口
93へ流れさせる。本方式では、第1処理ガスは、入口
導管96を介してチャンバ15に流れ、遠隔プラズマ発
生器60を迂回する。バルブ77が代わりのモードに切
り換えられると、第1圧力スイッチ120は開いて、第
2圧力スイッチ122は閉じられて、チャンバ15に入
る前に第1処理ガスを中間導管94を通して遠隔プラズ
マ発生器60に導く。
【0034】好都合にも、遠隔プラズマ発生器60によ
り分離されるべきガスのみが、発生器60を通して流さ
れて、他のガスを発生器60を迂回させることを三方バ
ルブ77が保証する。プラズマを止めた状態で発生器6
0を通してオゾンのような他のガスを流すことが可能で
はあるが、かかるやり方の長期にわたる影響は不明であ
る。三方バルブ77を用いることで発生する可能性のあ
るいかなる問題も回避される。さらに、バルブ77を用
いることで、ガスを遠隔プラズマ発生器60に導くかま
たは必要に応じて発生器60を迂回することにより、異
なるプロセスのためにチャンバ15に異なるガスを流す
のに同じガス管路90が用いられることが可能になる。
本構成は、必要とされるガス管路の数を極力少なくし、
装置10の耐久性を向上させて、現用のチャンバを改造
するためにガス供給装置に対してなされる必要がある変
更の数を少なくする。
【0035】図6に示されるように、第1コネクタ12
3が、第1ガス管路90の上部及び下部をいっしょに連
結し、また第2コネクタ124が、入口導管96の上部
及び下部をいっしょに連結する。第1ガス管路90及び
入口導管96の上部は、遠隔プラズマ発生器60に取り
付けられるのに対して、第1ガス管路90及び入口導管
96の下部は、チャンバ15の側面に取り付けられる。
第1ガス管路90の上部を第1コネクタ123で、また
入口導管96の上部を第2コネクタ124で分離するこ
とで、蓋組立体15bを容易にかつ迅速に取外すことが
可能になる。三方バルブ77は、蓋組立体15b上に搭
載される遠隔プラズマ発生器60に取り付けられる。バ
ルブ77、発生器60、及び蓋組立体15bは、分解す
ることなく都合よく取外されまた一体で再設置されるこ
とが可能である。
【0036】図6は、入口領域で圧力をモニタするため
に三方バルブ77への第1ガス管路90と連結された安
全圧力スイッチ126を示す。圧力が所定のレベルまで
上昇する場合には、安全圧力スイッチ126は、過度の
圧力上昇及び漏洩を防止するために入力ガス流れを停止
する信号を発する。このことは、NF3のような有毒ま
たは危険な処理ガスにとっては特に重要である。図6は
また発生器60を冷却するための冷却剤を移送するため
に遠隔プラズマ発生器60に結合される冷却管路128
を示す。
【0037】図1A及び図6に示される例示的な実施形
態は、遠隔プラズマ発生器60からチャンバ15中への
ガスのための流路106を提供する混合デバイス70を
備えたガス供給装置を有する。混合デバイス70は、2
つの入口ガス管路96、100からの処理ガスを混合
し、その混合気をチャンバ15(図5A〜図5C)に供
給するための2つの部分的にオーバラップする流路10
8、110をさらに含む。ガス供給装置は、一般に2つ
のモードのうちの1つで作動する。蒸着モードでは、第
1処理ガスは、第1ガス管路90から三方バルブ77及
び入口導管96を通して混合デバイス70に流れ、また
第2処理ガスは、第2ガス管路100から混合デバイス
70(図4)に流れる。混合デバイス70は、第1及び
第2処理ガスを混合し、基板上に層を蒸着するためにそ
の混合気をチャンバ15に供給する。洗浄モードにおい
て、第2処理ガスの供給が遮断される。第1処理ガス
は、洗浄プラズマ前駆物質であり、第1ガス管路90か
ら三方バルブ77及び中間導管94を通して遠隔プラズ
マ発生器60(図4)に流れる。発生器60は、プラズ
マを形成し、前駆物質を洗浄プラズマ種に分離して、チ
ャンバ15中で蒸着物を洗浄するためにプラズマ種を導
管95及び混合デバイス70を通してチャンバ15に供
給する。もちろん、別の処理モードを実施することも可
能である。例えば、別のモードでは、第1ガス管路90
から第1処理ガスを遠隔プラズマ発生器60を通してチ
ャンバ15に、また第2処理ガスを第2ガス管路100
を介してチャンバ15に直接流すことを必要とする可能
性がある。
【0038】ガス供給装置は、それがモジュール式構成
であるために比較的に容易に変更することが可能である
ことが理解される。例えば、図3及び図5A〜図5Cの
混合インサート72は、例えば、異なる混合構成を有す
るものと取り換えることが可能である。混合ブロック7
6も、2つより多いガス管路からガスを受入れるための
追加の入口を有するものと交換することが可能である。
これらの変更は、さらなるガス流れを随意選択的に提供
し、異なるプロセスを実施するためにガス混合及びチャ
ンバ15への供給の追加のモードを実行する。
【0039】図1A及び図3に示されるセラミック絶縁
体66を用いることで、蓋組立体15bでの2次プラズ
マの起こり得る生成により生じる不安定性が排除され
る。図1Aに示されるシステム構成において、処理ガス
は、取付けアダプタ64及びセラミックインサート66
を通して導管により形成される上部導管95を通して逆
流する可能性がある。チャンバ15の内部でプラズマが
生成されると、ガス分配マニホルド11及び混合デバイ
ス70には、RFが流れる。金属取付けアダプタ64
は、一般に接地される。ヘリウムのようなプラズマに衝
突することが出来るガスが、RFが流れているマニホル
ド11及び接地されたアダプタ64の間の導管95中に
在る場合は、2次プラズマが上部導管95中に形成され
る可能性がある。2次プラズマは、チャンバ15の内側
のプラズマの不安定性を引き起こし、結果として多孔性
堆積膜のような低品質の薄膜を蒸着する可能性がある。
上部導管95中のヘリウムのようなガスが、例えば、
“その場で”の堆積膜の形成においてPE(プラズマ増
強)膜の蒸着及びSA(大気中より低い圧力)膜の蒸着
の間に共通である基板のN2処理の間に存在する可能性
がある。N2処理は、一般にヘリウムガス及び窒素ガスを
用いてチャンバ15中のプラズマに衝突させるプラズマ
処理である。ヘリウムは、N2処理の間に上部導管95
中に逆流する可能性がある。ガス分配マニホルド11及
び混合デバイス70には、RFが流れていて、取付けア
ダプタ64は接地されているので、マニホルド11及び
アダプタ64の間の上部導管95中のヘリウムの存在
が、導管95中に2次プラズマを形成させることにな
り、チャンバ15の内側のプラズマの不安定性を引き起
こす可能性がある。金属製のアダプタ64を混合デバイ
ス70及びガス分配マニホルド11から分離することに
より、セラミック絶縁体66は、上部導管95中に2次
プラズマが生成される可能性を極力少なくする。
【0040】“その場で”のプラズマプロセスがチャン
バ中で行なわれた場合には、2次プラズマは、発生する
可能性のある問題であるということが理解される。N2
及びHeに対するイオン化電位が全てのガスについては
最も低い部類の入るので、N 2処理中に2次プラズマが
生じる可能性がもっと高い。
【0041】II. 例示的なプロセスの流れ 図7の簡略化されたフローチャートは、蒸着を実施して
それからプロセスを洗浄する図1A〜図7のCVD装置
の使用を示す。プロセスの最初の段階200において、
プロセスのモードが選択される。蒸着モード(段階20
2)においては、基板がチャンバ15中に用意される
(段階204)。三方バルブ77は、段階206で遠隔
プラズマ発生器60(図4)を迂回するように切り換え
られる。第1処理ガスは、第1入口管路90から三方バ
ルブ77及び入口導管96を通して混合デバイス70に
向けられる(段階280)。段階210では、第2処理
ガスが、第2入口管路(図4)を介して混合デバイス7
0に流される。混合デバイス77(図5Aから図5Cま
で)は、第1及び第2処理ガスを段階212で混合す
る。ガス混合気は、チャンバ15中に流されて基板上に
層を蒸着する(段階214)。1つの実例で、第1処理
ガスはオゾンを含み、また第2処理ガスはTEOSを含
む。
【0042】図7の洗浄モード(段階216)におい
て、三方バルブ77(図4)は、段階218で流れを遠
隔プラズマ発生器60に向けるように切り換えられる。
洗浄プラズマ前駆物質が、第1入口管路90から三方バ
ルブ77を通して前駆物質を分離して洗浄プラズマ種を
発生する(段階220)発生器60に流される。プラズ
マ種は、遠隔プラズマ発生器60から混合デバイス70
を通してチャンバ15に流されて段階222でチャンバ
15(図4)を洗浄する。1つの実例で、洗浄プラズマ
前駆物質は、NF3及びアルゴンのようなフッ素を含む
ガスを含む。発生器60中のプラズマは、NF3を分離
し、処理チャンバ15中の堆積を洗浄するために遊離フ
ッ素を発生させる。
【0043】遠隔プラズマ発生器60中の圧力が、チャ
ンバ15の圧力より高い、好ましくはかなり高い場合に
は、洗浄時間を全体的に減らすことが可能であるという
ことがわかる。例えば、遠隔プラズマ発生器60中の圧
力が、チャンバ15中の圧力の望ましくは少なくとも約
10倍、またより望ましくは少なくとも約30倍であ
る。特定の実施形態において、チャンバ15中の圧力は
約0.25トルで、また遠隔プラズマ発生器60中の圧
力は、約8トルである。システム制御装置34は、チャ
ンバ15中の圧力だけでなく遠隔プラズマ発生器60中
の圧力も制御するのに用いることができる。
【0044】上記は本発明の特定の実施形態の完全な説
明であるが、様々な変形形態、変更及び代案を用いるこ
とが可能である。例えば、三方バルブを代わりの流路の
間のガス流れを導くための他の流れ制御デバイスと取り
換えることが可能である。混合デバイスは、他の構成及
び混合様式を備えることができる。当業者には種々の変
更が明白になるであろう。これら均等の構成及び代案
は、本発明の技術的範囲内に含まれる。従って、本発明
の技術的範囲は、記述された実施形態に限定されるので
はなくて、前述の特許請求の範囲及びそれらの均等の構
成の全技術的範囲により規定される。
【図面の簡単な説明】
【図1A】本発明による化学蒸着装置の1つの実施形態
の垂直断面図である。
【図1B】本発明による化学蒸着装置の1つの実施形態
の垂直断面図である。
【図1C】図1Aに示すCVDチャンバの部分の分解斜
視図である。
【図1D】図1Aに示すCVDチャンバの部分の分解斜
視図である。
【図1E】1つあるいはそれ以上のチャンバを含むこと
ができる複数チャンバ方式のシステムモニタ及びCVD
装置の簡略図である。
【図2】本発明の実施形態による図1AのCVDチャン
バの蓋の上に搭載された遠隔プラズマ発生器の斜視図で
ある。
【図3】図2のCVDチャンバの蓋に遠隔プラズマ発生
器を取り付けるための取付け組立体の部分の分解斜視図
である。
【図4】図1AのCVDチャンバ及び遠隔プラズマ発生
器を通しての処理ガスの流れを示す簡略ブロック図であ
る。
【図5A】本発明の実施形態による混合インサートの立
面図である。
【図5B】本発明の実施形態による混合インサートの立
面図である。
【図5C】本発明の実施形態による混合インサートの立
面図である。
【図6】図1AのCVDチャンバの蓋の上に搭載された
遠隔プラズマ発生器の別の斜視図である。
【図7】本発明の実施形態によるプロセスを示す簡略フ
ローチャートである。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ケリー フォン アメリカ合衆国 カリフォルニア州 94122 サン フランシスコ トゥウェン ティーファースト アベニュー 1631 (72)発明者 チェン アン チェン アメリカ合衆国 カリフォルニア州 95035 ミルピタス カレ ヴィスタ ヴ ェルデ 2101 (72)発明者 ポール リ アメリカ合衆国 カリフォルニア州 95131 サン ホセ リビシ ウェイ 1855 (72)発明者 ロン パン アメリカ合衆国 カリフォルニア州 94014 ダリー シティー アカシア ス トリート 191 (72)発明者 シャンカー ヴェンカタラマン アメリカ合衆国 カリフォルニア州 95051 サンタ クララ ノートル デイ ム ドライヴ 3444 Fターム(参考) 4G075 AA30 BC04 BD14 CA47 CA65 DA02 EB41 FC15 4K030 CA04 CA12 EA03 FA03 JA09 KA30 KA41 KA46 5F004 AA15 BA04 BD04 CA01 DA17 DA25 5F045 AA08 AC17 BB14 DP03 EB06 EC01 EE06 EH13

Claims (21)

    【特許請求の範囲】
  1. 【請求項1】 処理チャンバを画定するハウジングと、 前記処理チャンバ内での基板処理の間に基板を支持する
    ための基板支持体と、 入口及び出口を有する遠隔プラズマ発生器と、 前記処理チャンバ中にガスを導入するためのガス供給装
    置とを含み、 前記ガス供給装置は、 バルブ入口、第1バルブ出口及び第2バルブ出口を有
    し、前記バルブ入口から前記第1バルブ出口への第1バ
    ルブ流路及び前記バルブ入口から前記第2バルブ出口へ
    の第2バルブ流路の間で流れを切り換えるように調節可
    能である三方バルブと、 前記遠隔プラズマ発生器の前記出口及び前記処理チャン
    バの間に連結される第1入口流れ導管と、 前記三方バルブの前記第1バルブ出口及び前記遠隔プラ
    ズマ発生器の前記入口の間に連結される遠隔プラズマ導
    管と、 前記三方バルブの前記第2バルブ出口及び前記処理チャ
    ンバの間に連結される第2入口流れ導管と、を含むこと
    を特徴とする基板処理装置。
  2. 【請求項2】 前記三方バルブは、空気圧作動されるこ
    とを特徴とする請求項1に記載の基板処理装置。
  3. 【請求項3】 前記ガス供給装置は、前記処理チャンバ
    と連結された第3入口流れ導管をさらに含むことを特徴
    とする請求項1に記載の基板処理装置。
  4. 【請求項4】 前記ガス供給装置は、前記第3入口流れ
    導管と連結された気化器をさらに含むことを特徴とする
    請求項3に記載の基板処理装置。
  5. 【請求項5】 前記第1入口流れ導管、前記第2入口流
    れ導管、及び前記第3入口流れ導管は、前記基板支持体
    のほぼ上方に配置されたチャンバ入口で前記処理チャン
    バと連結されることを特徴とする請求項3に記載の基板
    処理装置。
  6. 【請求項6】 前記ガス供給装置は、混合デバイスをさ
    らに含み、前記混合デバイスは、前記処理チャンバと連
    結された出口、前記第1入口流れ導管と連結された第1入
    口、前記第2入口流れ導管と連結された第2入口、及び
    前記第3入口流れ導管と連結された第3入口を有するこ
    とを特徴とする請求項3に記載の基板処理装置。
  7. 【請求項7】 前記混合デバイスは、前記第1入口及び
    前記出口の間の第1流路、前記第2入口及び前記出口の
    間の第2流路、並びに前記第3入口及び前記出口の間の
    第3流路を有し、前記第2流路及び前記第3流路は少な
    くとも部分的にオーバラップしていることを特徴とする
    請求項6に記載の基板処理装置。
  8. 【請求項8】 前記第1流路は、前記第2及び第3流路
    より長さが実質的に短いことを特徴とする請求項7に記
    載の基板処理装置。
  9. 【請求項9】 前記第2及び第3流路は複数の屈曲部を
    含むことを特徴とする請求項7に記載の基板処理装置。
  10. 【請求項10】 前記遠隔プラズマ発生器は、前記基板
    支持体のほぼ上方に配置された前記処理チャンバの蓋の
    上に搭載されることを特徴とする請求項1に記載の基板
    処理装置。
  11. 【請求項11】 前記遠隔プラズマ発生器及び前記処理
    チャンバの間に連結されたセラミック絶縁体をさらに含
    み、前記セラミック絶縁体は、前記遠隔プラズマ発生器
    の前記出口及び前記処理チャンバの間に連結された前記
    第1入口流れ導管の1部分を形成する流路を含むことを特
    徴とする請求項10に記載の基板処理装置。
  12. 【請求項12】 前記処理チャンバは、前記基板の上方
    に配置された複数の出口開口を有するガス分配マニホル
    ドを含み、前記ガス分配マニホルドは前記セラミック絶
    縁体の前記流路と流体連結することを特徴とする請求項
    11に記載の基板処理装置。
  13. 【請求項13】 処理チャンバを画定するハウジング
    と、 前記処理チャンバ内での基板処理の間に基板を支持する
    ための基板支持体と、 遠隔プラズマ発生器と、 第1ガス管路と、 第2ガス管路と、 第1ガス用の第1ガス供給源と、 第2ガス用の第2ガス供給源と、 第3ガス用の第3ガス供給源と、 第1工程の間に、前記第1ガス供給源を前記第1ガス管
    路と連結して、前記第1ガスを前記第1ガス管路及び前
    記遠隔プラズマ発生器を通して前記処理チャンバに流
    し、第2工程において、前記第2ガス供給源を前記第1
    ガス管路と連結して、前記第2ガスを前記遠隔プラズマ
    発生器を迂回して前記第1ガス管路を通して前記処理チ
    ャンバに流し、前記第3ガス源を前記第2ガス管路に連
    結して、前記第3ガスを前記処理チャンバに流し、また
    前記第2及び前記第3ガスを前記処理チャンバに流す前
    に前記第2ガス及び前記第3ガスを一緒に混合するため
    の手段と、を含むことを特徴とする基板処理装置。
  14. 【請求項14】 前記遠隔プラズマ発生器及び前記処理
    チャンバの間に流体連結された流路を有するセラミック
    絶縁体をさらに含むことを特徴とする請求項13に記載
    の基板処理装置。
  15. 【請求項15】 前記処理チャンバは、前記基板の上方
    に配置された複数の出口開口を有するガス分配マニホル
    ドを含み、前記ガス分配マニホルドは前記セラミック絶
    縁体の前記流路と流体連結されることを特徴とする請求
    項14に記載の基板処理装置。
  16. 【請求項16】 前記ハウジングは、前記基板支持体の
    ほぼ上方に配置された蓋を含み、前記遠隔プラズマ発生
    器が前記蓋の上に搭載されることを特徴とする請求項1
    3に記載の基板処理装置。
  17. 【請求項17】 前記第2ガス管路と連結された気化器
    をさらに含むことを特徴とする請求項13に記載の基板
    処理装置。
  18. 【請求項18】 前記遠隔プラズマ発生器中の圧力及び
    前記処理チャンバ中の圧力を制御するための手段をさら
    に含むことを特徴とする請求項13に記載の基板処理装
    置。
  19. 【請求項19】 前記制御手段は、前記処理チャンバ中
    の圧力よりも高い前記遠隔プラズマ発生器中の圧力を実
    現するための指令を含むことを特徴とする請求項18に
    記載の基板処理装置。
  20. 【請求項20】 前記制御手段は、前記処理チャンバ中
    の圧力の少なくともおよそ10倍である前記遠隔プラズ
    マ発生器中の圧力を実現するための指令を含むことを特
    徴とする請求項19に記載の基板処理装置。
  21. 【請求項21】 前記制御手段は、前記処理チャンバ中
    の圧力の少なくともおよそ30倍である前記遠隔プラズ
    マ発生器中の圧力を実現するための指令を含むことを特
    徴とする請求項20に記載の基板処理装置。
JP2001171771A 2000-04-28 2001-05-01 基板処理装置 Expired - Fee Related JP5214832B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/561,325 US6387207B1 (en) 2000-04-28 2000-04-28 Integration of remote plasma generator with semiconductor processing chamber
US09/561325 2000-04-28

Publications (2)

Publication Number Publication Date
JP2002057114A true JP2002057114A (ja) 2002-02-22
JP5214832B2 JP5214832B2 (ja) 2013-06-19

Family

ID=24241489

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001171771A Expired - Fee Related JP5214832B2 (ja) 2000-04-28 2001-05-01 基板処理装置

Country Status (6)

Country Link
US (1) US6387207B1 (ja)
EP (1) EP1150332A3 (ja)
JP (1) JP5214832B2 (ja)
KR (1) KR100715075B1 (ja)
SG (1) SG90772A1 (ja)
TW (1) TW492045B (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013522463A (ja) * 2010-03-12 2013-06-13 アプライド マテリアルズ インコーポレイテッド 複式噴射を伴う原子層堆積チャンバ
KR20180126388A (ko) * 2017-05-17 2018-11-27 어플라이드 머티어리얼스, 인코포레이티드 개선된 프리커서 유동을 위한 반도체 처리 챔버
KR20180126391A (ko) * 2017-05-17 2018-11-27 어플라이드 머티어리얼스, 인코포레이티드 다수의 프리커서 유동을 위한 반도체 처리 챔버
JP2022516313A (ja) * 2019-01-07 2022-02-25 アプライド マテリアルズ インコーポレイテッド 処理チャンバのミキシングシステム
JP2023055707A (ja) * 2018-12-20 2023-04-18 アプライド マテリアルズ インコーポレイテッド 処理チャンバの処理空間に改善されたガス流を供給するための方法および装置
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports

Families Citing this family (586)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3381774B2 (ja) * 1997-12-24 2003-03-04 東京エレクトロン株式会社 CVD−Ti膜の成膜方法
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
US6868856B2 (en) * 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7025866B2 (en) * 2002-08-21 2006-04-11 Micron Technology, Inc. Microelectronic workpiece for electrochemical deposition processing and methods of manufacturing and using such microelectronic workpieces
US6767836B2 (en) 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US20040129385A1 (en) * 2003-01-02 2004-07-08 International Business Machines Corporation Pre-loaded plasma reactor apparatus and application thereof
US6818249B2 (en) * 2003-03-03 2004-11-16 Micron Technology, Inc. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
WO2004085703A1 (ja) * 2003-03-25 2004-10-07 Tokyo Electron Limited 処理装置及び処理方法
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US6872909B2 (en) * 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
US6830624B2 (en) * 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050178333A1 (en) * 2004-02-18 2005-08-18 Asm Japan K.K. System and method of CVD chamber cleaning
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US20050227502A1 (en) * 2004-04-12 2005-10-13 Applied Materials, Inc. Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
KR101134437B1 (ko) * 2005-06-21 2012-04-09 주식회사 원익아이피에스 하부주입 rpg 세정용 공정챔버
KR100706251B1 (ko) * 2005-07-14 2007-04-12 삼성전자주식회사 반도체 제조 장치 및 냉매 순환 방법
US20070042131A1 (en) * 2005-08-22 2007-02-22 Applied Materials, Inc., A Delaware Corporation Non-intrusive plasma monitoring system for arc detection and prevention for blanket CVD films
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
TWI366876B (en) 2006-05-30 2012-06-21 Applied Materials Inc A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080087642A1 (en) * 2006-09-25 2008-04-17 Sawin Herbert H Method for removing surface deposits in the interior of a chemical vapor deposition reactor
US7849919B2 (en) * 2007-06-22 2010-12-14 Lockheed Martin Corporation Methods and systems for generating and using plasma conduits
WO2009008659A2 (en) * 2007-07-11 2009-01-15 Sosul Co., Ltd. Plasma etching apparatus and method of etching wafer
US20090090382A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K. Method of self-cleaning of carbon-based film
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7871926B2 (en) * 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090120364A1 (en) * 2007-11-09 2009-05-14 Applied Materials, Inc. Gas mixing swirl insert assembly
US7993462B2 (en) 2008-03-19 2011-08-09 Asm Japan K.K. Substrate-supporting device having continuous concavity
US20090246399A1 (en) * 2008-03-28 2009-10-01 Asm Japan K.K. Method for activating reactive oxygen species for cleaning carbon-based film deposition
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090297731A1 (en) * 2008-05-30 2009-12-03 Asm Japan K.K. Apparatus and method for improving production throughput in cvd chamber
US20090325391A1 (en) * 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8133555B2 (en) * 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR101690327B1 (ko) * 2009-03-31 2016-12-27 램 리써치 코포레이션 플라즈마 어레스터 인서트
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
KR101893471B1 (ko) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
WO2013139878A2 (en) 2012-03-20 2013-09-26 Mapper Lithography Ip B.V. Arrangement and method for transporting radicals
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
WO2013162972A1 (en) 2012-04-25 2013-10-31 Applied Materials, Inc. Process chamber having separate process gas and purge gas regions
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
KR20140023807A (ko) * 2012-08-17 2014-02-27 삼성전자주식회사 반도체 소자를 제조하는 설비
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9343293B2 (en) 2013-04-04 2016-05-17 Applied Materials, Inc. Flowable silicon—carbon—oxygen layers for semiconductor processing
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9142393B2 (en) 2013-05-23 2015-09-22 Asm Ip Holding B.V. Method for cleaning reaction chamber using pre-cleaning process
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US20160002784A1 (en) 2014-07-07 2016-01-07 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for depositing a monolayer on a three dimensional structure
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US9981293B2 (en) 2016-04-21 2018-05-29 Mapper Lithography Ip B.V. Method and system for the removal and/or avoidance of contamination in charged particle beam systems
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10934620B2 (en) 2016-11-29 2021-03-02 Applied Materials, Inc. Integration of dual remote plasmas sources for flowable CVD
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11077410B2 (en) 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP2021505766A (ja) 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210121131A (ko) * 2019-01-30 2021-10-07 어플라이드 머티어리얼스, 인코포레이티드 진공 시스템을 세정하기 위한 방법, 기판의 진공 프로세싱을 위한 방법, 및 기판을 진공 프로세싱하기 위한 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11499231B2 (en) * 2020-04-09 2022-11-15 Applied Materials, Inc. Lid stack for high frequency processing
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US20230005765A1 (en) * 2021-07-02 2023-01-05 Applied Materials, Inc. Semiconductor processing chamber adapter
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10256244A (ja) * 1996-11-13 1998-09-25 Applied Materials Inc リモートプラズマ系を底に取り付けた基板処理装置
JPH10284296A (ja) * 1997-03-05 1998-10-23 Applied Materials Inc マイクロ波プラズマ源により基板処理システムを改良する装置及び方法
JPH1174258A (ja) * 1997-07-02 1999-03-16 Applied Materials Inc プラズマ清浄プロセス中の終点を求める方法及び装置

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69017271T2 (de) * 1989-06-15 1995-06-22 Semiconductor Energy Lab Gerät zur Bearbeitung mittels Mikrowellen in einem magnetischen Feld.
JP3468312B2 (ja) * 1994-07-29 2003-11-17 株式会社三菱化学ヤトロン アルカリホスファターゼの検出方法
JP3107275B2 (ja) * 1994-08-22 2000-11-06 東京エレクトロン株式会社 半導体製造装置及び半導体製造装置のクリーニング方法
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US6143084A (en) * 1998-03-19 2000-11-07 Applied Materials, Inc. Apparatus and method for generating plasma

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10256244A (ja) * 1996-11-13 1998-09-25 Applied Materials Inc リモートプラズマ系を底に取り付けた基板処理装置
JPH10284296A (ja) * 1997-03-05 1998-10-23 Applied Materials Inc マイクロ波プラズマ源により基板処理システムを改良する装置及び方法
JPH1174258A (ja) * 1997-07-02 1999-03-16 Applied Materials Inc プラズマ清浄プロセス中の終点を求める方法及び装置

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013522463A (ja) * 2010-03-12 2013-06-13 アプライド マテリアルズ インコーポレイテッド 複式噴射を伴う原子層堆積チャンバ
JP7176860B6 (ja) 2017-05-17 2022-12-16 アプライド マテリアルズ インコーポレイテッド 前駆体の流れを改善する半導体処理チャンバ
JP7180999B2 (ja) 2017-05-17 2022-11-30 アプライド マテリアルズ インコーポレイテッド 複数の前駆体の流れのための半導体処理チャンバ
JP2019004141A (ja) * 2017-05-17 2019-01-10 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 前駆体の流れを改善する半導体処理チャンバ
JP2019009423A (ja) * 2017-05-17 2019-01-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 複数の前駆体の流れのための半導体処理チャンバ
JP7180999B6 (ja) 2017-05-17 2022-12-16 アプライド マテリアルズ インコーポレイテッド 複数の前駆体の流れのための半導体処理チャンバ
JP7176860B2 (ja) 2017-05-17 2022-11-22 アプライド マテリアルズ インコーポレイテッド 前駆体の流れを改善する半導体処理チャンバ
KR20180126391A (ko) * 2017-05-17 2018-11-27 어플라이드 머티어리얼스, 인코포레이티드 다수의 프리커서 유동을 위한 반도체 처리 챔버
JP7393501B2 (ja) 2017-05-17 2023-12-06 アプライド マテリアルズ インコーポレイテッド 前駆体の流れを改善する半導体処理チャンバ
KR20180126388A (ko) * 2017-05-17 2018-11-27 어플라이드 머티어리얼스, 인코포레이티드 개선된 프리커서 유동을 위한 반도체 처리 챔버
JP2023025029A (ja) * 2017-05-17 2023-02-21 アプライド マテリアルズ インコーポレイテッド 前駆体の流れを改善する半導体処理チャンバ
JP2023029848A (ja) * 2017-05-17 2023-03-07 アプライド マテリアルズ インコーポレイテッド 複数の前駆体の流れのための半導体処理チャンバ
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
KR102524104B1 (ko) * 2017-05-17 2023-04-20 어플라이드 머티어리얼스, 인코포레이티드 개선된 프리커서 유동을 위한 반도체 처리 챔버
KR102523441B1 (ko) * 2017-05-17 2023-04-20 어플라이드 머티어리얼스, 인코포레이티드 다수의 프리커서 유동을 위한 반도체 처리 챔버
JP7472330B2 (ja) 2018-12-20 2024-04-22 アプライド マテリアルズ インコーポレイテッド 処理チャンバの処理空間に改善されたガス流を供給するための方法および装置
JP2023055707A (ja) * 2018-12-20 2023-04-18 アプライド マテリアルズ インコーポレイテッド 処理チャンバの処理空間に改善されたガス流を供給するための方法および装置
JP2022516313A (ja) * 2019-01-07 2022-02-25 アプライド マテリアルズ インコーポレイテッド 処理チャンバのミキシングシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
JP7295246B2 (ja) 2019-01-07 2023-06-20 アプライド マテリアルズ インコーポレイテッド 処理チャンバのミキシングシステム

Also Published As

Publication number Publication date
TW492045B (en) 2002-06-21
JP5214832B2 (ja) 2013-06-19
SG90772A1 (en) 2002-08-20
KR100715075B1 (ko) 2007-05-07
EP1150332A3 (en) 2005-03-23
KR20010098961A (ko) 2001-11-08
EP1150332A2 (en) 2001-10-31
US6387207B1 (en) 2002-05-14

Similar Documents

Publication Publication Date Title
JP5214832B2 (ja) 基板処理装置
US6830624B2 (en) Blocker plate by-pass for remote plasma clean
US6271148B1 (en) Method for improved remote microwave plasma source for use with substrate processing system
US7037376B2 (en) Backflush chamber clean
KR101081628B1 (ko) 배기 개구를 특징으로 하는 가스 분배 샤워헤드
US6676760B2 (en) Process chamber having multiple gas distributors and method
US6361707B1 (en) Apparatus and methods for upgraded substrate processing system with microwave plasma source
EP1028175B1 (en) Accelerated plasma cleaning
US8075789B1 (en) Remote plasma cleaning source having reduced reactivity with a substrate processing chamber
US20060005856A1 (en) Reduction of reactive gas attack on substrate heater
US6329297B1 (en) Dilute remote plasma clean
KR20010021675A (ko) 기판 처리 시스템의 세척 방법
JPH10256192A (ja) 三ふっ化塩素による処理チャンバクリーニング方法および装置
JPH11152573A (ja) ガス混合装置及び方法
JP2009505441A (ja) ブランケットcvd膜についてアークを検出及び防止する非侵入プラズマ監視システム
US20030066486A1 (en) Microwave heat shield for plasma chamber
EP1156511A1 (en) Remote plasma CVD apparatus
US20010042513A1 (en) Apparatus for improved remote microwave plasma source for use with substrate processing systems
KR20140037582A (ko) 반도체 프로세싱 챔버와 원격 플라즈마 발생기의 통합 시스템
US20170050165A1 (en) Ammonia Radical Generator

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080501

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101216

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110315

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110318

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110407

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111221

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120321

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120326

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120621

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120719

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121019

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121024

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130121

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130207

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130228

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160308

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees