KR20010098961A - 반도체 프로세싱 챔버와 원격 플라즈마 발생기의 통합 - Google Patents

반도체 프로세싱 챔버와 원격 플라즈마 발생기의 통합 Download PDF

Info

Publication number
KR20010098961A
KR20010098961A KR1020010023214A KR20010023214A KR20010098961A KR 20010098961 A KR20010098961 A KR 20010098961A KR 1020010023214 A KR1020010023214 A KR 1020010023214A KR 20010023214 A KR20010023214 A KR 20010023214A KR 20010098961 A KR20010098961 A KR 20010098961A
Authority
KR
South Korea
Prior art keywords
gas
inlet
process chamber
remote plasma
plasma generator
Prior art date
Application number
KR1020010023214A
Other languages
English (en)
Other versions
KR100715075B1 (ko
Inventor
카디크 자나키라만
켈리 퐁
첸-안 첸
폴 레
롱 판
샌카 벤카타라만
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010098961A publication Critical patent/KR20010098961A/ko
Application granted granted Critical
Publication of KR100715075B1 publication Critical patent/KR100715075B1/ko

Links

Classifications

    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

소형이고 내장형인 원격 플라즈마 발생기가 통합된 기판 프로세싱 시스템을 형성하기 위하여 반도체 프로세싱 챔버의 리드에 장착된다. 원격 플라즈마 발생기가 챔버의 향상된 세정을 제공하며 인 시츄 플라즈마 세정 공정보다 낮은 퍼플루오로카본 배출을 위한 세정 플라즈마 종을 발생시키기 위하여 세정 작동시 작동된다. 3방 밸브는 챔버로의 가스 유동을 제어하기 위하여 조정된다. 세정 작동 동안, 3방 밸브는 제 1가스 라인으로부터 원격 플라즈마 발생기로 세정 플라즈마 전구체를 보내어 세정 증착을 위해 챔버로 유동되는 세정 플라즈마 종을 발생시킨다. 증착 프로세스동안, 3방 밸브는 제1 가스 라인으로부터 챔버로 제 1 프로세스 가스를 보내어 원격 플라즈마 발생기를 바이패싱한다. 제 1 프로세스 가스는 증착된 기판상에 층을 증착하기 위하여 챔버내로 들어가기 전에 혼합 장치내의 제 2가스 라인으로부터 공급되는 제 2 프로세스 가스와 통상적으로 혼합된다.

Description

반도체 프로세싱 챔버와 원격 플라즈마 발생기의 통합{INTEGRATION OF REMOTE PLASMA GENERATOR WITH SEMICONDUCTOR PROCESSING CHAMBER}
본 발명은 기판 프로세싱 장치, 특히 기판 프로세싱 시스템과 소형의 내장형 원격 플라즈마 발생기의 통합에 관한 것이다.
집적회로와 같은 반도체 제품의 제조에는 종종 실리콘 웨이퍼와 같은 기판상에 층들을 형성하는 단계를 포함한다. 상기 층들이 종종 상이한 재료들을 포함하면서 증착프로세스과 관련된 다수의 기술들이 발전되어 왔다. 예를들어, 금속층이 도전성 상호접점을 형성하도록 증착 및 패턴화될 수 있으며, 또한 유전체 층이 하나의 도전성 층을 다른 층과 서로 전기적으로 절연시키도록 형성될 수 있다. 유전체 재료 층과 다른 재료 층을 형성하는데 사용되어온 몇몇 형태의 층 형성프로세스이 화학 증착(CVD) 프로세스이다.
CVD 프로세스은 전구체 가스 또는 증기가 기판의 가열된 표면과 응답하여 반응하는 열 증착프로세스과 전구체를 더욱 반응성을 갖는 플라즈마로 변환시키기 위해 적어도 하나의 전구체 가스 또는 증기에 전자기 에너지를 가하는 플라즈마-개선 CVD("PECVD")프로세스가 있다. 플라즈마를 형성하는 것에 의해 필름을 형성하는데 필요한 온도를 낮출 수 있으며 층 형성 속도를 증가시킬 수 있으며, 또한 이 두 가지를 모두 달성할 수 있다. 그러므로, 플라즈마-개선 프로세스이 여러 적용에 있어서 바람직하다.
하나의 층이 기판상에 형성될 때, 때때로 일부 재료가 잔류물로서 증착 시스템의 여러 부품과 증착 챔버의 벽에도 증착된다. 챔버의 벽에 있는 재료는 잔류물이 쌓여 미립자 오염원이 될 수 있으며 이는 웨이퍼의 불량을 초래하기 때문에 일반적으로 바람직하지 않다. 따라서, 챔버의 내측으로부터 이러한 잔류물을 제거하기 위한 여러 세정프로세스이 발전되어 왔다. "습식 세정법"으로 알려진 하나의 세정프로세스은 증착챔버를 부분 분해하고 표면 바닥을 적절한 세정액으로 닦아냄으로써 수행된다. 다른 형태의 세정프로세스은 잔류물을 휘발성 물질로 변환시켜 챔버 배기시스템에 의해 제거하기 위해 플라즈마를 사용한다. 이러한 프로세스들은 "건식 세정법"으로 알려져 있다.
일반적으로 두 형태의 플라즈마 건식 세정프로세스이 있다. 한 형태는 프로세스 챔버의 내측, 또는 "인 시츄(in situ)"에 플라즈마를 형성한다. 인 시츄 플라즈마 세정법의 예에서는 챔버 내측에 있는 잔류물을 제거하기 위한 자유 불소를형성하기 위해 NF3및 C2F6를 사용한다. 다른 형태는 원격 플라즈마 발생기 내에 플라즈마를 형성한 후에 프로세스 챔버의 내측으로 이온들이 흐르게 한다. 그러한 원격 플라즈마 세정프로세스은 인 시츄 플라즈마 시스템이 갖지 못하는 증착 시스템에 대한 건식 세정능력을 부여하는 것과 같은 여러 장점을 제공한다. 게다가, 원격 플라즈마 시스템은 전구체 가스 또는 증기를 플라즈마로 변환시키는데 더욱 효율적이며, 또한 플라즈마 가열 및 스퍼터링 효과와 같은 잠재적으로 바람직하지 않은 플라즈마 형성프로세스의 부산물로부터 챔버의 내측을 보호하기 위해서 챔버의 외측에 플라즈마를 형성하는데 더욱 효율적이다. 원격 플라즈마 시스템의 사용으로 퍼플루오로카본(PEC)의 방출도 감소시킨다.
이와 같이, CVD 시스템에 적합한 원격 플라즈마 시스템은 통상적으로 고가이고 부피가 크며 비실용적이고 비경제적이므로, 본 발명은 작동중지 시간을 줄일 수 있는 저가의 원격 플라즈마 시스템을 제공하고자 하는 것이다.
도 1a 및 도 1b는 본 발명에 따른 화학 증착장치의 일실시예를 도시하는 수직 횡단면도.
도 1c 및 도 1d는 도 1a에 도시한 CVD 챔버의 부품들을 도시하는 분해 사시도.
도 1e는 하나 이상의 챔버를 포함하는 다중 시스템에 사용되는 시스템 모니터와 CVD 시스템의 단순 다이어그램.
도 2는 본 발명의 실시예에 따른 도 1a의 CVD 챔버의 리드에 장착되는 원격 플라즈마 발생기의 사시도.
도 3은 도 2의 CVD 챔버의 리드에 원격 플라즈마 발생기를 장착하기 위한 장착 조립체의 일부를 도시하는 분해 사시도.
도 4는 도 1a의 CVD 챔버와 원격 플라즈마 발생기를 통해 흐르는 프로세스 가스의 유동을 도시하는 단순 개략 다이어그램.
도 5a 내지 도 5c는 본 발명의 실시예에 따른 혼합 삽입구의 평면도.
도 6은 도 1a의 CVD 챔버의 리드에 장착된 원격 플라즈마 발생기의 다른 사시도.
도 7은 본 발명의 실시예에 따른 처리들을 단순화한 플로우 차트.
* 도면의 주요부분에 대한 부호의 설명 *
7 : 가스원 8 : 가스 공급 라인
9 : 혼합 시스템 10 : CVD 시스템
11 : 가스 분배 매니폴드 12 : 받침대
13a : 가스 분배 면판 13b : 관통 홀
15 : 프로세스 챔버 15a : 챔버 벽
15b : 리드 조립체 16 : 슬롯 오리피스
17 : 배출 플레넘 18 : 열 교환 통로
20 : 원형 챔버 리드 23 : 배출 통로
24 : 차단 밸브 34 : 제어기
37 : 프로세서 38 : 메모리
42 : 블로커 판 44 : RF 파워 공급원
50a : CRT 모니터 50b : 라이트 펜
60 : 원격 플라즈마 발생기 64 : 장착 어댑터
70 : 혼합 장치 72 : 혼합 삽입구
74 : 슬롯 76 : 혼합 블록
77 : 3방 밸브 78, 80 : 입구
81 : 출구 82 : 냉각제 입구
84 : 냉각제 출구 90 : 제 1 가스 라인
92 : 제 1 출구 93 : 제 2 출구
94 : 중간 도관 95 : 상부 도관
100 : 제 2 가스 라인 101 : 기화기
106 : 제 1 유동 경로 108 : 제 2 유동 경로
110 : 제 3 유동 경로 114, 116 : 구멍
120 : 제 1 압력 스위치 122 : 제 2 압력 스위치
123 : 제 1 커넥터 124 : 제 2 커넥터
126 : 안전 압력 스위치 128 : 냉각 라인
본 발명은 소형이며 내장형인 저가의 원격 플라즈마 발생기와 기판 프로세싱 장치와의 통합에 관한 것이다. 특정 실시예에서, 원격 플라즈마 발생기는 내부에 배열된 기판 홀더 위에 있는 프로세스 챔버의 리드에 장착된다. 가스 분배시스템은 세정 작동시 챔버를 세정하는 세정 플라즈마 종을 발생시키기 위해 세정 플라즈마 전구체를 원격 플라즈마 발생기를 통해 프로세스 챔버로 지향시키도록 제공된다. 증착 중에, 가스 분배시스템은 원격 플라즈마 발생기를 우회해서 프로세스 챔버 내측으로 하나 이상의 가스를 지향시킨다. 혼합 장치는 세정작동 중에 원격 플라즈마 발생기로부터 프로세스 챔버로 세정 플라즈마 종을 유동시키는 짧은 직통 유동 경로, 및 증착 프로세스중에 복수의 프로세스가스를 혼합하기 위한 길다란 혼합통로를 제공한다. 본 발명에 따른 통합에는 현 프로세스 챔버의 미소한 변경만을 필요로하며 최소 시스템 중단시간으로 인한 저비용으로 챔버를 개선하는데 특히 바람직하다. 또한, 상이한 챔버구조에도 상당히 자유롭게 적용될 수 있다. PFC의 현저한 감소이외에도, 본 발명에 따른 통합 시스템은 세정시간의 감소에 따른 생산력의 증가에 의해 낮은 작동비용만이 필요하다. 또한 통합 시스템은 인 시츄 세정프로세스에 의한 챔버 내측에의 유해 효과를 제거함으로써 CVD 시스템의 수명을 연장시킬 수 있다.
본 발명의 일면에 따라서, 기판 프로세싱 시스템은 프로세스 챔버를 한정하는 하우징과 프로세스 챔버 내에서 기판을 처리하는 동안에 기판을 지지하는 기판 지지대를 포함한다. 상기 시스템은 입출구를 갖춘 원격 플라즈마 발생기, 및 가스를 프로세스 챔버 내측으로 도입하기 위한 가스 분배시스템도 포함한다. 상기 가스 분배시스템은 밸브 입구, 제 1 밸브 출구, 및 제 2 밸브 출구를 갖는 3방 밸브를 포함한다. 3방 밸브는 밸브 입구로부터 제 1 밸브출구로의 제 1 밸브 통로와 상기 밸브 입구로부터 제 2 밸브 출구로의 제 2 밸브 통로 사이의 유동을 스위칭하도록 조절된다. 제 1 입구측 유동도관은 원격 플라즈마 발생기의 출구와 프로세스 챔버 사이에 결합된다. 원격 플라즈마 도관은 3방 밸브의 제 1 밸브출구와 원격플라즈마 발생기의 입구 사이에 결합된다. 제 2 입구측 유동도관은 3방 밸브의 제 2 밸브 출구와 프로세스 챔버 사이에 연결된다.
몇몇 실시예에서, 가스 분배시스템은 프로세스 챔버에 연결된 제 3 입구측 유동도관을 포함한다. 가스 분배 시스템은 기스의 유동을 원격 플라즈마 발생기로부터 제 1 입구측 유동도관을 통해 챔버로 지향시키기 위해 제 1 유동통로를 갖춘 혼합 블록을 포함한다. 상기 혼합 블록은 제 2 입구측 유동도관과 프로세스 챔버 사이에 연결된 제 2 유동통로, 및 제 3 입구측 유동도관과 프로세스 챔버 사이에 연결된 제 3 유동통로를 포함한다. 혼합 블록내에 있는 제 2 및 제 3 통로는 부분적으로 중첩되며 제 2 및 제 3 입구측 유동도관으로부터 프로세스가스의 혼합을 유도하기 위한 다중 턴을 포함한다.
양호한 실시예에서, 원격 플라즈마 발생기는 챔버내의 기판 지지대 위에 배열되는 하우징의 리드상에 장착된다. 세라믹 절연자는 원격 플라즈마 발생기와 프로세스 챔버 사이에 연결되며 원격 플라즈마 발생깅와 프로세스 챔버 사이의 가스 유동을 촉진하기 위한 유동 통로를 포함한다. 챔버 내부에서의 인 시츄 플라즈마 프로세스중에, 프로세스 가스는 원격 플라즈마 발생기를 향해 역류한다. 한 쪽에 있는 챔버의 RF 고온 부품과 원격 플라즈마 발생기를 다른 쪽에 있는 챔버에 장착시키기 위한 접지된 장착 하드웨어 사이에 존재하는 프로세스가스는 그 영역에 2차 플라즈마 가스의 형성을 유도한다. 2차 플라즈마는 챔버 내측에 있는 플라즈마의 불안정을 유도하며 증착에 악영향을 끼친다. 세라믹 절연자는 챔버의 RF 고온 부품을 접지된 장착 하드웨어로부터 격리시켜 2차 플라즈마의 형성을 방지한다.
본 발명의 또다른 일면에 따라서, 기판 프로세싱 시스템은 프로세스 챔버를 한정하는 하우징과, 프로세스 챔버 내부에서의 기판 처리 중에 기판을 지지하는 기판 지지대, 및 원격 플라즈마 발생기를 포함한다. 상기 시스템은 제 1 가스라인, 제 2 가스라인, 제 1 가스용 제 1 가스 공급원, 제 2 가스용 제 2 가스 공급원, 및 제 3 가스용 제 3 가스 공급원도 포함한다. 제 1 작동 중에 제 1 가스를 제 1 가스라인과 원격 플라즈마 발생기를 통해 프로세스 챔버로 흐르게 하기 위해 제 1 가스 공급원을 제 1 가스 라인과 연결시키는 장치가 제공된다. 제 2 작동 중에, 상기 장치는 제 2 가스 공급원을 제 1 가스라인과 연결하여 제 2 가스가 원격 플라즈마 발생기를 우회하여 제 1 가스라인을 통해 프로세스 챔버로 흐르게 하며, 제 3 가스 공급원과 제 2 가스라인을 연결하여 제 3 가스가 프로세스 챔버 내측으로 흐르게 하며, 상기 제 2 및 제 3 가스가 프로세스 챔버 내측으로 흐르기 이전에 제 2 가스 및 제 3 가스를 함께 혼합한다.
Ⅰ.전형적 기판 프로세싱 시스템
본 발명의 방법으로 실행할 수 있는 적절한 하나의 기판 프로세싱 시스템이 도 1a와 도 1b에 도시된 바, 챔버 벽(15a)과 챔버 리드 조립체(15b)를 포함하는 진공 또는 프로세스 챔버(15)가 구비된 CVD 시스템(10)의 절단면도이다. 챔버 벽(15a)과 챔버 리드 조립체(15b)는 도 1c와 도 1d에서 분해사시도로 도시하였다.
A.프로세스 챔버
CVD 시스템(10)은 프로세스 챔버(15) 내측의 중앙에 있는 가열된 받침대(12)에 위치하는 기판(도시안됨)으로 프로세스 가스를 분산시키기 위한 가스 분배 매니폴드(11)를 포함한다. 처리과정 동안, 기판(예컨대, 반도체 웨이퍼)은 받침대(12)의 평평한(또는 다소 볼록한) 표면(12a)상에 위치한다. 받침대(12)는 하부 로딩/오프-로딩 위치(도 1a에 도시됨)와 매니폴드(11)에 근접된 상부 프로세스 위치(도 1a에서 점선으로 표시되고 도 1b에 도시된) 사이에서 제어가능하게 가동될 수 있다. 센터보드(도시안됨)는 웨이퍼의 위치에 대한 정보를 제공하기 위한 센서를 포함한다.
증착 및 캐리어 가스는 통상적으로 평평하며, 원형인 가스 분배 면판(13a)의 관통 홀(13b)을 통해 챔버(15)로 안내된다. 보다 명확하게 설명하자면, 증착 프로세스 가스들은 유입 매니폴드(11; 도 1b에서 화살표로 표시됨)를 통하고, 통상의 관통 블로커 판(42)을 통하며, 그 후에 가스 분배 면판(13a) 내의 홀(13b)들을 통해서 챔버로 유동된다.
매니폴드(11)에 도달하기 전에, 증착 및 캐리어 가스는 가스원(7)으로부터 가스 공급 라인(8;도 1b)을 통해 혼합 시스템(9)로 투입되면서 가스들은 결합되어 매니폴드(11)로 보내진다. 일반적으로, 각각의 프로세스 가스에 대한 공급 라인은, (i) 챔버 내측으로 유동되는 프로세스 가스를 자동 또는 수동으로 차단하는데 사용될 수 있는 몇개의 안전 차단 밸브(도시안됨)와, (ii) 공급 라인을 통하는 가스 유동을 정량하는 질량 유동 조절기(도시안됨)를 포함한다. 유독성 가스가 처리에 사용될 경우에는, 상기 몇개의 안전 차단 밸브가 통상적인 구성으로 각각의 가스 공급 라인상에 배치된다.
CVD 시스템(10)내에서 실행되는 증착 프로세스는 열적 프로세스 또는 플라즈마-강화 프로세스일 수 있다. 플라즈마-강화 프로세스에서, RF 전력 공급원(44)은 프로세스 가스 혼합물을 여기시키도록 가스 분배 면판(13a)과 받침대(12) 사이에 전력을 인가하여 면판(13a)과 받침대(12) 사이의 원통 영역내에 플라즈마를 형성한다.(이러한 영역은 이후 "반응 영역"이라 칭할 것임). 플라즈마 반응의 조성물은 받침대(12)상에 지지된 반도체 웨이퍼의 표면상에 원하는 박막을 증착한다. RF 전력 공급원(44)은 혼합된 주파수 RF 전력 공급원이며 진공 챔버(15)내로 안내되는 반응성 성분의 분해를 강화시키도록 통상적으로 13.56㎒의 높은 RF주파수(RF1)와 360㎑의 낮은 RF주파수(RF2)에 전력를 공급한다. 열적 프로세스에서, RF 전력 공급원(44)는 유용치 못할 것이고, 프로세스 가스 혼합물은 열적으로 반응하여 받침대(12)상에 지지된 반도체 웨이퍼의 표면에 원하는 박막을 증착하고, 가열되어 반응을 위한 열 에너지를 제공한다.
플라즈마-강화 증착 프로세스동안, 플라즈마는, 배출 통로(23)를 둘러싸는 챔버 바디(15a)와 차단 밸브(24)를 포함한 프로세스 챔버(10) 전체를 가열한다. 플라즈마가 활성화되지 못한 때이거나 열적 증착 프로세스동안, 챔버를 상승된 온도로 유지하도록 고열 액체는 프로세스 챔버(15)의 벽(15a)을 통해 순환된다. 챔버(15)의 리드 조립체(15b)내의 이러한 열 교환 통로(18)의 일부가 도 1b에 도시된다. 챔버 벽(15a)의 통로 나머지는 도시되지 않았다. 챔버 벽(15a)을 가열하는데 사용되는 유체는 통상적인 유체 종류, 즉, 물이 기초된 에틸렌 글리콜이나 오일이 기초된 열 전달 유체를 포함한다. 이러한 가열("열 교환기"에 의한 가열이라고 언급된)은 바람직하지 못한 반응 생성물의 응축을 유익하게 감소시키거나 제거시키고처리가스의 불안정한 생성물과 냉각 진공 경로의 벽에서 응축되어 가스 유동이 없는 과정동안 프로세스 챔버내로 되돌아와서 처리를 오염시킬 수 있는 다른 오염물의 감소를 개선한다.
반응 부산물을 포함한, 층에 증착되지 않는 가스 혼합물의 나머지는 진공 펌프(도시안됨)에 의하여 챔버(15)로부터 배출된다. 특히, 가스는 반응 영역을 둘러쌓는 환형의, 슬롯 오리피스(16)를 통해 환형 배출 플레넘(plenum; 17)으로 배출된다. 환형 슬롯(16)과 플레넘(17)은 챔버의 원통형 측벽(15a; 벽의 상부 유전체 내층(19)을 포함한)과 원형 챔버 리드(20)의 바닥 사이의 간극에 의해 형성된다. 슬롯 오리피스(16)와 플레넘(17)의 360°원형 대칭성 및 균일성은 웨이퍼상에서 균일한 박막을 증착하기 위한 웨이퍼위에서의 처리가스의 균일한 유동을 달성하는데 중요하다.
배출 플레넘(17)으로부터, 가스는 배출 플레넘(17)의 측방 팽창부(21) 아래로 흐르고, 조망 포트(도시안됨)를 지나, 하방-팽창 가스 통로(23)를 통과하여, 진공 차단 밸브(24; 몸체는 하부 챔버 벽(15a)에 통합됨)를 지나서 전방라인(도시안됨)을 통해 외부 진공 펌프(도시안됨)로 연결되는 배출 유출구(25)로 흐른다.
받침대(12; 바람직하게는 알루미늄, 세라믹, 또는 그것의 조합체)의 웨이퍼 지지 플래터(platter)는 평행 동심형 원의 형태에서 완전히 회전하도록 구성되어 가열 부재에 내장된 내장형 단일-루프를 이용하여 저항에 의하여 가열된다. 가열 부재의 외측부는 지지 플래터의 외주면 주변에 놓여지는 반면에, 내측부는 작은 반경을 갖는 동심형 원의 경로상에 놓여진다. 가열 부재의 배선은 받침대(12)의 스템을 통과한다.
통상적으로, 어떤 또는 모든 챔버 라이닝과, 유입 매니폴드 면판과, 다양한 다른 반응기 하드웨어들은 알루미늄, 산화 피막처리된 알루미늄, 또는 세라믹같은 재질로 만들어진다. 이러한 CVD 장치의 일례는 즈하오(Zhao) 등에게 허여된 미국 특허 제5,558,717호의 "CVD 프로세스 챔버"라는 명칭의 발명에서 설명하고 있다. 상기 특허 제 5,558,717호 특허는 본 출원인인 어플라이드 머티어리얼스사에게 양도되었으며, 이 특허는 여기에 참고로 사용되어 있다.
리프트 메카니즘과 모터(32; 도 1a)는 히터 받침대 조립체(12)를 올리고 내리며 웨이퍼 리프트 핀(12b)은 로봇 블레이드(도시안됨)을 사용하여 챔버(10) 내측의 삽입/제거 개구부(26)를 통해 웨이퍼를 챔버(15)의 몸체 안이나 바깥으로 전달한다. 모터(32)는 프로세스 위치(14)와 하부의 웨이퍼-로딩 위치 사이에서 받침대(12)를 올리거나 내린다. 모터, 밸브 또는 유동 조절기는 공급 라인(8), 가스 배분 시스템, 쓰로틀 밸브, RF 전력 공급원(44), 그리고 챔버에 연결되며 기판 가열 시스템은 제어 라인(36)상에서 시스템 제어기(34;도 1b)에 의해 모두 제어되며, 이중 일부만 도시되었다. 제어기(34)는 광학센서로부터의 피드백에 의존하여 제어기(34)의 제어상태하에서 전용 모터에 의해 움직이는 쓰로틀 밸브와 유전분극기와 같은 가동 메카니컬 조립체들의 위치를 결정한다.
도 1b에 도시된 전형적인 실시예에서, 시스템 제어기는 하드 디스크 드라이브(메모리;38), 플로피 디스크 드라이브 및 프로세서(37)를 포함한다. 프로세서는 싱글-보드 컴퓨터(Single Board Computer;SBC), 아나로그 및 디지털 입출력 보드,인터페이스 보드 그리고 스테퍼 모터 제어 보드를 포함한다. 다양한 CVD 시스템(10)의 부품은 보드, 카드 케이지 그리고 커넥터 치수와 형태를 규정하는 Versa Modular European(VME) 표준에 따른다. 상기 VME 표준은 또한 버스 구조를 16비트 데어터 버스와 24비트 어드레스 버스를 가지게 것으로 규정한다.
시스템 제어기(34)는 CVD 머신의 모든 작동을 제어한다. 이러한 시스템 제어기는 메모리(38)와 같은 컴퓨터 판독 가능 매체에 저장되어 있는 컴퓨터 프로그램인 시스템 제어 소프트웨어를 실행시킨다. 메모리(38)는 하드 디스크 드라이브가 바람직하지만 다른 유형의 메모리도 가능하다. 컴퓨터 프로그램은 타이밍, 가스의 혼합, 챔버 압력, 챔버 온도, RF 전력 레벨, 서셉터 위치, 및 이외의 특수 프로세스의 파라미터를 지시하는 여러 세트의 명령어를 포함한다. 제어기(34)를 작동하기 위해, 예컨대 플로피 디스크 또는 다른 적절한 드라이브를 포함하는 다른 메모리 장치에 저장된 다른 컴퓨터 프로그램을 이용할 수도 있다.
제어기(34)에 의해 실행되는 컴퓨터 프로그램 제조물을 이용하여 기판상에 박막을 형성하는 프로세스 또는 챔버(15)를 세정하는 프로세스를 실행할 수 있다. 예컨대, 68000 조립체 랭귀지, C, C++, 파스칼, 포트란 등과 같은 종래의 임의의 컴퓨터 판독가능 프로그래밍 언어로 컴퓨터 프로그램 코드를 작성할 수 있다. 종래의 텍스트 편집기를 이용하여 적합한 프로그램 코드를 단일 파일, 또는 복수 파일에 입력하고, 컴퓨터의 메모리 시스템과 같은 컴퓨터 이용가능 매체내에 이러한 프로그램 코드를 저장하거나 구현한다. 입력된 코드 텍스트가 고급 언어라면, 코드가 컴파일되어 생성된 컴파일러 코드는 사전컴파일된 윈도우즈TM라이브러리 루틴(WindowsTMlibrary routines)의 목적 코드와 링크된다. 컴파일되고 링크된 목적 코드를 실행하기 위해 시스템 사용자는 목적 코드를 인보크(invoke)하고, 컴퓨터 시스템이 메모리에 코드를 로드하게 한다.
사용자와 제어기(34) 사이의 인터페이스는 도 1e에 도시된 CRT 모니터(50a)와 라이트 펜(50b)을 경유하고 있으며, 도 1e는 기판 프로세싱 시스템의 시스템 모니터와 CVD 시스템(10)의 단순도이고 기판 프로세싱 시스템은 하나 이상의 챔버를 포함할 수도 있다. 바람직한 실시예에서, 2개의 모니터(50a)가 사용되는데, 이들 모니터 중 하나는 오퍼레이터를 위해 세정실 벽에 장착되며, 다른 하나는 서비스 기술자를 위해 벽뒤에 장착된다. 모니터(50a)는 동일 정보를 동시에 디스플레이하지만, 하나의 라이트 펜(50b)만이 이용가능하다. 라이트 펜(50b)의 팁(tip)내에 위치하는 광센서는 CRT 디스플레이에 의해 발산되는 빛을 검지한다. 특수 스크린 또는 특수 기능을 선택하기 위해, 오퍼레이터는 디스플레이 스크린의 지정된 영역을 터치하여 펜(50b)상의 버튼을 민다. 터치된 영역은 가장 밝은 색으로 변하거나, 새로운 메뉴 또는 스크린이 디스플레이되어 라이트 펜과 디스플레이 스크린 사이의 커뮤니케이션을 확인한다. 사용자가 제어기(34)와 소통할 수 있도록 라이트 펜(50b) 대신에, 또는 라이트 펜(50b)에 추가하여, 키보드, 마우스, 또는 포인팅(pointing) 또는 커뮤니케이션 장치와 같은 다른 장치를 이용할 수도 있다.
B. 원격 플라즈마 발생기의 통합
도 1a 및 도 2에는, 가스 분포 면판(gas distribution faceplate)(13a) 및 가스 분포 매니폴드를 포함하는 프로세스 챔버(15)의 리드 조립체(lid assembly)(15b)상에 장착된 원격 플라즈마 발생기(60)가 도시되어 있다. 도 1a 및 도 3에 가장 잘 도시되어 있듯이, 장착 어댑터(mounting adaptor)(64)는 리드 조립체(15b)상에 원격 플라즈마 발생기(60)를 장착시킨다. 이러한 어댑터(64)는 전형적으로 금속으로 이루어진다. 가스 분포 매니폴드(11)의 상류측에는 혼합 장치(70)가 연결되어 있다(도 1a). 이러한 혼합 장치(70)는 프로세스 가스를 혼합하기 위해 혼합 블록(76)의 슬롯(74) 내부에 배치된 혼합 삽입구(72)를 포함한다(도 3). 장착 어댑터(64)와 혼합 장치(70) 사이에는 세라믹 절연체(66)가 위치한다(도 1a 및 도 3). 이러한 세라믹 절연체(66)는 산화알루미늄(Al2O3)(순도 99%), 텔프론(Teflon)TM등과 같은 세라믹 물질로 이루어진다. 설치되는 경우, 혼합 장치(70)와 세라믹 절연체(66)는 리드 조립체(15b)의 부분을 형성한다. 절연체(66)는 아래에 보다 상세히 설명하듯이, 리드 조립체(15b)내에 형성되는 2차 플라즈마용 포텐셜을 최소화시키기 위해 혼합 장치(70) 및 가스 분포 매니폴드(11)로부터 금속 어댑터(64)를 절연시킨다. 도 2에 도시한 바와 같이, 3방 밸브(77)는 원격 플라즈마 발생기(60) 곧바로 또는 원격 플라즈마 발생기(60)를 통하여 중 어느 하나로 프로세스 가스가 프로세스 챔버로 유동하는 것을 제어한다.
원격 플라즈마 발생기(60)는 리드 조립체(15b)상에 편리하게 장착될 수 있으며 비용과 시간 소비의 변경없이 현존 챔버상에서 장치를 용이하게 개장할 수 있는소형 자장식 유닛(self-contained unit)인 것이 바람직하다. 한가지 적합한 유닛은 매사추세츠 우번 소재의 어플라이드 사이언스 앤드 테크놀로지(Applied Science and Technology)가 제조하는 아스트론(ASTRON)TM발생기이다. 아스트론TX발생기는 프로세스 가스를 분리하도록 저분야 토로이달(low-field toroidal)(LFTTM) 플라즈마를 이용한다. 일실시예에서, 프로세스 챔버(15)내의 박막 증착을 세정하는데 이용되는 프리 불소를 발생시키기 위해 플라즈마는 NF3와 같은 불소 함유 가스와 아르곤과 같은 캐리어 가스를 포함하는 프로세스 가스를 분리시킨다.
도 3 및 도 4에 도시된 바와 같이, 혼합 블록(76)은 내부에서 혼합되도록 프로세스 가스를 수용하는 입구(78,80)와, 가스 혼합물이 통해서 나가는 바닥에 위치한 출구(81)를 포함한다. 냉각용 혼합 블록(76)을 통하여 냉각제를 순환시키기 위해 냉각제 입구(82) 및 냉각제 출구(84)가 제공된다(도 3). 프로세스 가스의 혼합은 혼합 삽입구(72)에서 발생한다.
도 4는 CVD 시스템(10)을 통하여 유동하는 가스를 개략적으로 도시한다. 제 1 가스 라인(90)으로부터의 제 1 프로세스 가스는 입구(91)에 있는 3방 밸브(77)에 유입되어 제 1 출구(92) 또는 제 2 출구(93) 중 어느 하나를 통하여 유출된다. 이러한 제 1 출구(92)는 원격 플라즈마 발생기(60)를 통하여 중간 도관(94)으로 이어져 혼합 블록(76)의 상부 입구(98)에 연결된 상부 도관(95)으로 이어져 있다. 이러한 발생기(60)내의 플라즈마는 상부 도관(95), 혼합 장치(70), 및 가스 분포 매니폴드(11)를 통하여 챔버(15)로 유동하게 되는 제 1 프로세스 가스로부터의 플라즈마 종(species)을 생성시킨다. 도 1a에 도시한 바와 같이, 세라믹 삽입구(66)와 장착 어댑터(64)를 통과하는 도관은 원격 플라즈마 발생기(60)와 혼합 장치(70) 사이에 유체적으로 연결된 상부 도관(95)을 형성한다.
도 4를 참조하면, 제 2 출구(93)는 입구 도관(96)을 경유하고 원격 플라즈마 발생기(60)를 우회하여 혼합 장치(70)의 혼합 블록(76)의 측면 입구(78)에 바로 이어져 있다. 제 1 프로세스 가스는 제 2 가스 라인(100)을 통하여 측면 입구(80)에 있는 혼합 블록(76)에 유입된다. 구체적인 실시예에서, 제 2 가스 라인(100)으로 공급된 액체 전구체(liquid precursor)를 기화시키기 위해 기화기(101)가 제 2 가스 라인(100)과 연결되어 있다. 프로세스 가스는 혼합 장치(70)의 혼합 삽입구(72)에서 혼합된다. 가스 혼합물은 혼합 블록(76)의 출구(81)에서 유출되어 가스 분포 매니폴드(11)를 통하여 챔버(15)로 유입된다. 배출 가스는 배출 출구(25)를 통하여 챔버(15)에서 유출된다.
도 5a의 정면도 도 5b 및 도 5c의 측면도에서 가장 잘 알 수 있듯이, 혼합 삽입구(72)는 복수의 유동 경로를 포함한다. 화살표 106으로 표시된 바와 같은 제 1 유동 경로는 삽입구(72)의 중심선을 통하여 일반적으로 직선으로 연장되며(도 5b 및 도 5c), 혼합 블록(76)의 상부 입구(98)와 출구(81) 사이에 유체적으로 연결된다(도 4). 화살표 108로 표시된 바와 같은 제 2 유동 경로는 일반적으로 삽입구(72)의 오른쪽을 통하여 굽이쳐 흐르며(도 5a 및 도 5c) 혼합 블록(76)의 측면 입구(78)와 출구(81) 사이에 유체적으로 연결되어 있다(도 4). 화살표 110으로 표시된 바와 같은 제 3 유동 경로는 일반적으로 삽입구(72)의 좌측을 통하여 굽이쳐 흐르며(도 5a 및 도 5b), 혼합 블록(76)의 측면 출구(80)와 입구(81) 사이에 유체적으로 연결되어 있다(도 4). 도 5a에 도시된 바와 같이, 제 2 유동 경로(108) 및 제 3 유동 경로(110)는 복수의 위치에서 부분적으로 중첩되며, 완전한 혼합을 유도하도록 가스의 헤드 온 혼합(head-on mixing)을 제공하기 위해 급격한 회전(turns)이 바람직하다. 삽입구(72)의 바닥 근처에, 유동 경로(108,110)는 구멍(114,116)(도 5a 및 도 5b)을 각각 통과하여 중심에서 합쳐지며, 혼합 블록(76)의 출구(81)와 유체적으로 연결되는 공통 출구로 제 1 유동 경로(106)의 후부가 이어진다(도 4). 제 2 유동 경로(108) 및 제 3 유동 경로(110)는 제 1 유동 경로(106)보다 대체로 길며 입구(78,80)로부터 제 1 프로세스 가스 및 제 2 프로세스 가스의 완전한 혼합을 용이하게 하도록 난류를 발생시키는 복수의 회전을 포함한다. 원격 플라즈마 발생기(60)에서 생성된 플라즈마 종의 재혼합을 최소화하도록 제 1 유동 경로(106)는 짧고 곧은 것이 바람직하다. 일실시예에서, 제 1 유동 경로(106)는 길이가 약 1.5인치이며, 제 2 유동 경로(108) 및 제 3 유동 경로(110)의 길이는 약 7.5인치이다.
3방 밸브(77)는 도 6에 가장 잘 도시한 바와 같이 원격 플라즈마 발생기(60)의 측면에 장착되어 있다. 이러한 3방 밸브(77)는 전형적으로 공기압식으로 작동되며, 입구(91)와 제 1 출구(92) 사이에 연결되는 제 1 압력과, 입구(91)와 제 2 출구(93) 사이에 연결되는 제 2 압력(122)을 포함한다. 밸브(77)의 입구(91)는 제 1 가스 라인(90)과 연결되어 있다. 밸브(77)의 제 1 출구(92)는 원격 플라즈마 발생기(60)에 연결되는 중간 도관(94)과 연결되어 있다. 밸브(77)의 제 2 출구(93)는 챔버(15)로 이어지는 입구 도관(96)과 연결되어 있다. 입구(91)로부터 제 1 출구(92)로의 유동을 차단하기 위해 제 1 압력 스위치(120)는 정상적으로 폐쇄되어 있는 한편, 입구(91)로부터 제 2 출구(93)로의 유동을 허용하기 위해 제 2 압력 스위치(122)는 정상적으로 개방되어 있다. 이러한 모드에서, 제 1 프로세스 가스는 입구 도관(96)을 경유하며 원격 플라즈마 발생기(60)를 우회하여 챔버(15)로 유동한다. 밸브(77)가 다른 모드로 변환되는 경우, 제 1 압력 스위치(120)는 개방되고 제 2 압력 스위치(122)는 폐쇄되어, 챔버(15)에 들어가기 전에 중간 도관(94)을 통하여 원격 플라즈마 발생기(60)로 제 1 프로세스 가스를 인도한다.
원격 플라즈마 발생기(60)에 의해 분리되는 가스만이 발생기를 통하여 유동하게 하는 것을 3방 밸브(77)가 보장하게 한다는 장점이 있다. 플라즈마가 꺼진 상태에서 발생기(60)를 통하여 오존과 같은 다른 가스가 유동할 수 있지만, 이러한 실행의 롱텀 효과(long-term effect)는 알려지지 않는다. 3방 밸브(77)의 이용은 임의의 포텐셜 문제를 극복한다. 또한, 밸브(77)의 이용은, 원격 플라즈마 발생기(60)로 가스를 인도함으로써 또는 원하는 대로 원격 플라즈마 발생기(60)를 우회시킴으로써 상이한 프로세스용 챔버(15) 안으로 상이한 가스들을 유동시키기 위해 동일 가스 라인(90)을 이용할 수 있게 한다. 이러한 구성은 필요한 가스 라인의 수를 최소화시키며, 시스템(10)의 신뢰도를 향상시키고, 현존 챔버를 개장하도록 가스 전달 시스템에 행해지는 변경의 수를 감소시킨다.
도 6에 도시된 바와 같이, 제 1 커넥터(123)는 제 1 가스 라인(90)의 상부 및 하부 부재를 서로 결합시키며 제 2 커넥터(124)는 입구 도관(96)의 상부 및 하부 부재를 서로 결합시킨다. 제 1 가스 라인(90)과 입구 도관(96)의 상부는 원격 플라즈마 발생기(60)에 부착되어 있으며, 제 1 가스 라인(90)과 입구 도관(96)의 하부는 챔버(15)의 측면에 부착되어 있다. 제 1 커넥터(123)에 있는 제 1 가스 라인(90)의 상부와 제 2 커넥터(124)에 있는 입구 도관(96)의 상부의 단절은 리드 조립체(15b)를 용이하고 신속하게 제거한다. 3방 밸브(77)는 리드 조립체(15b) 상에 장착된 원격 플라즈마 발생기(60)에 부착된다. 밸브(77), 발생기(60), 및 리드 조립체(15b)는 분해 없이 용이하게 제거되고 재조립될 수 있다.
도 6은 입구 영역에서의 압력을 모니터하기 위해 3방 밸브(77)에 있는 제 1 가스 라인(90)과 결합된 안전 압력 스위치(126)를 도시한다. 압력이 소정의 정도를 나타내면, 안전 압력 스위치(126)는 초과 압력의 축적과 누설을 방지하기 위해 입구 가스 유동을 중지시키는 신호를 표시한다. 이것은 특히 NF3와 같은 유독성 또는 위험한 프로세스 가스에 있어서 중요하다. 또한 도 6은 발생기(60)를 냉각시키는 냉각제를 전달하기 위해 원격 발생기(60)에 연결된 냉각 라인(128)을 도시한다.
도 1a 및 도 6에 도시된 실험적인 실시예는 원격 플라즈마 발생기(60)로부터 챔버(15) 내로 가스의 유동 경로(106)를 제공하고 혼합 장치(70)를 갖는 가스 전달 시스템을 갖는다. 또한 혼합 장치(70)는 두 개의 입구 가스 라인(96, 100)으로부터 프로세스 가스를 혼합하고 혼합물을 챔버(15)로 전달하는 두 개의 부분적으로 겹처진 유동 경로(108, 110)를 포함한다(도 5a 내지 도 5c). 가스 전달 시스템은 일반적으로 두 개의 모델 중 한 모델로 작동한다. 증착 모드에서, 제 1 프로세스가스는 3방 밸브(77)와 입구 도관(96)을 통해서 제 1 가스 라인(90)으로부터 혼합 장치(70)로 유동하고 제 2 가스 프로세스 가스는 제 2 가스 라인(100)으로부터 혼합 장치(70)로 유동한다(도 4). 혼합 장치(70)는 기판 상에 층을 증착시키기 위해 제 1 및 제 2 프로세스 가스를 혼합하여 혼합물을 챔버(15)로 전달한다. 세정 모드에서, 제 2 프로세스 가스를 위한 공급원은 차단된다. 제 1 프로세스 가스는 세정 플라즈마 전구체이며 3방 밸브(77)와 중간 도관(94)을 통해 제 1 가스 라인(90)으로부터 원격 플라즈마 발생기(60)로 유동한다(도 4). 발생기(60)는 플라즈마를 형성하여 세정 플라즈마 종(plasma species)내의 전구체를 분해하며 플라즈마 종을 챔버(15) 내의 증착물을 세정하기 위해 도관(95)과 혼합 장치(70)를 통해 챔버(15)로 운반한다. 물론, 다른 작동 방법이 수행될 수 있다. 예를 들어, 또다른 모드는 제 1 프로세스 가스를 제 1 가스 라인(90)으로부터 원격 플라즈마 발생기(60)를 통해 챔버(15)로 유동시키고 제 2 프로세스 가스를 제 2 가스 라인(100)을 통해 챔버(15)로 직접 유동시키는 것에 관계된다.
가스 프로세스 시스템은 모델 구조 때문에 상대적으로 용이하게 수정될 수 있다고 예상된다. 예를 들어, 도 3과 도 5a 내지 도 5c의 혼합물 삽입구(72)는, 예를 들어 상이한 혼합 구조를 갖는 삽입구로 교체될 수 있다. 혼합 블록(76)은 두 개 이상의 가스 라인으로부터 가스를 수용하는 부가적인 입구를 갖는 블록에 의해 교체될 수 있다. 이러한 수정은 상이한 프로세스를 수행하기 위해 가스 혼합과 챔버(15)로의 운반의 부가적인 모드를 수행하는 가스 유동 옵션을 제공한다.
도 1a와 도 3에 도시된 세라믹 절연체(66)의 사용으로 리드 조립체(15b) 내에 2차 플라즈마의 잠재적인 형성에 의해 야기되는 불안정을 제거한다. 도 1a에 도시된 시스템의 구성에서, 프로세스 가스는 장착 어댑터(64)를 통해 도관에 의해 형성된 상부 도관(95)과 세라믹 삽입구(66)를 통해 백스트림으로 흐른다. 플라즈마가 챔버(15) 내에 형성될 때, 가스 분배 매니폴드(11)와 혼합 장치(70)는 고온 RF이다. 금속 장착 어댑터(64)는 일반적으로 접지된다. 헬륨과 같은 플라즈마를 가격할 수 있는 가스가 고온 RF 매니폴드(11)와 접지된 어댑터(64) 사이의 도관(95) 내에 존재한다면, 2차 플라즈마가 상부 도관(95) 내에 형성된다. 2차 플라즈마는 챔버(15) 내의 플라즈마의 불안정성을 야기하여, 다공성 적층 박막과 같은 불량한 박막의 증착을 야기한다. 예를 들어, PE(플라즈마 향상) 박막의 증착과 인-시츄 적층 박막의 형성에서 SA(하부 대기압) 박막의 증착 사이에 공통된 기판의 N2프로세싱 중에 상부 도관(95) 내에 헬륨과 같은 가스가 존재할 수 있다. N2프로세싱은 일반적으로 헬륨과 질소 가스를 사용하여 챔버(15) 내의 플라즈마를 가격하는 플라즈마 프로세싱이다. 헬륨은 N2프로세싱 중에 상부 도관(95) 내로 백스트림한다. 가스 분배 매니폴드(11)와 혼합 장치(70)는 고온 RF이며 장착 어댑터(64)는 접지되기 때문에, 매니폴드(11)와 어댑터(64) 사이의 상부 도관(95) 내에 헬륨의 존재는 도관(95) 내에 형성하는 2차 플라즈마를 유도하여 챔버(15) 내의 플라즈마 불안정성을 야기한다. 금속 어댑터(64)를 혼합 장치(70)와 가스 분배 매니폴드(11)로부터 절연시킴으로써, 세라믹 절연체(66)는 상부 도관(95) 내에 2차 플라즈마를 형성하는 포텐셜을 최소화한다.
2차 플라즈마는 인-시츄 플라즈마 프로세스가 챔버 내에서 수행될 때 잠재적인 문제점이라고 예상된다. N2와 헬륨에 대한 이온화 포텐셜이 모든 가스 중 가장 높기 때문에 2차 플라즈마가 N2프로세스에서 발생할 가능성이 크다.
Ⅱ.실험적인 프로세스 유동
단순화된 도 7은 증착과 세정 프로세스를 수행하는 도 1a 내지 도 7의 CVD 시스템(10)의 사용을 설명한다. 프로세스의 초기 단계(200)에서, 프로세스 모드가 선택된다. 증착 모드(단계 202)에서, 기판이 챔버(15) 내에 제공된다(단계 204). 3방 밸브(77)는 단계(206)에서 원격 플라즈마 발생기(60, 도 4)를 바이패스하도록 스위치된다. 제 1 프로세스 가스는 세 방향 벨브(77)와 입구 도관(96)을 통해 제 1 입구 라인(90)을 통해 혼합 장치(70)로 향해진다(단계 208). 단계(210)에서, 제 2 프로세스 가스는 제 2 입구 라인(100)을 통해 혼합 장치(70)로 유동된다(도 4). 혼합 장치(77, 도 5a 내지 도 5c)는 단계(212)에서 제 1 및 제 2 프로세스 가스를 혼합한다. 가스 혼합물은 기판 상에 층을 증착하기 위해 챔버(15) 내로 유동된다(단계 214). 본 발명에 따른 일 실시예에서, 제 1 프로세스 가스는 오존을 포함하며, 제 2 프로세스 가스는 TEOS를 포함한다.
도 7의 세정 모드(단계 216)에서, 3방 밸브(77, 도 4)는 단계(218)에서 원격 플라즈마 발생기(60)로 유동이 향하도록 스위치된다. 세정 플라즈마 전구체(60)는 제 1 입구 라인(90)으로부터 3방 밸브(77)를 통해 전구체를 분해하여 세정 플라즈마 종을 생성하는 발생기(60)로 유동된다. 플라즈마 종은 단계(222)에서 챔버(15,도 4)를 세정하기 위해 원격 플라즈마 발생기(60)로부터 혼합 장치(70)를 통해 챔버(15)로 유동된다. 본 발명에 따른 일 실시예에서, 세정 플라즈마 전구체는 NF3와 아르곤과 같은 플루오르 함유 가스를 포함한다. 발생기(60) 내의 플라즈마는 프로세스 챔버(15) 내의 증착물을 세정하기 위해 NF3를 분해하여 자유 플르오르를 생성한다.
세정 시간은 원격 플라즈마 발생기(60)가 챔버(15) 내의 압력 보다 높고, 바람직하게 실질적으로 높다면, 일반적으로 감소될 수 있다고 공지되어 있다. 예를 들어, 원격 플라즈마 발생기(60) 내의 압력은 바람직하게 챔버(15) 내의 압력의 약 10 배 이상, 보다 바람직하게 약 30 배 이상이다. 본 발명에 따른 특정 실시예에서, 챔버(15) 내의 압력은 약 0.25 토르이며, 원격 플라즈마 발생기(60) 내의 압력은 약 8 토르이다. 시스템 제어기(34)는 챔버(15) 내의 압력 뿐만 아니라 원격 플라즈마 발생기(60) 내의 압력을 제어하는데 사용될 수 있다.
본 발명은 작동중지 시간을 줄일 수 있는 저가의 원격 플라즈마 시스템을 제공하는 효과가 있다.
이와 같이 본 발명에 따른 특정 실시예에 대하여 완전히 설명하였지만, 다양한 수정, 변화, 및 선택사항이 사용될 수도 있다. 예를 들어, 3방 밸브는 선택적인 유동 경로 사이로 가스 유동을 향하게 하는 다른 유동 제어 장치로 교체될 수도 있다. 혼합 장치는 다른 구성과 혼합 패턴을 가질 수도 있다. 다른 변화가 당업자에게 명백할 것이다. 이러한 동등물과 선택사항은 본 발명의 사상 내에 포함된다. 그러므로, 본 발명의 범위는 상술된 실시예에 제한되지 않지만, 다음의 청구범위와 동등물의 모든 범위에 의해 한정된다.

Claims (21)

  1. 기판 프로세싱 시스템으로서,
    프로세스 챔버를 이루는 하우징과,
    상기 프로세스 챔버내에서 기판을 프로세싱하는 동안 기판을 지지하기 위한 기판 지지체와,
    입구 및 출구를 가진 원격 플라즈마 발생기와,그리고
    상기 프로세스 챔버로 가스들을 유입하기 위한 가스 운반 시스템을 포함하며,
    상기 가스 운반 시스템은, 밸브 입구, 제 1밸브 출구와 제 2 밸브 출구를 가지며, 상기 밸브 입구로부터 상기 제 1밸브 출구까지의 제 1밸브 경로와 상기 밸브 입구로부터 상기 제 2밸브 출구까지의 제 2밸브 경로 사이의 유동을 전환하도록 조정가능한 3방 밸브와,
    상기 프로세스 챔버와 상기 원격 플라즈마 발생기의 상기 출구사이에 결합된 제 1 입구 유동 도관과,
    상기 3방 밸브의 제 1밸브 출구와 상기 원격 플라즈마 발생기의 상기 입구사이에 결합된 원격 플라즈마 도관과, 그리고
    상기 3방 밸브의 제 2 밸브 출구와 상기 프로세스 챔버 사이에 결합된 제 2 입구 유동 도관을 포함하는 기판 프로세싱 시스템.
  2. 제 1항에 있어서, 상기 3방 밸브는 공압적으로 작동되는 기판 프로세싱 시스템.
  3. 제 1항에 있어서, 상기 가스 운반 시스템은 상기 프로세스 챔버와 결합된 제 3입구 유동 도관을 더 포함하는 기판 프로세싱 시스템.
  4. 제 3항에 있어서, 상기 가스 운반 시스템은 상기 제 3입구 유동 도관과 결합된 기화기를 더 포함하는 기판 프로세싱 시스템.
  5. 제 3항에 있어서, 상기 제 1입구 유동 도관, 제 2입구 유동 도관 및 제 3입구 유동 도관은 상기 기판 지지체 위에 일반적으로 배치된 챔버 입구에서 상기 프로세스 챔버와 결합되어 있는 기판 프로세싱 시스템.
  6. 제 3항에 있어서, 상기 가스 운반 시스템은 혼합 장치를 더 포함하며, 상기 혼합 장치는 상기 프로세스 챔버와 결합된 출구, 상기 제 1입구 유동 도관과 결합된 제 1입구와 상기 제 2입구 유동 도관과 결합된 제 2입구와 상기 제 3입구 유동 도관과 결합된 제 3입구를 가지는 기판 프로세싱 시스템.
  7. 제 6항에 있어서, 상기 혼합 장치는 상기 제 1입구와 상기 출구사이의 제 1유동 경로, 상기 제 2입구와 상기 출구사이의 제 2유동 경로, 상기 제 3입구와 상기 출구사이의 제 3유동 경로를 가지며, 상기 제 2유동 경로와 상기 제 3유동 경로는 적어도 부분적으로 오버랩되어 있는 기판 프로세싱 시스템.
  8. 제 7항에 있어서, 상기 제 1유동 경로는 상기 제 2유동 경로와 상기 제 3유동 경로보다 길이가 대부분 더 짧은 기판 프로세싱 시스템.
  9. 제 7항에 있어서, 상기 제 2유동 경로와 상기 제 3유동 경로는 다수의 선회수(turns)를 가지는 기판 프로세싱 시스템.
  10. 제 1항에 있어서, 상기 원격 플라즈마 발생기는 상기 기판 지지체위에 일반적으로 배치된 프로세스 챔버의 리드상에 장착되어 있는 기판 프로세싱 시스템.
  11. 제 10항에 있어서, 상기 원격 플라즈마 발생기와 상기 프로세스 챔버사이에 결합된 세라믹 절연체를 더 포함하며, 상기 세라믹 절연체는 상기 프로세스 챔버와 상기 원격 플라즈마 발생기의 출구 사이에 결합된 상기 제 1입구 유동 도관의 일부분을 형성하는 유동 통로를 포함하는 기판 프로세싱 시스템.
  12. 제 11항에 있어서, 상기 프로세스 챔버는 상기 기판 위에 배치된 다수의 출구 구멍을 가지는 가스 분배 매니폴드를 포함하며, 상기 가스 분배 매니폴드는 상기 세라믹 절연체의 상기 유동 통로와 유체적으로 결합되어 있는 기판 프로세싱 시스템.
  13. 기판 프로세싱 시스템으로서,
    프로세스 챔버를 이루는 하우징과,
    상기 프로세스 챔버내에서 기판을 프로세싱하는 동안 기판을 지지하기 위한 기판 지지체와,
    원격 플라즈마 발생기와,
    제 1가스 라인과,
    제 2가스 라인과,
    제 1가스용 제 1가스 공급부와,
    제 2가스용 제 2가스 공급부와,
    제 3가스용 제 3가스 공급부와, 그리고
    제 1작동 동안, 상기 제 1가스를 상기 제 1가스 라인과 상기 원격 플라즈마 발생기를 통해서 상기 프로세스 챔버로 흘려보내기 위해서 상기 제 1가스 라인과 상기 제 1가스 공급부를 결합하고, 제 2작동에서, 상기 제 2가스를 상기 제 1가스 라인을 통해서 상기 원격 플라즈마 발생기를 바이패싱하여 상기 프로세스 챔버로 흘려보내기 위해서 상기 제 1가스 라인과 상기 제 2가스 공급부를 결합하고, 상기 제 3가스를 상기 프로세스 챔버로 흘려보내기 위해서 상기 제 2가스 라인과 상기 제 3가스 공급부를 결합하고, 상기 제 2가스와 상기 제 3가스를 상기 프로세스 챔버로 흘려보내기 전에 상기 제 1가스와 상기 제 2가스를 함께 혼합하기 위한 수단을 포함하는 기판 프로세싱 시스템.
  14. 제 13항에 있어서, 상기 원격 플라즈마 발생기와 상기 프로세스 챔버사이에 유체적으로 결합된 유동 통로를 가지는 세라믹 절연체를 더 포함하는 기판 프로세싱 시스템.
  15. 제 14항에 있어서, 상기 프로세스 챔버는 상기 기판 위에 배치된 다수의 출구 구멍을 가지는 가스 분배 매니폴드를 포함하며, 상기 가스 분배 매니폴드는 상기 세라믹 절연체의 상기 유동 통로와 유체적으로 결합되어 있는 기판 프로세싱 시스템.
  16. 제 13항에 있어서, 상기 하우징은 상기 기판 지지체 위에 일반적으로 배치된 리드를 포함하며, 상기 원격 플라즈마 발생기는 상기 뚜껑상에 장착되어 있는 기판 프로세싱 시스템.
  17. 제 13항에 있어서, 상기 제 2가스 라인과 결합된 기화기를 더 포함하는 기판 프로세싱 시스템.
  18. 제 13항에 있어서, 상기 원격 플라즈마 발생기내의 압력과 상기 프로세스 챔버내의 압력을 제어하기 위한 수단을 더 포함하는 기판 프로세싱 시스템.
  19. 제 18항에 있어서, 상기 제어 수단은 상기 프로세스 챔버내의 압력보다 큰 상기 원격 플라즈마 발생기내의 압력을 제공하기 위한 명령(instructions)을 포함하는 기판 프로세싱 시스템.
  20. 제 19항에 있어서, 상기 제어 수단은 상기 프로세스 챔버내의 압력보다 약 10배 이상의 상기 원격 플라즈마 발생기내의 압력을 제공하기 위한 명령을 포함하는 기판 프로세싱 시스템.
  21. 제 20항에 있어서, 상기 제어 수단은 상기 프로세스 챔버내의 압력보다 약 30배 이상의 상기 원격 플라즈마 발생기내의 압력을 제공하기 위한 명령을 포함하는 기판 프로세싱 시스템.
KR1020010023214A 2000-04-28 2001-04-28 원격 플라즈마 발생기가 통합된 반도체 프로세싱 챔버 KR100715075B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/561,325 US6387207B1 (en) 2000-04-28 2000-04-28 Integration of remote plasma generator with semiconductor processing chamber
US09/561,325 2000-04-28

Publications (2)

Publication Number Publication Date
KR20010098961A true KR20010098961A (ko) 2001-11-08
KR100715075B1 KR100715075B1 (ko) 2007-05-07

Family

ID=24241489

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010023214A KR100715075B1 (ko) 2000-04-28 2001-04-28 원격 플라즈마 발생기가 통합된 반도체 프로세싱 챔버

Country Status (6)

Country Link
US (1) US6387207B1 (ko)
EP (1) EP1150332A3 (ko)
JP (1) JP5214832B2 (ko)
KR (1) KR100715075B1 (ko)
SG (1) SG90772A1 (ko)
TW (1) TW492045B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100109473A (ko) * 2009-03-31 2010-10-08 램 리써치 코포레이션 플라즈마 어레스터 인서트
KR101134437B1 (ko) * 2005-06-21 2012-04-09 주식회사 원익아이피에스 하부주입 rpg 세정용 공정챔버
KR20200098739A (ko) * 2014-10-06 2020-08-20 어플라이드 머티어리얼스, 인코포레이티드 열 덮개를 구비한 원자 층 증착 챔버

Families Citing this family (603)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3381774B2 (ja) * 1997-12-24 2003-03-04 東京エレクトロン株式会社 CVD−Ti膜の成膜方法
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
US6868856B2 (en) * 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
JP4236882B2 (ja) * 2001-08-01 2009-03-11 東京エレクトロン株式会社 ガス処理装置およびガス処理方法
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7025866B2 (en) * 2002-08-21 2006-04-11 Micron Technology, Inc. Microelectronic workpiece for electrochemical deposition processing and methods of manufacturing and using such microelectronic workpieces
US6767836B2 (en) 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US20040129385A1 (en) * 2003-01-02 2004-07-08 International Business Machines Corporation Pre-loaded plasma reactor apparatus and application thereof
US6818249B2 (en) * 2003-03-03 2004-11-16 Micron Technology, Inc. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
JP4579157B2 (ja) * 2003-03-25 2010-11-10 東京エレクトロン株式会社 処理装置及び切り替え機構
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US6872909B2 (en) * 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
US6830624B2 (en) * 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050178333A1 (en) * 2004-02-18 2005-08-18 Asm Japan K.K. System and method of CVD chamber cleaning
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US20050227502A1 (en) * 2004-04-12 2005-10-13 Applied Materials, Inc. Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
KR100706251B1 (ko) * 2005-07-14 2007-04-12 삼성전자주식회사 반도체 제조 장치 및 냉매 순환 방법
US20070042131A1 (en) * 2005-08-22 2007-02-22 Applied Materials, Inc., A Delaware Corporation Non-intrusive plasma monitoring system for arc detection and prevention for blanket CVD films
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
WO2007140377A2 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080087642A1 (en) * 2006-09-25 2008-04-17 Sawin Herbert H Method for removing surface deposits in the interior of a chemical vapor deposition reactor
US7849919B2 (en) * 2007-06-22 2010-12-14 Lockheed Martin Corporation Methods and systems for generating and using plasma conduits
WO2009008659A2 (en) * 2007-07-11 2009-01-15 Sosul Co., Ltd. Plasma etching apparatus and method of etching wafer
US20090090382A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K. Method of self-cleaning of carbon-based film
US7871926B2 (en) * 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090120364A1 (en) * 2007-11-09 2009-05-14 Applied Materials, Inc. Gas mixing swirl insert assembly
US7993462B2 (en) 2008-03-19 2011-08-09 Asm Japan K.K. Substrate-supporting device having continuous concavity
US20090246399A1 (en) * 2008-03-28 2009-10-01 Asm Japan K.K. Method for activating reactive oxygen species for cleaning carbon-based film deposition
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090297731A1 (en) * 2008-05-30 2009-12-03 Asm Japan K.K. Apparatus and method for improving production throughput in cvd chamber
US20090325391A1 (en) * 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8133555B2 (en) * 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
EP2545197B1 (en) * 2010-03-12 2020-12-16 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
KR101893471B1 (ko) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
NL2010488C2 (en) 2012-03-20 2014-10-21 Mapper Lithography Ip Bv Arrangement and method for transporting radicals.
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9870919B2 (en) 2012-04-25 2018-01-16 Applied Materials, Inc. Process chamber having separate process gas and purge gas regions
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
KR20140023807A (ko) * 2012-08-17 2014-02-27 삼성전자주식회사 반도체 소자를 제조하는 설비
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9343293B2 (en) 2013-04-04 2016-05-17 Applied Materials, Inc. Flowable silicon—carbon—oxygen layers for semiconductor processing
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9142393B2 (en) 2013-05-23 2015-09-22 Asm Ip Holding B.V. Method for cleaning reaction chamber using pre-cleaning process
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US20160002784A1 (en) 2014-07-07 2016-01-07 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for depositing a monolayer on a three dimensional structure
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US9981293B2 (en) 2016-04-21 2018-05-29 Mapper Lithography Ip B.V. Method and system for the removal and/or avoidance of contamination in charged particle beam systems
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10934620B2 (en) 2016-11-29 2021-03-02 Applied Materials, Inc. Integration of dual remote plasmas sources for flowable CVD
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP7176860B6 (ja) * 2017-05-17 2022-12-16 アプライド マテリアルズ インコーポレイテッド 前駆体の流れを改善する半導体処理チャンバ
US11276559B2 (en) * 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11077410B2 (en) 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
WO2019113478A1 (en) 2017-12-08 2019-06-13 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR102610827B1 (ko) * 2018-12-20 2023-12-07 어플라이드 머티어리얼스, 인코포레이티드 개선된 가스 유동을 처리 챔버의 처리 용적에 공급하기 위한 방법 및 장치
US11721527B2 (en) * 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN113365747A (zh) * 2019-01-30 2021-09-07 应用材料公司 用于清洁真空系统的方法、用于真空处理基板的方法以及用于真空处理基板的设备
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11499231B2 (en) 2020-04-09 2022-11-15 Applied Materials, Inc. Lid stack for high frequency processing
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20230005765A1 (en) * 2021-07-02 2023-01-05 Applied Materials, Inc. Semiconductor processing chamber adapter
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69017271T2 (de) * 1989-06-15 1995-06-22 Semiconductor Energy Lab Gerät zur Bearbeitung mittels Mikrowellen in einem magnetischen Feld.
JP3468312B2 (ja) * 1994-07-29 2003-11-17 株式会社三菱化学ヤトロン アルカリホスファターゼの検出方法
JP3107275B2 (ja) * 1994-08-22 2000-11-06 東京エレクトロン株式会社 半導体製造装置及び半導体製造装置のクリーニング方法
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5935334A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6079426A (en) * 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
US6143084A (en) * 1998-03-19 2000-11-07 Applied Materials, Inc. Apparatus and method for generating plasma

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101134437B1 (ko) * 2005-06-21 2012-04-09 주식회사 원익아이피에스 하부주입 rpg 세정용 공정챔버
KR20100109473A (ko) * 2009-03-31 2010-10-08 램 리써치 코포레이션 플라즈마 어레스터 인서트
KR20200098739A (ko) * 2014-10-06 2020-08-20 어플라이드 머티어리얼스, 인코포레이티드 열 덮개를 구비한 원자 층 증착 챔버

Also Published As

Publication number Publication date
JP5214832B2 (ja) 2013-06-19
EP1150332A2 (en) 2001-10-31
SG90772A1 (en) 2002-08-20
US6387207B1 (en) 2002-05-14
EP1150332A3 (en) 2005-03-23
KR100715075B1 (ko) 2007-05-07
TW492045B (en) 2002-06-21
JP2002057114A (ja) 2002-02-22

Similar Documents

Publication Publication Date Title
KR100715075B1 (ko) 원격 플라즈마 발생기가 통합된 반도체 프로세싱 챔버
US6830624B2 (en) Blocker plate by-pass for remote plasma clean
US7037376B2 (en) Backflush chamber clean
US6361707B1 (en) Apparatus and methods for upgraded substrate processing system with microwave plasma source
KR101081628B1 (ko) 배기 개구를 특징으로 하는 가스 분배 샤워헤드
US6271148B1 (en) Method for improved remote microwave plasma source for use with substrate processing system
EP0843339B1 (en) Processing apparatus
US6303501B1 (en) Gas mixing apparatus and method
US7829145B2 (en) Methods of uniformity control for low flow process and chamber to chamber matching
US8075789B1 (en) Remote plasma cleaning source having reduced reactivity with a substrate processing chamber
US20070042131A1 (en) Non-intrusive plasma monitoring system for arc detection and prevention for blanket CVD films
US20060005856A1 (en) Reduction of reactive gas attack on substrate heater
US20090056626A1 (en) Apparatus for cyclical depositing of thin films
KR20050088454A (ko) 챔버 에지에서의 세정율을 개선하는 차단기 플레이트우회로 설계
JP2001520321A (ja) 非対称の流動形状を用いるプロセスチャンバ用リッドアセンブリ
KR19980071011A (ko) 고온 및 고 흐름 속도의 화학적 기상 증착 장치 및 관련증착 방법
US20030066486A1 (en) Microwave heat shield for plasma chamber
JPH11152573A (ja) ガス混合装置及び方法
EP1156511A1 (en) Remote plasma CVD apparatus
US20010042513A1 (en) Apparatus for improved remote microwave plasma source for use with substrate processing systems
KR20140037582A (ko) 반도체 프로세싱 챔버와 원격 플라즈마 발생기의 통합 시스템

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120329

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130329

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee