KR20050088454A - 챔버 에지에서의 세정율을 개선하는 차단기 플레이트우회로 설계 - Google Patents

챔버 에지에서의 세정율을 개선하는 차단기 플레이트우회로 설계 Download PDF

Info

Publication number
KR20050088454A
KR20050088454A KR1020057011525A KR20057011525A KR20050088454A KR 20050088454 A KR20050088454 A KR 20050088454A KR 1020057011525 A KR1020057011525 A KR 1020057011525A KR 20057011525 A KR20057011525 A KR 20057011525A KR 20050088454 A KR20050088454 A KR 20050088454A
Authority
KR
South Korea
Prior art keywords
gas
plate
chamber
gas distribution
distribution plate
Prior art date
Application number
KR1020057011525A
Other languages
English (en)
Inventor
수보 센
마오쉥 차오
인나 쉬무런
주형 이
산카르 벤카타라만
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20050088454A publication Critical patent/KR20050088454A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 처리 챔버 내로 가스들을 분배시키는 방법 및 장치에 관한 것이다. 일 실시예에서, 상기 장치는 상기 장치는 복수 개의 홀들이 관통하여 배치되도록 형성된 가스 분배 플레이트, 복수 개의 홀들이 관통하여 배치되도록 형성된 차단기 플레이트, 차단기 플레이트 및 가스 분배 플레이트를 통해 제 1 가스를 전달하도록 구성된 제 1 가스 경로, 및 차단기 플레이트 둘레에서 가스 분배 플레이트를 통해 제 2 가스를 전달하도록 구성된 제 2 가스 경로를 포함한다.

Description

챔버 에지에서의 세정율을 개선하는 차단기 플레이트 우회로 설계{BLOCKER PLATE BYPASS DESIGN TO IMPROVE CLEAN RATE AT THE EDGE OF THE CHAMBER}
본 발명의 실시예들은 일반적으로 반도체 기판 처리 시스템에 관한 것이고, 보다 상세하게는 처리 챔버 내로 가스를 전달하는 방법 및 장치에 관한 것이다.
집적 회로 및 반도체 장치의 제조시, 산화물과 같은 재료는 전형적으로 화학 기상 증착 (CVD) 챔버와 같은 처리 챔버 내 기판 상에 증착된다. 증착 처리는 전형적으로 증착 챔버의 벽, 그리고 가스 분배 플레이트 또는 페이스플레이트와 같은, 증착 챔버의 컴포넌트 상에 소정의 재료가 증착되는 결과를 가져온다. 상기 재료들이 처리 동안 가스 분배 플레이트를 통해 분배되기 때문에, 증착 층은 종종 가스 분배 플레이트 상에 형성되어, 플레이트의 홀을 메우거나 기판 상에 내려앉는 입자들로 박리되며, 그럼으로써 기판 상의 증착 균일성에 영향을 미치고 기판을 오염시킨다. 결과적으로, 증착 챔버의 내부를 정기적으로 세정할 필요가 있다.
가스 분배 플레이트를 포함하여, 증착 챔버를 세정하는 몇 가지 방법이 개발되었다. 예를 들어, 에천트(etchant) 플라즈마가 마이크로파 플라즈마 시스템, 토로이드 플라즈마 발생기 또는 유사한 장치와 같은 고밀도 플라즈마 소스에 의하여 증착 챔버로부터 원격으로 생성되는 원격 플라즈마 세정 절차가 채택될 수 있다. 그 다음 에천트 플라즈마로부터의 해리된 종들은 증착 챔버로 운반되고, 증착 챔버에서 해리된 종들은 목적하지 않은 증착 축적과 반응하여 에칭 제거한다. 인 시츄 챔버 세정 동작과 함께 챔버의 내부 상에 축적된 원치않는 증착 재료를 제거하는 것 또한 통상적이다. 통상적인 인 시츄 챔버 세정 기술들은 챔버 벽 및 다른 구역으로부터 증착된 재료를 제거하기 위하여 불소와 같은 에천트 가스의 사용을 포함한다. 에천트 가스는 챔버 내로 도입되고, 플라즈마는 에천트 가스가 챔버 벽에 증착된 재료와 반응하여 챔버 벽으로부터 증착된 재료를 제거하도록 형성된다.
가스 분배 플레이트의 주변 근처 또는 그 둘레의 온도는 대체로 가스 분배 플레이트의 중심의 온도보다 더 낮기 때문에, 주변 근처 또는 그 둘레에서의 세정율은 대체로 중심에서의 세정율에 비해 더 낮다. 주변 근처 또는 그 둘레에서의 이러한 더 낮은 세정율은 차례로 챔버를 세정하는데 걸리는 시간을 증가시킨다. 챔버를 세정하는데 걸리는 시간이 더 길수록, 주어진 시간 내 처리될 수 있는 기판의 수(즉, 처리량(throughput))는 더 줄어들고, 챔버를 세정하는데 소모되는 가스의 양은 더 늘어난다.
따라서, 챔버 내로 가스, 예를 들어, 세정 가스를 전달하는 개선된 방법 및 장치가 필요하다.
도 1은 본 발명의 여러 실시예들을 포함하는 CVD 시스템의 개략도이다.
도 2는 CVD 시스템에 대한 가스 분배 어셈블리의 투시도로서, 본 발명의 여러 실시예들을 포함한다.
도 3은 가스 분배 어셈블리의 평면도로서, 본 발명의 여러 실시예들을 포함한다.
도 4는 섹션 라인 4-4를 따라 절단한 도 3의 가스 분배 어셈블리의 부분적 단면도이다.
본 발명의 실시예들은 대체로 처리 챔버 내로 가스를 분배하는 장치에 관한 것이다. 일 실시예에서, 상기 장치는 복수 개의 홀들이 관통하여 배치되도록 형성된 가스 분배 플레이트, 복수 개의 홀들이 관통하여 배치되도록 형성된 차단기 플레이트, 차단기 플레이트 및 가스 분배 플레이트를 통해 제 1 가스를 전달하도록 구성된 제 1 가스 경로, 및 차단기 플레이트 둘레에서 가스 분배 플레이트를 통해 제 2 가스를 전달하도록 구성된 제 2 가스 경로를 포함한다.
본 발명의 실시예들은 또한 기판 처리 방법에 관한 것이고, 상기 방법은 제 1 가스 경로를 통해 화학 기상 증착 챔버 내로 하나 이상의 처리 가스들을 전달하는 단계, 기판 표면 상에 재료를 증착시키기 위해 처리 가스를 반응시키는 단계, 챔버로부터 기판을 제거하는 단계, 및 제 2 가스 경로를 통해 챔버 내로 하나 이상의 세정 가스를 전달하는 단계를 포함한다. 제 1 가스 경로는 제 2 가스 경로로부터 분리된다. 상기 방법은 부가하여 실질적으로 모든 증착물들이 소모될 때까지 챔버 내의 증착물과 세정 가스를 반응시키는 단계를 더 포함한다.
앞서 언급한 본 발명의 특징들이 상세히 이해될 수 있도록 하는 방식으로, 앞서 간단히 요약된 본 발명의 보다 상세한 설명이 첨부된 도면에 예시된 소정의 실시예들을 참조하여 이루어질 수 있다. 그러나, 첨부된 도면은 단지 본 발명의 전형적인 실시예를 예시할 뿐, 본 발명의 범위를 제한하는 것으로 간주되지 않으며, 본 발명에 대하여 다른 균등한 실시예들도 인정될 수 있다.
상세한 설명이 이제부터 제공될 것이다. 본 명세서에서 사용되는 여러 용어들이 이하에서 정의된다. 청구범위에서 사용되는 용어는 이하에서 정의되지 않기 때문에, 인쇄된 공개물 및 특허 공보에 반영된 것으로서, 당업자에게 가장 넓은 범위의 정의가 청구범위에 주어져야 한다. 본 발명의 실시예들은 일반적으로 화학 기상 증착 (CVD) 장치와 같은 처리 챔버 내로 가스를 분배하는 장치 및 방법에 관한 것이다.
도 1은 예시적인 CVD 장치(100)를 도시하고, 상기 CVD 장치는 본 발명의 여러 실시예들을 포함한다. CVD 장치(100)는 전기적으로 접지된 외부 벽(106), 내부 벽(108), 및 가스 분배 어셈블리(110)를 구비하고, 상기 외부 벽(106), 내부 벽(108) 및 가스 분배 어셈블리(110)는 동시에 제 1 챔버(102) 및 제 2 챔버(104)를 한정한다. 제 1 챔버(102) 및 제 2 챔버(104)는 내부 벽(108)에 의해 서로로부터 분리된다. 받침대(114)는 챔버들(102 및 104) 각각의 내부에 각각 배치된다. 각각의 받침대(114)는 실질적으로 각각의 챔버 중심라인(120A 및 120B)에 중심이 맞추어진다. 받침대(114)는 기판(116)을 지지하도록 구성된다. 기판(116)은 정전력, 기계적 또는 진공 클램핑, 중력, 및 이와 유사한 것을 사용하여 받침대에 놓이거나, 또는 대안적으로 고정된다. 가스 패널(112)은 CVD 장치(100)에 결합되어, 종래의 CVD에서 제 1 챔버(102) 및 제 2 챔버(104) 내에서 발생하도록 요구되는 처리 가스 및 다른 가스를 제공한다. CVD 챔버(100)는 또한 RF 소스(118)에 결합될 수 있다.
일반적으로, CVD 장치(100)는 캘리포니아 산타 클라라에 위치한 어플라이드 머티리얼즈로부터 상업적으로 구입할 수 있는 Producer® 반응기로서 알려져 있다. CVD 장치(100)는 07/05/2000에 출원되고 본 출원의 양수인에게 양도된 미국 출원 제 09/609,994호(APPM 3402), "APPARATUS FOR DISTRIBUTING GASES IN A CHEMICAL VAPOR DEPOSITION SYSTEM"에 상세히 기술되어 있으며, 상기 미국 출원은 본 명세서에 참조로서 편입된다. 비록 본 발명은 Producer® 반응기를 참조하여 기술되지만, 또한 캘리포니아 산타 클라라에 위치한 어플라이드 머티리얼즈로부터 상업적으로 구입할 수 있는 DXZ® 챔버와 같은 다른 CVD 리액터 및 챔버가 본 발명의 여러 실시예들을 실시하기 위해 사용될 수 있다. DXZ® 챔버는 본 출원의 양수인에게 양도된 2002년 4월 2일자 미국 특허 제 6,364,954 B2호에 개시된다.
이제 도 2 및 도 3을 참조하면, 가스 분배 어셈블리(110)의 투시도 및 평면도가 도시된다. 가스 분배 어셈블리(110)는 리드 플레이트(228), 제 1 가스 박스(208), 제 2 가스 박스(210) 및 원격 플라즈마 소스(200)를 구비한다. 리드 플레이트(228)는 일반적으로 알루미늄과 같은 전도성 재료로 제조된다. 리드 플레이트(228)는 하나 이상의 힌지(214)에 의해 외부 챔버 벽(106) 중 하나에 첨부된다. 리드 플레이트(228)의 개방을 용이하게 하기 위하여, 전형적으로 핸들(216)이 제공된다. 가스 분배 어셈블리(110)가 폐쇄 위치에 있을 때, 고정 메커니즘(226), 즉, 캡티브 래치(captive latch)는 챔버들(102 및 104)에 리드 플레이트(228)를 고정한다. 가스 분배 어셈블리(110)는 부가적으로 한 쌍의 입구 매니폴드(218)(도 2에서 한 쌍의 입구 매니폴드(218) 중의 하나는 원격 플라즈마 소스(200)에 의해 부분적으로 가려짐) 및 한 쌍의 일정 전압 구배 공급 채널(constant voltage gradient feed channel)(220)(도 2에서 한 쌍의 일정 전압 구배 공급 채널(220) 중의 하나는 원격 플라즈마 소스(200)에 의해 부분적으로 가려짐)을 포함한다. 각각의 입구 매니폴드(218)는 각각의 가스 박스(208 및 210)에 인접한 리드 플레이트(228) 상에 배치된다. 공급 채널(220)는 각각의 입구 매니폴드(218)를 각각의 가스 박스에 연결하는 통행로(425)(도 4에 도시)를 한정한다. 공급 채널(220)은 본 출원의 양수인에게 양도된 미국 특허 제 5,725,675호에 완전히 기술되고, 상기 미국 특허는 본 명세서에 참조로서 편입된다. 공급 채널(220)은 가스 박스들(208 및 210)로부터 입구 매니폴드(218)를 전기적으로 절연시키도록 구성된다. 가스 분배 어셈블리(110)의 온도를 제어하기 위하여, 각각의 입구 매니폴드(218)는 냉각 유체, 예를 들어, 물을 순환시키기 위하여 입구 열 교환 기구(inlet heat exchange fitting)(217) 및 출구 열 교환 기구(219)를 각각 포함한다. 냉각 유체는 입구 매니폴드(218) 및 가스 분배 어셈블리(110) 각각을 관통해 연장되는 채널들(미도시)을 통해 섭씨 약 65 도씨 내지 약 70도씨 범위의 온도에서 순환한다.
원격 플라즈마 소스(200)는 챔버들(102 및 104)로부터 원치 않는 증착 재료들을 제거하기 위한, 할로겐 함유 가스와 같은 세정 가스를 전달 및 유지하도록 구성된다. 원격 플라즈마 소스(200)는 메사추세츠 윌밍톤에 위치한 MKS 인스트루먼츠로부터 상업적으로 구입할 수 있는 ASTRON® 발생기일 수 있다. 원격 플라즈마 소스(200)는 브래킷(212)에 의해 리드 플레이트(228) 위에서 중심에 지지된다. 브래킷(212)는 용접, 리벳팅, 머신 스크루 등 이와 유사한 것과 같은 종래 수단을 이용해 리트 플레이트(228)에 고정될 수 있다.
세정 가스는 불소 함유 가스와 같은 할로겐 함유 가스일 수 있다. 바람직하게, 세정 가스는 NF3이다. 세정 가스에 대하여 본 명세서에서 기술되는 처리 조건 및 범위는 NF3와 함께 사용될 수 있다. 사용될 수 있는 다른 세정 가스는 F2, C4, C3F8, C2F4, SF6, C2F6, CCl4, 및 C2Cl6를 포함한다.
원격 플라즈마 소스(200)는 분할기(divider)(202), 제 1 도관(204) 및 제 2 도관(206)을 경유하여 챔버들(102 및 104)에 세정 가스를 전달한다. 분할기(202)는 원격 플라즈마 소스(200)에 결합된다. 분할기(202)는 부가적으로 T자형 제 1 도관(204) 및 제 2 도관(206) 둘 다에 결합된다. 제 1 도관(204)은 분할기(202)를 제 1 가스 박스(208)에 결합시키는 반면, 제 2 도관(206)은 분할기(202)를 제2 가스 박스(210)에 결합시킨다. 제 1 도관(204) 및 제 2 도관(206)는 가스 박스들(208 및 210)을 원격 플라즈마 소스(200)로부터 전기적으로 절연시키기 위하여 절연 재료로 제조된다. 그리하여, 세정 가스는 원격 플라즈마 소스(200)에서 분할기(202) 내로, 그 다음 각각의 도관 및 가스 박스를 통해 각각의 챔버로 흐름으로써 각각의 챔버 내로 들어간다. 비록 CVD 장치(100)는 단 하나의 원격 플라즈마 소스(200)를 갖는 것으로 기술되지만, 본 발명의 실시예들은 임의의 개수의 원격 플라즈마 소스를 갖는 임의의 챔버와 함께 사용될 수 있다. 예를 들어, 2개의 원격 플라즈마 소스르 갖는 Producer® 반응기가 2002년 4월 12일자로 출원되고 본 출원인의 양수인에게 양도된 미국 출원 제 10/122,481호, "METHOD FOR CLEANING A PROCESS CHAMBER"에 기술되고, 상기 미국 출원은 본 명세서에 참조로서 편입된다.
제 1 가스 박스(208) 및 제 2 가스 박스(210)은 각각 도 4에 도시된 바와 같이 믹싱 블록(230), 마운팅 플레이트(426), 아이솔레이터(440), 및 샤워헤드(437)를 포함한다. 샤워헤드(437)는 차단기 플레이트(436) 및 가스 분배 플레이트(438)를 포함한다. 제 1 가스 박스(208)는 챔버 중심 라인(120A)을 따라 중심을 맞추어 리드 플레이트(228)에 배치된다(도 3에 도시). 제 2 가스 박스(210)는 챔버 중심 라인(120B)을 따라 중심을 맞추어 리드 플레이트(228)에 배치된다(도 3에 도시). 제 1 가스 박스(208) 및 제 2 가스 박스(210)는 대체로 원형의 형태를 갖고, 각각의 마운팅 플레이트(426)에 3개의 마운팅 홀들(232)을 갖는다. 마운팅 플레이트(426)는 알루미늄과 같은 전도성 재료로 제조된다. RF 커플링 탭(222)은 제 1 가스 박스(208) 및 제 2 가스 박스(210)의 마운팅 플레이트(426)를 RF 소스(118)에 결합시킨다. RF 전력은 마운팅 플레이트(426)를 통해 가스 분배 플레이트(438)에 결합된다.
믹싱 블록(230)은 각각의 가스 박스(208 및 210) 각각의 최상부에 중심을 맞추어 배치된다. 믹싱 블록(230)은 하우징(402), 와동 발생기(vortex generator)(404), 및 가스 전달 튜브(410)를 포함한다. 와동 발생기(404)는 실질적으로 원통형 내부 용적(454)을 한정하는 벽(450) 및 바닥(452)를 갖는다. 바닥(452)은 유출 개구(exit aperture)(456)를 구비한다. 중앙 통행로(444)를 구비한 가스 전달 튜브(410)는 와동 발생기(404)의 바닥에 첨부되고 마운팅 플레이트(426)를 통해 한정된다. 중앙 통행로(444)는 일반적으로 와동 발생기(404)를 통과하는 처리 가스 및 다른 가스들이 유출 개구(456) 및 중앙 통행로(444)를 통해 각각의 챔버 내로 흐르도록 유출 개구(456)와 정렬된다. 와동 발생기(404)는 07/05/2000에 출원되어 본 출원의 양수인에게 양도된 미국 출원 제 09/609,994호(APPM 3402), "APPARATUS FOR DISTRIBUTING GASES IN A CHEMICAL VAPOR DEPOSITION SYSTEM"에 상세히 기술되고, 상기 미국 출원은 본 명세서에 참조로서 편입된다.
도 4에 도시된 바와 같이, 믹싱 블록(230)은 마운팅 플레이트(426) 상에 배치된다. 마운팅 플레이트(426)는 플랜지(460)를 구비하고 RF 커플링 탭(222)에 결합된다(도 3 참조). 마운팅 플레이트(426)는 가스 전달 튜브(410) 및 세정 가스의 통행을 허용하는 중심 홀(446)을 구비한다. 한 단부에서 가스 전달 튜브(410)에 결합되고 다른 단부에서 차단기 플레이트(436)에 결합되는 차폐기(475)가 마운팅 플레이트(426) 아래에 배치된다. 차폐기(475)는 용접 등과 같은 종래 수단에 의해 가스 전달 튜브(410) 및 차단기 플레이트(436)에 결합될 수 있다. 차단기 플레이트(436)는 가스 통행을 허용하기 위해 천공된다. 차단기 플레이트(436) 및 차폐기(475)는 믹싱 블록(230)을 빠져 나오는 처리 가스들이 방사 방향으로 외부로 확산되도록 하는 갭(448)을 형성한다.
차폐기(475)는 세정 및 처리 가스들을 위한 2개의 분리된 경로를 제공하도록 구성된다. 하나의 경로는 화살표(480)로 표시한 것처럼 처리 가스들을 차단기 플레이트(436) 및 가스 분배 플레이트(438)를 통해 흐르게 지향시키도록 구성된다. 다른 경로는 화살표(481)로 표시한 것처럼 세정 가스들을 차폐기(475) 및 차단기 플레이트(436) 둘레에서 가스 분배 플레이트(438)를 통해 흐르게 지향시키도록 구성된다. 세정 가스들이 차단기 플레이트(436) 둘레에서 흐르도록 지향시킴으로써, 특히 가스 분배 플레이트 주변 또는 그 둘레에서 가스 분배 플레이트(438)를 통해 흐르는 세정 가스들(자유 라디컬의 형태로)의 양이 증가된다. 가스 분배 플레이트(438)의 주변부를 통해 흐르는 세정 가스들의 양이 증가함에 따라, 가스 분배 플레이트(438)의 주변부에서의 세정율 또한 증가한다. 이러한 방식으로, 챔버에서의 세정율, 특히 가스 분배 플레이트(438)의 주변에서의 또는 그 둘레에서의 세정율이 개선된다. 일 실시예에서, 처리 가스들을 위한 경로는 차폐기(475)의 내부 부분에 의해 한정된다. 다른 실시예에서, 세정 가스들을 위한 경로는 차폐기(475), 마운팅 플레이트(426) 및 가스 분배 플레이트(438)의 외부 부분에 의해 한정된다. 차폐기(475)는 알루미늄과 같은 전도성 재료로 제조될 수 있다.
가스 분배 플레이트(438)는 대체로 접시형이고 테(rim)(462) 및 천공 바닥(464)를 한정한다. 가스 분배 플레이트(438)는 알루미늄과 같은 전도성 재료로 제조될 수 있다. 가스 분배 플레이트(438)의 테(462)는 플랜지(460) 및 아이솔레이터(440)에 대해 접촉한다. 아이솔레이터(440)는 각각의 가스 박스를 리드 플레이트(228)로부터 전기적으로 절연시키도록 구성된다. 아이솔레이터(440)는 플루오로폴리머 또는 세라믹과 같은 절연 재료로 제조될 수 있다.
플레넘(plenum)(458)이 차단기 플레이트(436)와 가스 분배 플레이트(438) 사이에 한정된다. 차단기 플레이트(436)를 통과하는 처리 가스들이 플레넘(458)으로 진입하고, 가스 분배 플레이트(438)의 천공 바닥(464)에 의해 야기된 경미한 흐름 제한을 받는다. 이것은 다양한 가스들이 가스 분배 플레이트(438)를 방사 방향으로 가로질러 더 확산되게 하여, 천공 바닥(464)을 관통해 각각의 챔버 내로 흘러가는 가스가 균일하게 분배되어 흐르게 한다.
일련의 O-링들(422)이 각각의 챔버 내로의 가스 전달을 보장하기 위하여 아이솔레이터(440)와 리드 플레이트(228) 사이, 아이솔레이터(440)와 가스 분배 플레이트(438) 사이, 믹싱 블록(230)과 마운팅 플레이트(426) 사이, 및 가스 분배 플레이트(438)와 공급 채널(436) 사이에 배치된다. O-링들(422)은 대체로 CVD 장치(100)에 사용되는 처리 가스 및 세정 가스들과 양립가능한 재료로 이루어진다.
동작시, 기판(116)은 각각의 챔버(102 및 104) 내 받침대(114) 상에 세팅도니다. 펌프(미도시)는 챔버들(102 및 104)을 진공으로 만든다. 처리 가스들은 각각의 가스 박스(208 및 210)의 믹싱 블록(230)으로 전달되어, 사이클론 방식으로 완전히 혼합된다. 일단 혼합되면, 처리 가스들은 가스 전달 튜브(410)를 경유하여 믹싱 블록(230)을 나와, 차단기 플레이트(436) 및 가스 분배 플레이트(438)를 통해 각각의 챔버로 진입한다. 그 다음, 처리 가스들은 기판(116) 상에 재료 층을 증착한다. 일 실시예에서, 재료 층은 예를 들어, 약 3 이하의 낮은 절연 상수를 가질 수 있다. 일단 목적하는 증착 두께가 달성되면, 처리 가스는 챔버들(102 및 104)로부터 제거된다.
선택적으로, 증착 처리는 챔버 내에서 처리 가스들의 플라즈마를 형성함으로써 향상될 수 있다. 원한다면, 선택적인 RF 전력 소스(118)가 RF 커플링 탭(222)을 경유하여 각각의 가스 박스로 결합된다. RF 전력은 가스 분배 플레이트(438)를 바이어스하기 위하여 가스 분배 플레이트(438)에 결합될 수 있고, 그에 의해 각각의 챔버 내에서 혼합된 처리 가스들의 플라즈마를 점화 및 유지한다.
기판들(116)이 제거된 이후, 각각의 챔버가 원격 플라즈마 소스(200)를 사용하여 세정될 수 있고, 원격 플라즈마 소스(200)는 세정 가스(자유 라디컬의 형태로)를 생성하도록 구성된다. 일단 생성되면, 세정 가스들은 분할기(202) 및 각각의 도관들을 통해 각각의 가스 박스들로 전달된다. 각각의 가스 박스들로부터, 세정 가스들은 구멍(418)을 통해 흐르고, 구멍은 차폐기(475) 및 차단기 플레이트(436) 둘레에서 전술한 방식으로 가스 분배 플레이트(438)를 통해 가스 전달 튜브(410)를 둘러싼다. 세정 가스들이 각각의 챔버의 여러 컴포넌트들을 통해 흐를 때, 가스 분배 플레이트(438)를 포함한 상기 컴포넌트들은 효과적으로 스크럽되거나, 증착 처리 동안 증착되었던 실질적으로 모든 재료가 에칭된다.
본 명세서에서 기술된 본 발명의 실시예들은 특정 장치 또는 특정 플라즈마 여기 방법에 제한되지 않는다. 비록 본 발명의 실시예들이 원격 플라즈마 소스를 참조하여 기술되었지만, 본 발명의 실시예들은 또한 인-시츄 세정 동작과 같은 다른 세정 동작과 관련하여 실시될 수 있다. 상기 CVD 시스템에 대한 기재는 주로 예시의 목적을 위한 것이고, 전자 사이클론 공명(ECR) 플라즈마 CVD 장치, 유도 결합된 RF 고밀도 플라즈마 CVD 장치들 또는 이와 유사한 것과 같은 다른 CVD 장비가 채택될 수 있다. 부가적으로, 기판 지지 받침대 설계, 히터 설계, 가스 박스 설계, 원격 플라즈마 소스 설계, 전력 연결 위치 등 기타의 변형예와 같은, 전술된 시스템의 변형예들이 가능하다.
전술한 것들이 본 발명의 실시예에 관한 것인 반면, 다른 부가적인 본 발명의 실시예들이 기본 범위를 벗어나지 않으면서 구현될 수 있고, 그 범위는 이하의 청구범위에 의해 결정된다.

Claims (20)

  1. 처리 챔버 내로 가스들을 분배시키는 장치로서,
    복수 개의 홀들이 관통하여 배치되도록 형성된 가스 분배 플레이트;
    복수 개의 홀들이 관통하여 배치되도록 형성된 차단기 플레이트;
    상기 차단기 플레이트 및 상기 가스 분배 플레이트를 통해 제 1 가스를 전달하도록 구성된 제 1 가스 경로; 및
    상기 차단기 플레이트 둘레에서 상기 가스 분배 플레이트를 통해 제 2 가스를 전달하도록 구성된 제 2 가스 경로;
    를 포함하는 가스 분배 장치.
  2. 제 1항에 있어서,
    상기 차단기 플레이트는 상기 가스 분배 플레이트 위에 배치되는, 가스 분배 장치.
  3. 제 1항에 있어서,
    상기 제 1 가스 경로는 상기 가스 분배 플레이트에 앞서 상기 차단기 플레이트를 통해 상기 제 1 가스를 전달하도록 구성된, 가스 분배 장치.
  4. 제 1항에 있어서,
    상기 제 2 가스 경로는 상기 가스 분배 플레이트의 주변부에 배치된 복수 개의 홀들을 통해 제 2 가스의 상당 부분을 전달하도록 구성된, 가스 분배 장치.
  5. 제 1항에 있어서,
    상기 차단기 플레이트 둘레에 제 2 가스를 지향시키도록 구성된 차폐기를 더 포함하는, 가스 분배 장치.
  6. 제 5항에 있어서,
    상기 차폐기는 상기 차단기 플레이트 위에 배치되는, 가스 분배 장치.
  7. 제 5항에 있어서,
    상기 차폐기는 상기 차단기 플레이트의 상부 부분에 결합되는, 가스 분배 장치.
  8. 제 5항에 있어서,
    상기 차폐기의 내부 부분은 상기 제 1 가스 경로를 한정하는, 가스 분배 장치.
  9. 제 5항에 있어서,
    상기 제 1 가스 경로는 상기 차폐기 내부에서 한정되는, 가스 분배 장치.
  10. 제 5항에 있어서,
    상기 제 2 가스 경로는 상기 제 2 가스가 상기 차폐기 및 상기 차단기 플레이트의 외부 부분 둘레에서 흐르게 지향시키도록 구성되는, 가스 분배 장치.
  11. 제 5항에 있어서,
    상기 가스 분배 장치는 상기 가스 분배 플레이트가 설치되는 마운팅 플레이트를 더 포함하고,
    상기 차폐기와 적어도 하나의 상기 마운팅 플레이트의 외부 부분 및 상기 가스 분배 플레이트는 상기 제 2 가스 경로를 한정하는, 가스 분배 장치.
  12. 제 5항에 있어서,
    상기 차폐기는 상기 제 2 가스의 상당 부분이 상기 가스 분배 플레이트의 주변 부분에 배치된 복수 개의 홀들을 통과하게 지향시키도록 구성되는, 가스 분배 장치.
  13. 제 5항에 있어서,
    상기 차폐기는 상기 차단기 플레이트 둘레에서 상기 제 2 가스를 지향시키고 상기 가스 분배 플레이트의 주변 부분에 배치된 상기 복수 개의 홀들을 통해 상기 제 2 가스의 상당 부분을 지향시키도록 구성되는, 가스 분배 장치.
  14. 제 5항에 있어서,
    상기 차폐기는 상기 제 2 가스 경로로부터 상기 제 1 가스 경로를 분리시키도록 구성되는, 가스 분배 장치.
  15. 제 1항에 있어서,
    상기 제 1 가스는 처리 가스인, 가스 분배 장치.
  16. 제 1항에 있어서,
    상기 제 2 가스는 세정 가스인, 가스 분배 장치.
  17. 제 1항에 있어서,
    상기 제 1 가스는 처리 가스이고 상기 제 2 가스는 세정 가스인, 가스 분배 장치.
  18. 기판을 처리하는 방법으로서,
    제 1 가스 경로를 통해 하나 이상의 처리 가스들을 화학 기상 증착 챔버 내로 전달하는 단계;
    기판 표면 상에 재료를 증착시키기 위하여 상기 처리 가스를 반응시키는 단계;
    상기 챔버로부터 상기 기판을 제거하는 단계;
    제 2 가스 경로를 통해 하나 이상의 세정 가스를 상기 챔버 내로 전달하는 단계 - 여기서, 상기 제 1 가스 경로는 상기 제 2 가스 경로로부터 분리됨 -;
    실질적으로 모든 증착물들이 소모될 때까지, 상기 세정 가스들을 상기 챔버 내 증착물들과 반응시키는 단계;
    를 포함하는, 기판 처리 방법.
  19. 제 18항에 있어서,
    상기 제 1 가스 경로를 통해 상기 처리 가스들을 전달하는 단계는,
    차단기 플레이트 및 가스 분배 플레이트를 통해 상기 처리 가스들을 전달하는 단계를 포함하는, 기판 처리 방법.
  20. 제 18항에 있어서,
    상기 제 2 가스 경로를 통해 상기 세정 가스를 전달하는 단계는,
    상기 차단기 플레이트 둘레에서, 상기 가스 분배 플레이트를 통해 상기 세정 가스들을 전달하는 단계를 포함하는, 기판 처리 방법.
KR1020057011525A 2002-12-20 2003-12-12 챔버 에지에서의 세정율을 개선하는 차단기 플레이트우회로 설계 KR20050088454A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/327,209 US20040118519A1 (en) 2002-12-20 2002-12-20 Blocker plate bypass design to improve clean rate at the edge of the chamber
US10/327,209 2002-12-20

Publications (1)

Publication Number Publication Date
KR20050088454A true KR20050088454A (ko) 2005-09-06

Family

ID=32594195

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057011525A KR20050088454A (ko) 2002-12-20 2003-12-12 챔버 에지에서의 세정율을 개선하는 차단기 플레이트우회로 설계

Country Status (4)

Country Link
US (1) US20040118519A1 (ko)
KR (1) KR20050088454A (ko)
CN (1) CN1720348A (ko)
WO (1) WO2004059033A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180133340A (ko) * 2017-06-06 2018-12-14 어플라이드 머티어리얼스, 인코포레이티드 Teos 유동의 독립적 제어를 통한 증착 반경방향 및 에지 프로파일 튜닝가능성

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
TWI220540B (en) * 2003-07-18 2004-08-21 Au Optronics Corp Buffer of pressure gauge sensor used in dry etching reaction chamber
US20050196971A1 (en) * 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
US7628863B2 (en) * 2004-08-03 2009-12-08 Applied Materials, Inc. Heated gas box for PECVD applications
US20060185591A1 (en) * 2005-02-18 2006-08-24 General Electric Company High temperature chemical vapor deposition apparatus
US7857947B2 (en) * 2005-07-27 2010-12-28 Applied Materials, Inc. Unique passivation technique for a CVD blocker plate to prevent particle formation
KR20080033406A (ko) * 2005-07-29 2008-04-16 에비자 테크놀로지, 인크. 반도체 처리용 증착 장치
CN101322225B (zh) * 2006-03-06 2012-06-27 东京毅力科创株式会社 等离子体处理装置
JP2008186865A (ja) * 2007-01-26 2008-08-14 Tokyo Electron Ltd 基板処理装置
US20090101069A1 (en) * 2007-10-12 2009-04-23 Suhail Anwar Rf return plates for backing plate support
GB0723327D0 (en) * 2007-11-29 2008-01-09 Rolls Royce Plc A shield
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US20110297088A1 (en) * 2010-06-04 2011-12-08 Texas Instruments Incorporated Thin edge carrier ring
CN101949007B (zh) * 2010-09-29 2012-01-25 中国科学院苏州纳米技术与纳米仿生研究所 用于均匀出气的气体分配器
US8826857B2 (en) * 2011-11-21 2014-09-09 Lam Research Corporation Plasma processing assemblies including hinge assemblies
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN104299929A (zh) * 2013-07-19 2015-01-21 朗姆研究公司 用于原位晶片边缘和背侧等离子体清洁的系统和方法
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11201036B2 (en) * 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11598003B2 (en) * 2017-09-12 2023-03-07 Applied Materials, Inc. Substrate processing chamber having heated showerhead assembly
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210127768A (ko) 2019-03-11 2021-10-22 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버들을 위한 덮개 조립체 장치 및 방법들
CN111074236A (zh) * 2019-12-27 2020-04-28 重庆康佳光电技术研究院有限公司 一种化学气相沉积装置

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
KR100492258B1 (ko) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US6029602A (en) * 1997-04-22 2000-02-29 Applied Materials, Inc. Apparatus and method for efficient and compact remote microwave plasma generation
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
KR100331544B1 (ko) * 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW582050B (en) * 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6495233B1 (en) * 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
JP4487338B2 (ja) * 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
KR100434487B1 (ko) * 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180133340A (ko) * 2017-06-06 2018-12-14 어플라이드 머티어리얼스, 인코포레이티드 Teos 유동의 독립적 제어를 통한 증착 반경방향 및 에지 프로파일 튜닝가능성
US11791136B2 (en) 2017-06-06 2023-10-17 Applied Materials, Inc. Deposition radial and edge profile tunability through independent control of TEOS flow

Also Published As

Publication number Publication date
CN1720348A (zh) 2006-01-11
WO2004059033A3 (en) 2004-09-02
WO2004059033A2 (en) 2004-07-15
US20040118519A1 (en) 2004-06-24

Similar Documents

Publication Publication Date Title
KR20050088454A (ko) 챔버 에지에서의 세정율을 개선하는 차단기 플레이트우회로 설계
US7572337B2 (en) Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7829145B2 (en) Methods of uniformity control for low flow process and chamber to chamber matching
US6495233B1 (en) Apparatus for distributing gases in a chemical vapor deposition system
JP5100936B2 (ja) 基板処理チャンバ、堆積装置およびガス分配器
KR100284571B1 (ko) 세라믹 라이닝을 이용하여 cvd챔버 내의 잔류물 축적을 감소시키는 장치 및 방법
KR100696029B1 (ko) 퍼지 링을 가지는 웨이퍼 받침대
US7655111B2 (en) Plasma processing apparatus and plasma processing method
US6255222B1 (en) Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
TW451286B (en) Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6178918B1 (en) Plasma enhanced chemical processing reactor
KR100871020B1 (ko) 증착 챔버용 공정 키트 설계
US6110556A (en) Lid assembly for a process chamber employing asymmetric flow geometries
TWI589724B (zh) 熱絲化學氣相沉積腔室之噴頭設計
US20090258162A1 (en) Plasma processing apparatus and method
US20020078893A1 (en) Plasma enhanced chemical processing reactor and method
US6068703A (en) Gas mixing apparatus and method
JP4114972B2 (ja) 基板処理装置
KR101632271B1 (ko) 플라즈마 처리 장치 및 방법
WO2018164807A1 (en) Diffuser design for flowable cvd
WO2009126827A2 (en) Plasma processing apparatus and method
KR20140037582A (ko) 반도체 프로세싱 챔버와 원격 플라즈마 발생기의 통합 시스템

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid