KR19980071010A - 높은 온도, 부식 및 플라즈마 환경에서의 세척 처리 방법 및장치 - Google Patents

높은 온도, 부식 및 플라즈마 환경에서의 세척 처리 방법 및장치 Download PDF

Info

Publication number
KR19980071010A
KR19980071010A KR1019980002859A KR19980002859A KR19980071010A KR 19980071010 A KR19980071010 A KR 19980071010A KR 1019980002859 A KR1019980002859 A KR 1019980002859A KR 19980002859 A KR19980002859 A KR 19980002859A KR 19980071010 A KR19980071010 A KR 19980071010A
Authority
KR
South Korea
Prior art keywords
gas
chamber
heater
temperature
plasma
Prior art date
Application number
KR1019980002859A
Other languages
English (en)
Inventor
준 자오
리 루오
지아-씽 왕
샤오 리앙 진
스테판 볼프
탈렉스 사조토
메이 창
폴 스미스
Original Assignee
조셉 제이 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=27365253&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR19980071010(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 조셉 제이 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이 스위니
Publication of KR19980071010A publication Critical patent/KR19980071010A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

본 발명은 플라즈마 세척 처리를 제공하기 위해 적어도 400 ℃ 이상의 온도에서 RF 에너지로, 일부 실시예에서 염소 함유 가스와 같은 할로겐 함유 가스를 사용하여 원치 않는 금속 증착물을 세척하기 위한 방법과 장치를 제공하는 것이다. 다른 실시예에 따라, 본 발명은 또한 비반응 가스를 사용하여 상기 챔버내의 내부 스페이스를 프리챠지시키기 위해 상기 비반응 가스로 제 1 시간 주기동안 제 1 압력을 상기 챔버를 가압하는 단계, 상기 제 1 압력을 세척 처리 압력으로 감소시키는 단계 및 상기 비반응 가스가 상기 내부 스페이스로부터 배기되도록 세척 처리 압력으로 상기 반응 가스를 상기 챔버 내부로 흐르게 하여, 상기 내부 스페이스로 반응 가스가 유입되는 것을 최소화하는 단계를 포함하는 증착물을 세척하는 방법을 제공한다.

Description

높은 온도, 부식 및 플라즈마 환경에서의 세척 처리 방법 및 장치
본 발명은 반도체 공정에 관한 것이다. 특히, 본 발명은 플라즈마에 의한 부식성 환경에서 약 400℃이상의 온도에서 박막을 형성하는 방법 및 장치에 관한 것이다. 일부 특정 실시예에서, 본 발명은 약 625℃ 이상의 온도에서 티타늄, 질화티타늄 및 이규화티타늄과 같은 티타늄 함유 박막을 형성하기 위하여 이용된다. 상기와 같은 박막은 패턴형 전도층, 전도층 사이의 플러그, 확산 장벽층, 접착층 및 규화물 형성에 대한 선구 물질로서 이용될 수 있다. 또한 다른 실시예는 예를 들어 다른 종류의 금속 박막을 증착하기 위하여, 기판 재료를 합금하기 위하여, 그리고 기판 재료를 어닐링하기 위하여 이용될 수 있다.
현대 반도체 소자를 조립할 때 일차적인 단계중 하나는 반도체 기판 위에 유전층 및 금속층을 포함한 여러 가지 층을 형성하는 것이다. 잘 알려진 바와 같이, 이들 층은 화학 기상 증착(CVD) 또는 물리적 기상 증착(PVD)에 의하여 증착될 수 있다. 종래의 열(thermal) CVD 공정에서, 반응성 가스는 원하는 박막을 형성하도록 열유도 화학 반응(균질 또는 이질)이 발생하는 기판 표면에 공급된다. 종래의 플라즈마 CVD 공정에서, 제어된 플라즈마는 원하는 박막을 형성하기 위하여 반응성 가스를 분해하거나 그리고/또는 에너지화한다. 일반적으로, 열공정 및 플라즈마 공정에서 반응 속도는 온도, 압력, 플라즈마 밀도, 반응가스 흐름속도, 출력 주파수, 출력 레벨, 챔버의 물리적 형상 등에서 하나 이상을 제어함으로써 제어될 수 있다. PVD 시스템의 예에서, 타겟(증착될 재료의 플레이트)은 음 전압 공급장치(직류(DC) 또는 무선 주파수(RF))에 연결되고, 타겟과 접하는 기판 홀더는 접지되거나, 플로팅되거나, 바이어스되거나, 가열되거나, 냉각되거나 또는 이들중 몇 개가 한꺼번에 이루어진다. 아르곤과 같은 가스는 일반적으로 몇 밀리토르(mtorr) 및 100mtorr 사이의 압력으로 유지되어 PVD 시스템으로 유입되어, 글로우 방전이 시작되고 유지될 수 있는 매체를 제공하도록 한다. 글로우 방전이 시작될 때, 양이온이 타겟에 부딪치고, 타겟 원자는 운동량 이동에 의하여 제거된다. 이들 타겟 원자는 다음에 기판 홀더 위에 있는 기판상의 박막으로 집중된다.
반도체 소자 형상은 소자가 수십년 전에 최초로 소개된 이후에 그 사이즈가 상당히 감소되었다. 이후부터는 집적 회로는 칩 위에 장착되는 소자의 수는 매 2년마다 배로 증가한다는 2년/절반 사이즈 법칙(일명 무어의 법칙)을 따른다. 현재의 웨이퍼 제조 장치는 0.5μm 더욱이 0.35μm 사이즈 소자를 제조하며, 미래의 장치는 곧 그보다 작은 사이즈를 가진 소자를 제조할 것이다. 소자 사이즈가 작아지고 집적도가 증가하기 때문에, 이전에는 곤란하지 않았던 문제가 상당한 관심거리가 되었다. 예를 들어, 아주 높은 집적도를 가진 소자는 높은 가로세로비(aspect ratio)(예를 들어, 0.35μm 사이즈 소자에 대하여 약 6:1 또는 그 이상)를 가진다. (가로세로비는 두 인접 스텝의 높이 대 간격으로 정의된다). 갭과 같은 높은 가로세로비 형상은 많은 이용에서 증착층으로 충분하게 충진될 필요가 있다.
이들 고집적 소자를 제조하기 위한 점점 엄밀한 요구조건이 요구되고, 종래 기판 공정 시스템은 이들 요구조건을 충분히 만족시키지 못하게 되었다. 또한, 소자 설계가 발전함에 따라, 이들 소자를 구현하기 위하여 필요한 재료로된 박막을 증착하기 위하여 이용된 기판 공정 시스템에서 더욱 향상된 성능이 요구된다. 예를 들어, 티타늄이 점점 더 집적 회로 제조 공정에 이용되었다. 티타늄은 반도체 소자에 이용하기에 적합한 많은 성질을 가진다. 티타늄은 예를 들어 금접합패드 및 반도체 사이의 확산 장벽으로서 작용하여 한 종류의 원자가 다음 종류의 원자로 이동하는 것을 방지할 수 있다. 또한, 티타늄은 실리콘 및 알루미늄 사이와 같이 두 층 사이에서의 접합을 향상시키기 위하여 사용될 수 있다. 또한, 실리콘과 합금되어 이규화(규화)티타늄을 형성하는 티타늄의 이용은 예를 들어 저항성 콘택의 형성을 가능하게 한다. 상기와 같은 티타늄 박막을 증착하기 위하여 이용된 일반적인 형태의 증착 시스템은 티타늄 스퍼터링 증착 시스템인데, 상기 시스템은 고도의 공정 및 제조 조건에 의하여 소자를 형성하기에 적합하지 않다. 특히, 스퍼터링은 소자에 결함을 야기하는 손상을 발생시킬 수 있다. 또한, 티타늄 스퍼터링 시스템은 스퍼터링시 발생하는 그림자 현상 때문에 높은 가로세로비에서 균일한 등각층을 증착할 수 없을 수 있다. 스퍼터링 시스템에 비하여, 플라즈마 보강 화학 기상 증착(PECVD) 시스템은 높은 가로세로비 갭으로 기판 위에 티타늄 박막을 형성하기에 적합할 수 있다. 공지된 바와 같이, 이온과 가스 분자의 혼합체인 플라즈마는 예를 들어 챔버 압력, 온도, RF출력 등과 같은 적합한 환경하의 증착 챔버에서 고주파수(RF) 에너지와 같은 에너지를 공정 가스에 공급하기 위하여 형성될 수 있다. 플라즈마는 임계 밀도에 도달하여 글로우 방전을 형성(또는 플라즈마를 점화한다고도 함)하는 것으로 알려진 자기 유지 상태를 형성하도록 한다. 이러한 RF 에너지는 공정 가스의 분자의 에너지 상태를 상승시키고 분자로부터 이온을 형성한다. 에너지화된 분자 및 이온은 모두 일반적으로 공정 가스보다 반응성이 높으며, 따라서 원하는 박막을 형성하기에 적합하다. 바람직하게, 플라즈마는 또한 티타늄 박막이 형성될 때 기판 표면 사이의 반응성 가스의 이동도를 개선시켜 양호하게 갭이 충진된 박막을 발생시킨다.
그러나, 알루미늄 히터를 이용하는 종래 PECVD 시스템은 예를 들어 티타늄 테트라클로라이드(TiCl4)의 증기로부터 티타늄 박막을 형성하는 것과 같은 일부 공정에 이용될 때 어떤 한계를 가질 수 있다. 알루미늄 부식, 온도 한계, 원치 않는 증착 및 제조 효율은 티타늄과 같은 박막을 증착시키기 위하여 이용될 수 있는 종래 PECVD 시스템이 가진 문제점이다. 공정에서, 상온에서 액체인 티타늄 테트라클로라이드 및 상기 액체를 통하여 거품이 형성되는 헬륨과 같은 운반 가스는 증착 챔버로 전달될 수 있는 증기를 발생시킨다. 이와 같은 티타늄 PECVD 공정은 약 100Å/min의 증착 속도를 얻기 위하여 약 600℃의 기판온도를 요구하며, 이는 양호한 웨이퍼 생산율을 얻기에 적합하지 않을 수 있다. 그러나, 티타늄 테트라클로라이드가 티타늄 박막을 형성하기 위하여 분리될 때, 염소가 챔버에서 방출된다. 특히, 티타늄 박막 증착을 향상시키는 플라즈마는 염소 원자 및 이온을 발생시키는데 이는 바람직하지 못하게 이러한 조건하에서 알루미늄 히터 및 면판(faceplate)과 같은 챔버의 다른 부분을 부식시킬 것이다. 알루미늄 부식은 또한 소자에서 금속 오염과 관련된 공정 저하 문제를 야기시킬 수 있다. 또한, 알루미늄 히터를 가진 PECVD 시스템의 이용은 약 480℃ 이하의 온도에서는 동작이 제한되며, 이는 따라서 얻을 수 있는 박막 증착 속도를 제한시킨다. 알루미늄은 고온에서 동작하는 히터로서 적합한 재료가 아닌데, 이는 약 480℃이상의 온도에서 알루미늄 히터는 약화(soften)되어 히터에 왜곡 및/또는 손상이 발생하기 때문이다. 플라즈마의 존재하에서 약 480℃ 이상의 온도에서 알루미늄 히터가 사용될 때, 부가적인 문제점이 발생한다. 이와 같은 환경에서, 알루미늄은 백스퍼터링되어, 기판 및 챔버 부품을 오염시킬 수 있다. 또한, 일부 증착 공정과 관련된 일부 화학 물질(예를 들어, 티타늄 증착 공정에서 생성된 염소 화합물)과 저온에서 조차 양립할 수 없는 알루미늄 히터( 및 면판과 같은 챔버의 다른 부품)는 고온에서 상당히 손상된다. 건식 세척 공정에 이용된 염소와 같은 화학물질 역시 알루미늄 히터에 손상을 준다. 약 480℃이상의 온도에서, 이들 화학 물질은 저온에서 보다 알루미늄 히터를 상당히 손상시키고 부식시켜, 히터의 수명을 감소시키고 따라서 히터를 빈번하게 교환하도록 한다. 열 교환은 경제적인 손실을 야기하는데, 이는 히터 비용뿐만 아니라 히터를 교체하는 시간 동안 증착 챔버의 생산적인 사용이 제한되기 때문이다. 상기와 같은 건식 세척 공정 중에, 때때로 더미(dummy) 웨이퍼가 알루미늄 히터 위에 장착되어 히터 위의 손상을 최소화하도록 한다. 그러나, 더미 웨이퍼의 장착 및 탈착은 시간을 낭비하고 웨이퍼 생산율을 감소시킨다. 또한, 화학 물질에 의하여 손상되는 일부 더미 웨이퍼는 비싸고 주기적으로 교환될 것이 요구되며, 이는 전체 유지관리 비용에 부가된다.
알루미늄 부식, 히터 약화 및 온도 제한 이외에, PECVD 공정 시스템에서 금속 증착과 관련된 문제는 원치 않는 금속 증착 및 관련된 제조 효율 문제를 포함한다. 가장 우수한 박막 증착은 온도가 가장 높은 장소에서 발생하지만, 일부 증착은 플라즈마조차 없는 저온에서 발생할 것이다. 원치 않는 금속 증착은 균일하지 않은 증착, 아아킹, 챔버 부품의 작동 저하 및/또는 소자 결함과 같은 여러 가지 문제를 야기할 수 있다. 게다가 원치 않는 금속 증착은 챔버벽 및 바닥면에 발생할 뿐만 아니라, 증착 챔버 또는 챔버 배출 경로내의 세라믹 스페이서 및 라이너와 같은 비전도성 부품에 발생하게되어, 나중에 이들이 전도성이 되도록 한다. 이들 원치 않는 전도성 금속 증착은 글로우 방전 형성을 중단시켜 기판 전체에 불균일한 증착을 야기할 수 있다. 이는 또한 아아킹을 발생시킬 수 있으며, 이는 기판 및 면판과 같은 챔버 부분을 손상시킬 수 있다. 또한, 티타늄은 히터 일부상에서 가스 또는 진공 구멍에 형성되어 상기 구멍을 통한 가스의 흐름을 방해하거나 또는 정밀한 공차를 가진 기계 부분 위에 형성되어 이들의 동작을 방해할 수 있다. 하부의 챔버 부품에 약하게 결합되거나 히터 위에 형성된 원치 않는 증착은 기판 위에 떨어져서 기판 위에 결합을 야기하는 파편 및 그 외의 입자를 발생시키며, 따라서 기판 수율을 감소시킬 수 있다. 이러한 이유들 때문에, 챔버는 챔버를 개방하지 않아도 되는 건식 세척 공정 및 챔버를 적어도 부분적으로 해체하고 닦아낼 것이 요구되는 예방적인 유지보수 세척에 의하여 주기적으로 세척되어야 한다. 챔버는 여러 가지 방법에 의하여 세척될 수 있다. 건식 세척 공정은 반응성 가스 또는 플라즈마를 이용하여 챔버 부품으로부터 원치 않는 증착물질을 식각하거나 또는 플라즈마로 입자를 물리적으로 충돌시켜 입자를 깍아내고 배출 시스템으로 제거할 수 있다. 습식 세척은 일반적으로 챔버를 적어도 부분적으로 해체하고, 나중에 솔밴트로 닦는다.
다음에, 챔버는 재조립되어야 하고 길들여져야한다. 즉, 일관성있는 층이 얻어질 때까지 다수의 증착 사이클이 수행되어야 한다. 두 가지 과정중에는 증착 시스템이 제품을 생산하지 못하며, 이는 비효율적이고 비경제적이다. 그러나 습식 세척은 일반적으로 건식 세척보다 생산율을 감소시킨다. 따라서, 습식 세척의 빈도를 최소화하여 세척 중에 많은 웨이퍼가 생산되도록 하기 위하여 효율적인 건식 세척 공정을 가지는 것이 바람직하다. 또한 원치 않는 증착이 발생하는 챔버내의 영역을 최소화하는 것이 요구된다. 일부 증착 공정에서, 특히 텅스텐 또는 티타늄과 같은 금속 증착 공정에서, 챔버를 세척하는데 요구되는 시간은 증착 시스템의 웨이퍼 생산에 영향을 주는 가장 중요한 요인이 되었다.
세라믹 히터가 400℃ 또는 그 이상에서 동작하는 증착 시스템을 위하여 알루미늄 히터대신 제안되었지만, 세라믹 히터의 조립 및 이의 증착 공정에서의 사용은 몇 가지 문제를 야기하였다. 상기와 같은 세라믹 히터는 바람직하지 못하게 플라즈마 및 티타늄 PECVD 공정과 관련 세척 공정에서 발견되는 염소 함유 물질과 같은 부식성 플라즈마 물질의 존재 하에 이용될 수 있다. 세라믹 히터는 일반적으로 알루미나(Al2O3) 또는 질화 알루미늄(AlN)으로 만들어진 세라믹 히터 몸체 내에 전기 가열 엘리먼트를 가지는데, 히터 몸체는 가열 엘리먼트로부터 기판에 열이 전달될 때 증착 챔버의 부식성 환경으로부터 가열 엘리먼트를 보호한다. 일반적으로 금속 보다 강하고 다루기 어려운 세라믹 물질은 가공하기 곤란하며, 따라서 간단한 기계적 모양을 요구한다. 깨지기 쉽기 때문에 세라믹은 만약 충분한 열을 반복적으로 받는다면 열 충격에 의하여 갈라질 수 있다. 갈라짐은 세라믹 히터 어셈블리로부터 다른 열 확산 계수를 가진 물질로의 전이에 의하여 상이한 열 확산에 의하여 발생할 수 있다. 동일한 재료로 제조된 세라믹 부분을 결합하더라도 문제가 발생할 수 있는데, 이는 용접 볼트 결합, 납땜 및 스크류 결합과 같은 금속 부분을 결합하기 위하여 이용되는 많은 어셈블리 방법 및 장치는 세라믹 부분에 적용하는 것이 어렵거나 신뢰할 수 없기 때문이다.
상기와 같은 측면에서, 고온(적어도 약 400℃이상)의 부식 환경에서 효율적으로 필름을 플라즈마 보강 증착하기 위한 개선된 방법, 시스템 및 장치가 요구된다. 최상의 상태로, 이들 개선된 방법 및 장치는 챔버 세척의 필요성이 적으며 따라서 기판 생산율이 높을 것을 요구한다. 특히, 이들 시스템 및 방법은 높은 가로세로비 특성을 가진 소자를 형성하기 위한 공정 요구 조건과 양립할 수 있도록 설계되어야 한다.
본 발명은 플라즈마 보강 화학 기상 증착(PECVD) 챔버에서 기판을 고온(약 400℃이상)으로 가공하기 위한 시스템, 방법 및 장치를 제공하는 것이다. 본 발명의 실시예는 티타늄 테트라클로라이드 증기 및 수소 가스의 혼합물로부터 티타늄 박막을 증착하는 PECVD 시스템을 포함한다.
도 1a는 증착 챔버의 계략 단면도를 포함한, 본 발명에 따른 증착 시스템의 한 실시예에 대한 블록도이다.
도 1b는 사용자와 본 발명의 증착 시스템을 제어할 수 있는 프로세서사이의 인터페이스를 도시한다.
도 2는 본 발명의 실시예에 따른 증착 챔버의 계략 단면도이다.
도 3은 본 발명의 실시예에 따른 웨이퍼사이 및 배출 시스템으로의 가스 흐름에 대한 계략 부분 단면 투시도이다.
도 4a-4e는 열 차폐부 및 세라믹 라이너에 대한 흐름 제한링에 대한 여러 가지 실시예를 도시한다.
도 5는 본 발명의 실시예에 따른 시스템 제어 소프트웨어의 계층적인 제어 구조에 대한 블록도이다.
도 6은 본 발명의 실시예에 따른 금속 지지축에 연결된 세라믹 축받이의 단면도이다.
도 7a는 본 발명의 실시예에 따른 히터 어셈블리의 개략 확대도이다.
도 7b는 본 발명의 실시예에 따른 히터 어셈블리내의 RF 평면의 상부도이다.
도 7c는 본 발명의 실시예에 따른 히터 어셈블리내의 평면 리본 가열 엘리먼트의 부분 계략 상부도이다.
도 8은 본 발명의 실시예에 따른, 세척 가스와 함께 사용하기 위하여 밀봉된 긴 세라믹 지지축을 가진 세라믹 페데스탈를 단면도이다.
도 9는 본 발명의 실시예에 따른, 히터 어셈블리내의 RF평면 및 히터 어셈블리에 대한 전기 접속을 도시한다.
도 10은 본 발명의 실시예에 따른 열 쵸크 커플러 및 클램프를 가진 커플러의 계략 단면도이다.
도 11은 본 발명의 실시예에 따른 열 쵸크 커플러의 한 실시예에 대한 동일크기의 도면이다.
도 12는 본 발명의 실시예에 따른 지지축, 상부 클램프를 가진 열쵸크 커플러 및 히터 어셈블리사이의 관계를 도시하는 계략 단면도이다.
도 13은 본 발명의 실시예에 따른 페데스탈 나사 및 커버 플러그의 계략 단면도이다.
도 14는 본 발명의 실시예에 따른 히터 어셈블리내에 배치된 전력공급 하부 RF 평면의 계략화된 도면이다.
도 15는 본 발명의 실시예에 따른 RF 시스템의 계략도이다.
도 16a는 본 발명의 실시예에 따른 내부 리드 어셈블리의 계략 확대도이다.
도 16b는 샤워헤드 및 열 교환 통로를 상세한 나타낸 계략 부분 단면도이다.
도 17은 본 발명의 특징에 따라 제조된 장치의 계략 단면도이다.
도 18은 본 발명의 특징에 따라 제조된 장치의 콘택에 대한 계략 단면도이다.
도 19는 본 발명의 일 실시예에 사용될 수 있는 처리 순서의 흐름도이다.
도 20은 본 발명의 실시예에 따른 히터 페데스탈의 온도 균일성에 대한 검사결과를 나타낸 도면이다.
도 21은 다른 유사한 증착조건하에서 티타늄층 대 TiCl4진공 압력비의 증착율에 대한 그래프이다.
*도면의 주요부분에 대한 부호의 설명*
10 : CVD 시스템 30 : 챔버
40 : 면판 50 : 쵸크 개구부
60 : 펌핑 채널 70 : 리드 라이너
80 : 배기 구멍 90 : 가스 공급 패널
한 실시예에 따르면, 본 발명은 챔버, 가스 전달 시스템, 프로세서와 메모리를 포함하는 제어 시스템 기판을 지지하고 가열하는 히터 페데스탈, 플라즈마 시스템 및 진공 시스템을 포함하는 기판 가공 장치를 제공한다. 가스 전달 시스템은 다중 가스 소스를 포함하며, 상기 가스 소스중 적어도 하나는 금속 및 할로겐을 함유한 소스가스를 공급한다. 히터 페데스탈은 염소화 플라즈마의 환경에서 적어도 400℃이상의 온도로 기판을 가열할 수 있으며; 그리고 히터 페데스탈은 기판이 하부에 배치되는 RF 평면을 포함한다.
다른 실시예에 따르면, 본 발명은 부식성 플라즈마 환경에서 그리고 약 400℃이상의 온도에서 플라즈마 존재 하에 이용하기 적합한 히터 어셈블리를 제공한다. 히터 어셈블리는 관통된 금속판으로된 RF 전극; 금속 리본으로된 히터 엘리먼트; 및 세라믹 몸체와 세라믹 몸체에 연결된 세라믹 플랜지 스터브를 포함하는 세라믹 히터 페데스탈을 포함한다. 세라믹 몸체는 기판을 지지하기 위한 상부면을 가지며, 세라믹 프랜지 스터브는 바닥면을 가진다. RF 전극은 상부면 아래에서 제 1 간격으로 세라믹 몸체에 배치되며, 히터 엘리먼트는 RF 전극아래에서 제 2 간격으로 세라믹 몸체에 부착된다. 세라믹 플랜지 스터브의 내부에는 제 1 리세스, 제 2 리세스 및 제 3 리세스가 형성되어 있다. 히터 어셈블리는 또한 RF 전극에 연결하기 위한 제 1 도체를 포함하며, 여기서 상기 제 1 도체는 제 1 리세스를 통하여 배치되며 세라믹 플랜지 스터브의 바닥면으로부터 돌출하며; 상기 히터 어셈블리는 또한 히터 전극에 연결하기 위한 제 2 도체 및 제 3 도체를 포함하며, 여기서 제 2 도체 및 제 3 도체는 제 2 및 제 3 리세스를 통하여 배치되며 세라믹 플랜지 스터브의 바닥면으로부터 돌출한다.
다른 실시예에 따르면, 본 발명은 히터 어셈블리 및 금속 지지축 사이에 이용하기 위한 열 쵸크를 제공하며, 히터 어셈블리는 약 400℃이상으로 가열할 수 있으며, 히터 어셈블리는 제 1 열 저항율을 가진 바닥 지지부를 포함한다. 열 쵸크는 바닥 지지부의 적어도 일부와 접하는 제 1 부분, 금속 지지축의 적어도 일부와 접하는 제 2 부분 및 상기 제 1 부분과 제 2 부분 사이에 배치된 제 3 부분을 포함하는 웨브를 가진다. 제 3 부분은 제 1 부분과 제 2 부분에 대하여 거의 수직이여서 금속 지지축으로부터 바닥 지지부를 분리하도록 한다. 웨브는 제 1 열 저항율보다 높은 제 2 열 저항율을 가진다. 제 1 부분은 바닥 지지부에 대응하는 제 1 직경을 가지며, 제 2 부분은 금속 지지축의 직경에 대응하는 제 2 직경을 가지며, 제 3 부분은 제 1 및 제 2 직경보다 작은 제 3 직경을 가진다.
다른 실시예에 따르면, 본 발명은 플랜지를 가진 세라믹 스터브를 수용하기 위한 상부 포켓을 가진 하부 부재 및 후프 텐션이 제 1 선택 온도 범위 이상에서 플랜지상에 유지되도록 플랜지 주위에 고정될 수 있는 텐션 아암을 포함하는 커플러를 제공한다. 커플러는 또한 하부 부재에 착탈가능하게 부착될 수 있는 부분을 가진 하부 클램프를 포함하며, 상기 부분은 플랜지 및 상부 포켓 위에 배치된 캔틸레버형 와셔를 포함한다. 캔틸레버형 와셔는 상부 클램프가 하부 부재에 부착될 때 제 2 선택 온도범위 이상에서 압축력을 제공한다.
다른 실시예에 따르면, 본 발명은 챔버내의 히터 상의 기판 위에 층을 증착하는 방법을 제공한다. 상기 방법은 적어도 약 400℃ 이상의 온도로 히터를 가열하는 단계, 약 1-10Torr 사이의 압력을 챔버에 유지하는 단계, 반응가스 및 소스 가스를 챔버로 유입시키는 단계 및 기판에 인접하게 플라즈마를 형성하도록 RF 에너지를 공급하는 단계를 포함한다. 소스 가스는 금속 및 할로겐을 포함하며, 반응 가스: 소스 가스 흐름비는 250:1이하이다.
다른 실시예에 따르면, 본 발명은 약 400℃ 이상의 제 1 온도로 가열될 수 있는 히터를 가진 기판 가공 챔버에서 표면 위에 형성된 원치 않는 증착물을 세척하는 방법을 제공한다. 상기 방법은 챔버에서 약 400℃ 이상의 온도로 히터는 유지하는 단계, 약 0.1-10Torr 사이의 압력으로 챔버를 유지하는 단계, 반응가스 및 소스 가스를 챔버로 유입시키는 단계 및 기판에 인접하게 플라즈마를 형성하도록 RF 에너지를 공급하는 단계를 포함한다. 플라즈마는 챔버내의 표면으로부터 원치 않는 증착물을 세척하는 염소류(species)를 포함한다.
이러한 본 발명의 실시예는 이하 첨부된 도면을 참조로 설명된다.
I.CVD 반응기 시스템
A.전형적인 CVD 반응기의 개요
본 발명의 전형적인 실시예는 약 400℃ 이상의 온도의 부식성 플라즈마 환경에서 막(티타늄 막과 같은)을 증착하기 위해 사용되는 시스템, 방법 및 장치와 이와 관련된 세척 공정이다. 물론, 이하에 기술되는 시스템, 방법 및 장치는 티타늄 실리사이드, 티타늄 질화물, 바륨 스트론튬 티타네이트(BST), 납 지르코네이트 티타네이트(PST), 폴리실리콘, 금속 실리사이드, 텅스텐 질화물과 같은 금속 질화물 배리어와 같은 막 또는 다른 막과 티타늄 막을 증착하는데 사용될 수있다. 이러한 막은 금속층, 부착층, 비아(via) 플러그 또는 다른 층을 형성하는데 사용될 수있다.
도 1a를 참조하면, CVD 시스템(10)은 가스 전달 시스템(89)으로부터 가스 라인(92A-C)(다른 라인이 존재하나 도시되지 않음)을 통해 가스를 수신하는 반응기 챔버(30)를 포함한다. 진공챔버(88)는 챔버내에 특정 압력을 유지하여 챔버로부터 가스 부산물 및 소모 가스를 제거하기 위하여 사용된다. RF 전원(5)은 플라즈마 보강 처리를 위한 챔버에 무선주파수 전력를 제공한다. 액체 열교환 시스템(6)은 반응기 챔버로부터 열을 제거하여 챔버의 임의의 부분을 안정한 처리 온도를 위한 적정 온도로 유지하기 위하여 물 또는 물-글리콜 혼합물과 같은 액체 열교환 매체를 사용한다. 프로세서(85)는 제어라인(3, 3A-D)(라인의 일부만이 도시됨)을 통해 메모리(86)내에 저장된 명령에 따라 챔버 및 서브-시스템의 동작을 제어한다.
프로세서(85)는 프로세서(85)는 시스템 제어 소프트웨어를 실행하며, 이 소프트웨어는 프로세서(85)에 접속된 메모리(86)에 저장된 컴퓨터 프로그램이다. 바람직하게, 메모리(86)는 하드 디스크 드라이브일 수있으나, 메모리(86)는 다른 종류의 메모리일 수있다. 하드 디스크 드라이브(예를들어, 메모리(86))외에, 바람직한 실시예에 있어서의 CVD 장치(10)는 플로피 디스크 드라이브 및 카드 래크를 포함한다. 프로세서(85)는 시스템 제어 소프트웨어의 제어하에서 동작하며, 이 시스템 제어 소프트웨어는 타이밍, 가스 혼합물, 가스 흐름, 챔버 압력, 챔버 온도, RF 전력 레벨, 히터 페데스탈 위치, 히터 온도 및 특정 처리의 다른 파라미터를 명령하는 명령 세트를 포함한다. 디스크 드라이브 및 다른 적절한 드라이브에 삽입된 플로피 디스크 또는 다른 컴퓨터 프로그램 제품을 포함하는 다른 메모리에 저장된 것과 같은 다른 컴퓨터 프로그램은 처리(85)를 동작시키기 위하여 사용될 수 있도 있다. 시스템 제어 소프트웨어는 이하에서 더 상세히 기술될 것이다. 카드 래크는 단일 보드 컴퓨터, 아날로그 디지털 입력/출력 보드, 인터페이스 보드 및 스텝모터 제어기 보드를 포함한다. CVD 장치(10)의 여러 부분은 보드, 카드 케이지 및 커넥터 크기 및 형태를 한정하는 베사 모듈라 유럽(VME) 표준에 따른다. VME 표준은 또한 16-비트 데이터 버스 및 24-비트 어드레스 버스를 가진 버스 구조를 한정한다.
사용자 및 프로세서(85)사이의 인터페이스는 다중챔버 시스템에서 챔버중 하나로써 기술되는 시스템 모니터 및 CVD 장치(10)의 단순화된 도면인 도 1b에 도시된 CRT 모니터(93a) 및 라이트 펜(93b) 이다. CVD 장치(10)는 장치(10)에 대한 전기 플러밍(plumbing) 및 다른 지지 기능을 제공하는 메인프레임 유니트(95)에 바람직하게 부착된다. CVD 장치(10)의 실시예에 적용가능한 전형적인 메인프레임 유니트는 캘리포니아 산타클라라에 위치한 어플라이드 머티어리얼스, 인코포레티드사에 의해 상표명 Precision 5000 및 Centura 5200 시스템으로 현재 시판된다. 다중챔버 시스템은 진공을 파괴시키지 않고 다중챔버 시스템 외부의 습기 또는 다른 오염물에 웨이퍼를 노출시키지 않고 챔버 사이에 웨이퍼를 전달하는 능력을 가진다. 다중챔버 시스템의 장점은 다중챔버 시스템중 여러 챔버가 전체 공정에서 여러 목적을 위해 사용될 수있다는 것이다. 예를 들어, 하나의 챔버는 금속 막의 증착을 위해 사용될 수있으며, 다른 챔버는 빠른 열처리를 위해 사용될 수 있으며, 또 다른 챔버는 비반응 층을 증착하는데 사용될 수 있다. 상기 처리는 다중챔버 시스템내에서 계속되어, 여러 다른 처리를 위한 여러 개별 챔버(다중챔버 시스템에 존재하지 않음) 사이에서 웨이퍼를 전달할때 종종 발생하는 웨이퍼의 오염을 방지한다.
바람직한 실시예에 있어서, 두 개의 모니터(93a)가 사용되는데, 두 개의 모니터중 한 모니터는 세척방 벽내에 장착되며 다른 모니터는 서비스 기술자를 위해 벽 뒤에 배치된다. 양 모니터(93a)는 동시에 동일한 정보를 디스플레이하나, 단지 하나의 라이트 펜만이 사용될 수 있다. 라이트 펜(93b)은 펜의 팁에 있는 광 센서에 의해 CRT 디스플레이에 의해 방사된 광을 검출한다. 특정 스크린 또는 기능을 선택하기 위하여, 오퍼레이터는 디스플레이 스크린의 지정된 영역을 접촉한 다음 펜(93B)상의 버튼을 누른다. 접촉된 영역은 가장 밝은 색을 변화시켜, 새로운 메뉴 또는 스크린은 라이트 펜 및 디스플레이 스크린 사이의 통신에 따라 디스플레이된다. 물론, 키보드, 마우스 또는 다른 지시 또는 통신 장치와 같은 다른 장치는 사용자가 프로세서(85)와 통신할 수있도록 라이트 펜(93b) 대신에 사용될 수있다.
도 1a를 참조하면, 가스 전달 시스템(89)은 특정 응용을 위해 사용되는 적정 처리에 따라 변화할 수 있는 가스, 액체(TiCl4와 같은) 또는 고체(TiI3와 같은)를 포함하는 가스, 액체 또는 고체 소스(91A-C)(추가 소스는 필요에 따라 부가될 수있다)와 가스공급 패널(90)을 포함한다. 일반적으로, 각각의 처리가스를 위한 공급라인은 처리가스의 흐름을 자동 또는 수동으로 차단하는데 사용될 수있는 차단 밸브(도시안됨)와, 각각의 공급라인을 통해 가스 또는 액체의 흐름을 측정하는 매스 흐름 제어기(도시안됨)를 포함한다. 예를 들어 티타늄 테트라클로라이드(TiCl4) 증기, 헬륨(He), 아르곤 및 질소(N2)를 포함하는 처리 및 캐리어 가스 및/또는 다른 도판트 또는 반응 소스가 반응챔버(30)에 공급되는 비율은 온도에 기초한 액체 또는 가스 매스 흐름 제어기(MFC)(도시안됨)에 의해 및/또는 밸브(도시안됨)에 의해 제어된다. 물론, 다른 화합물이 증착 및 세척 소스로서 사용될 수도 있다. 다른 실시예에 있어서, 처리 및 캐리어 가스가 반응챔버(30)에 공급되는 비율은 압력에 기초한 고정 또는 가변 개구부에 의해 제어될 수있다. 유독 가스(예를들어, 오존 또는 할로겐화 가스)가 처리에 사용될때, 여러 차단밸브는 종래 구조의 각 가스 공급라인 상에 배치될 수있다. 가스공급 패널(90)은 소스(91A-C)로부터 증착 처리 및 캐리어 가스(또는 기화된 액체)를 수신하고 혼합하여 공급라인(92A-C)을 통해 가스공급 커버 플레이트(45)의 중앙 가스 인입구(44)에 전달하는 혼합 시스템을 가진다. 특정 실시예에 있어서, 혼합 시스템, 혼합 시스템에 대한 입력 매니폴드 및 혼합 시스템으로부터 중앙 인입구(44)로의 출력 매니폴드는 니켈 도금 알루미나와 같은 재료 또는 니켈로 만들어 질 수있다.
액체 소스가 사용될 때, CVD 시스템에서 액체 소스를 사용하여 소스 가스를 유입하기 위하여 여러 방법이 사용되었다. 여러 방법중 한 방법은 진공압력이 증착 처리를 위해 충분한 기화된 소스의 안정한 흐름을 제공하도록 앰플내에 액체를 한정하여 가열하는 것이다. 앰플은 전형적으로 액체로 채워지지 않고 저장소로써 사용되는 액체에 대한 헤드 스페이스를 가진다. 증기 압력이 액체의 온도를 따르기 때문에, 액체 소스의 정밀한 온도 제어는 중요하다. 매스 흐름 제어기(MFC)는 챔버로의 소스 가스의 출력을 제어하기 위하여 사용될 수 있다.
액체 소스를 사용하여 소스 가스를 유입하는 다른 방법은 액체를 통해 헬륨과 같은 캐리어 가스를 버블링하는 것이다. 캐리어 가스는 액체에 헤드 압력을 제공하여 챔버 하부로 증기를 운반한다. 액체는 일정한 증기 부분압력을 유지하기 위하여 온도 제어될 수 있다. 일정한 온도가 히터만을 사용하여 유지될 수있도록, 앰플이 배치되는 환경의 가장 높게 예상되는 주위 온도 이상으로 액체를 가열하는 것은 바람직하다. 전술한 것처럼, MFC는 챔버에 캐리어 가스/증기 혼합물을 제어하기 위하여 사용될 수 있다. 열적 매스 전달의 원리로 동작하며 전형적으로 특정 가스로 조절되는 MFC를 사용하는 다른 실시예에 있어서, 압력조절 장치는 챔버로의 소스 가스의 출력을 제어하기 위하여 사용될 수있다. 이러한 한 압력조절 장치는 가스 흐름을 조절하는 개구부 또는 구멍이며, 구멍의 한측면에서 고압력이 유지되게 한다. 챔버(출력) 압력, 버블러 가스 흐름 및 액체 온도를 조절함으로써, 고정된 구멍은 액체에 대해 일정한 압력을 유지하여 소스 가스에서는 일정한 증기 농도를 유지할 수 있다. 기술의 변형으로써, 소량의 가스를 액체에 대한 헤드 스페이스에 제공하는 아르곤과 같은 추가 가스 소스는 예를들어 액체의 온도와 같은 파라미터의 변화에도 불구하고 헤드 압력을 유지하기 위하여 이용될 수있다. 압력이 일정하게 유지된 가스는 소스 출력상에 MFC 또는 구멍중 하나를 통합한 소스에 사용될 수있다.
다른 실시예에 있어서, 가스 혼합 시스템은 기화된 액체 소스로부터 챔버로 소스 가스를 제공하기 위하여 액체 주입 시스템을 포함할 수있다. 액체 주입 시스템은 측정된 량의 액체를 캐리어 가스 스트림으로 기화한다. 동작하는 동안 이러한 형태의 시스템이 액체의 증기 압력에 따르지 않기 때문에, 액체는 가열될 필요가 없다. 액체 주입 시스템은 그것이 버블러형 소스에 비교하여 가스 혼합 시스템으로 유입되는 반응 액체의 량을 더 제어하기 때문에 몇몇의 예에서 바람직하다.
액체 열교환 시스템(6)은 고온 처리동안 적절한 온도로 챔버(30)의 여러 부품을 유지하기 위하여 이들 여러 소자에 액체를 전달한다. 이 시스템(6)은 고온 처리에 기인한 이들 부품상의 부적절한 증착을 최소화하기 위하여 이들 몇몇의 챔버 부품의 온도를 증가시킨다. 도 1a에 도시된 것처럼, 가스공급 커버 플레이트(45)내의 열교환 통로(79)는 열교환 액체가 가스공급 커버 플레이트(45)를 통해 순환할 수 있게 하여, 가스공급 커버 플레이트(45) 및 인접한 부품의 온도를 유지한다. 액체 열교환 시스템(6)은 면판(40)(도시안됨)을 포함하는 가스분배 시스템에 액체를 전달하기 위한 열교환 액체 매니폴드(도시안됨)를 통해 액체를 공급하는 접속부(도시안됨)를 포함한다. 물 흐름 검출기는 열 교환기(도시안됨)로부터 밀봉 어셈블리로의 물 흐름을 검출한다.
도 2는 챔버(30)의 다른 특징을 도시한 단면도이다. 저항성 가열 페데스탈(32)은 물 포켓(34)내에서 물(36)을 지지한다. 페데스탈(32)은 자체-정렬 리프트 메커니즘으로 명명되고 여기에서 참조로 사용되며 공동 양도된 미합중국 특허 출원번호 제 08/738,240호(1996년 10월 25에 출원되고 Leonid Selyutin 및 Jun Zhao에 의해 발명됨)에 상세히 기술되는 자체 조절 리프트 메커니즘을 사용하여 처리 위치(예를 들어, 도 2에 도시됨) 및 하부 로딩 위치 사이에서 수직으로 이동될 수 있다. 리프트 핀(38)은 페데스탈(32)내에서 활주 가능하나 그들의 상부 단부상의 원추형 헤드까지 하강된다. 리프트 핀(38)의 하부 단부는 수직으로 이동가능한 리프트 링(39)과 맞물릴 수 있어서 페데스탈 표면위로 상승될 수 있다. 하부 로딩 위치(개구부(56)보다 약간 낮은)의 페데스탈(32)에서, 리프트 핀 및 리프트 링과 상호 작용하는 로봇 블레이드(도시안됨)는 개구부(56)를 통해 챔버(30)내에 그리고 챔버(30)로부터 웨이퍼(36)를 전달하며, 상기 개구부(56)는 챔버 내외로의 가스의 흐름을 막기 위해 진공 밀봉될 수 있다. 리프트 핀(38)은 로봇 블레이드로부터 삽입된 웨이퍼(도시안됨)를 상승시키며, 페데스탈은 리프트 핀으로부터 페데스탈의 상부면상에 있는 웨이퍼 포켓상으로 웨이퍼를 상승시킨다. 적절한 로봇형 전달 어셈블리는 Maydan에게 허여되고 여기에서 참조로 사용되며 공동 양도된 미합중국 특허 제 4,951,601호에 개시된다.
자체-정렬 리프트 메커니즘을 사용함으로써, 페데스탈(32)은 웨이퍼를 처리위치로 상승시키며, 상기 처리 위치는 가스 분배 면판(이하 샤워헤드라 함)(40)에 근접해 있다. 처리가스는 가스공급 커버 플레이트(45)의 중앙 가스 인입구(44)를 통해 반응기(30)내에 주입되어, 제 1 디스크형 스페이스(48), 배플 플레이트(또는 가스 폐쇄 플레이트)(62)의 통로(51), 제 2 디스크형 스페이스(54) 및 샤워헤드(40)로 흐른다. 샤워헤드(40)는 처리가스를 처리영역(58)에 분사하는 다수의 홀 또는 통로(42)를 포함한다.
화살표로 표시된 바와같이, 처리가스는 처리영역(58)의 홀(42)로부터 샤워헤드 및 페데스탈 사이의 처리영역(58)으로 분사되어 웨이퍼(36)의 표면에서 반응한다. 처리가스 부산물은 페데스탈(32)이 처리위치에 있을때 페데스탈(32)의 상부 주변상에 배치되는 흐름 제한링(46)(이하에서 더 상세히 기술됨)과 웨이퍼(36)의 에지를 가로질러 외부 방향으로 방사상으로 흐르게 된다. 그 다음에, 처리가스는 환형 절연체(52)의 하부 및 챔버 벽 라이너 어셈블리(53) 사이에 형성된 쵸크 개구부(50)를 통해 펌핑 채널(60)로 흐르게 된다. 펌핑 채널(60)로 흐를 때, 배출 가스는 진공 펌프(82)에 의해 진공되는 처리 챔버의 주변으로 전달된다. 펌핑 채널(60)은 배출 개구부(74)를 통해 펌핑 플리넘(76)에 접속된다. 이하에서 더 상세히 기술된 바와같이, 배출 개구부(74)는 펌핑 채널 및 펌핑 플리넘 사이의 흐름을 제한한다. 밸브(78)는 배기 구멍(80)에서 진공펌프(82)로의 통로이다. 드로틀 밸브(83)는 메모리에 저장되며 제어 프로그램에 따라 발생되는 적절한 값과 마노미터와 같은 압력 센서(도시안됨)로부터의 측정신호를 비교하는 메모리에 저장된 압력 제어 프로그램에 따라 시스템 제어기(도시안됨)에 의해 제어된다.
환형 펌핑 채널(60)의 측면은 일반적으로 세라믹 링(64), 챔버 리드 라이너(70), 챔버 벽 라이너(72) 및 절연체(52)에 의해 한정된다. 세라믹 챔버 라이너는 Robertson 등에게 허여되고 여기에서 참조로 사용되며 공동 양도된 미합중국 특허 제 5,366,585호에 개시된 바와 같이 공지되어 있다. 챔버 리드 라이너(70)는 리드 림(66)과 맞선 펌핑 채널(60)의 측면상에 배치되며 리드의 형상을 따른다. 챔버 벽 라이너(72)는 주 챔버 몸체(11)와 맞선 펌핑 채널(60)의 측면상에 배치된다. 양 라이너는 바람직하게 알루미늄과 같은 금속으로 만들어지며, 임의의 막의 부착을 증가시키는 비이드일 수있다. 리드 및 벽 챔버 라이너(70, 72)는 한 세트로서 크기가 정해진다. 챔버 리드 라이너(70)는 리드 라이너를 리드 림에 전기적으로 접속하는 다수의 핀(75)에 의해 리드 림(66)에 부착 가능하게 고정된다. 그러나, 챔버 벽 라이너(72)는 세라믹 링(64)의 외부 상부상에 형성된 레지(65)상에 지지되며, 방사 갭(73)이 챔버 벽 라이너(72) 및 주챔버 몸체(11)사이에 형성되고 축 갭(75)이 리드 및 챔버 라이너사이에 형성되도록 직경을 가진다. 환형인 쵸크 개구부(50)는 절연체(52) 및 흐름 제한링(46) 사이에 형성된다.
쵸크 개구부(50)는 사실상 샤워헤드(40) 및 웨이퍼(36) 사이의 처리영역(58)의 깊이보다 좁은 폭을 가지며, 예를 들어 적어도 5의 인자만큼 원주 펌핑 채널(60)의 최소 측면 직경보다 사실상 작다. 동작 압력 및 가스 흐름에서 충분한 공기 저항을 만들기 위해 쵸크 개구부(50)의 폭은 충분히 작게 만들지고 길이는 충분히 길게 만들어져서, 쵸크 개구부(50)에서의 압력 강하는 웨이퍼 반경 또는 환형 펌핑 채널의 주변에서의 전압 강하보다 사실상 크다. 실제적으로, 펌핑 챔버내에 있는 웨이퍼의 중앙으로부터의 압력 강하가 펌핑 채널내의 주변 압력강하의 10% 이하이도록 쵸크 개구부(50)가 충분한 공기 임피던스를 유입하는 것은 통상적이지 않다. 압축된 배출 개구부는 공기 임피던스를 만들고 원주 펌핑 채널(60) 둘레에 거의 균일한 압력을 발생시킴으로써 쵸크 개구부의 기능과 유사한 기능을 수행한다.
모터 및 광학 센서(도시안됨)는 드로틀 밸브(83) 및 페데스탈(32)과 같은 이동가능한 기계적인 어셈블리의 위치를 이동하고 결정하기 위하여 사용된다. 페데스탈(32) 및 챔버 몸체(11)에 부착된 벨로우(도시안됨)는 페데스탈 주위에 이동가능한 가스 밀봉부를 형성한다. 광학 원격 플라즈마 시스템(4)(예를들어 마이크로파 소스를 사용하여 형성된 원격 플라즈마를 사용하는 챔버 세척 능력을 제공하기 위하여 사용될 수 있음)을 포함하는 플라즈마 시스템, 페데스탈 리프트 시스템, 모터 및 게이트 밸브와 다른 시스템 부품은 일부만이 도시된 제어 라인(3, 3A-D)상의 프로세서(85)에 의해 제어된다.
도 3은 페데스탈(32), 라이너(70, 72), 절연체(52), 링(64) 및 펌핑 채널(60)의 단순화된 부분적 단면 투시도이다. 도 3은 샤워헤드(40)의 노즐(42)로부터 웨이퍼(36)쪽으로의 처리가스의 흐름과 웨이퍼(36)로부터의 방사상 흐름(84)을 도시한다. 그 다음에, 가스 흐름은 제한 링(46)의 상부상에서 펌핑 채널(60)로 위쪽으로 편향된다. 펌핑 채널(60)에서, 가스는 원주 경로(86)를 따라 진공 펌프쪽으로 흐르게 된다.
펌핑 채널(60) 및 그것의 부품은 처리 가스 및 부산물을 배출 시스템으로 유입함으로써 부적절한 막증착 효과를 최소화하기 위하여 설계된다. 부적절한 증착을 감소시키기 위한 한 방법은 세라믹 부분, 히터 에지 및 후면과 같은 블랭킷 임계영역에서 가스를 사용하는 것이다. 다른 방법은 임계영역으로부터 멀리 반응가스의 흐름을 수행하도록 배출 시스템을 설계하는 것이다. 배출 흐름은 미세 가스 이동이 발생하는 사 영역(dead zone)을 형성할 수있다. 이들 사 영역은 세척 가스 블랭킷에 접근하며, 이 블랭킷에서 사 영역은 반응가스를 치환하며 부적절한 증착을 감소시킨다.
본 발명은 페데스탈 및 챔버의 다른 부분상에 부적절한 증착을 다른 방식으로 방지한다. 특히, 본 발명은 페데스탈로부터 챔버의 하부로의 가스 흐름을 최소로하기 위하여 흐름 제한링(46)을 이용한다. 본 발명의 실시예에 있어서, 티타늄 테트라클로라이드를 사용하는 티타늄의 증착(이하에서 더 상세히 설명됨)은 티타늄을 가진 다른 막을 형성하는 종래 증착 시스템에서 사용되는 종래 방법보다 훨씬 높은 흐름 속도를 가진다. 예를 들어, 티타늄 증착공정은 약 15리터/분의 흐름 속도를 필요로 할 수 있으며, 예를 들어 유사한 PECVD 시스템에서 테트라키스-디메디라미도-티타늄으로부터 티타늄 질화물층을 증착하는 것은 약 5리터/분의 흐름 속도를 필요로한다. 다른 실시예에 있어서, 제한 링은 페데스탈의 상부 및 에지의 부분을 덮어서, 임의의 부적절한 막은 페데스탈위 또는 챔버의 하부 대신에 링상에 증착된다. 다른 실시예에 있어서, 흐름 제한링은 웨이퍼의 에지상의 증착을 막기 위하여 웨이퍼의 에지상에서 약간 확장함으로써 에지 또는 새도우 링으로서 사용한다. 유리하게, 흐름 제한링은 이러한 높은 흐름 속도로 다른 방식으로 발생할 수 있는 부적절한 위험(그것과 연관된 위험)을 최소화하며, 이것은 세척 가스 흐름 및 사 영역 패턴을 바꿀 수 있다. 챔버 리드(66)는 세척을 위해 용이하게 제거되어, 화학 및/또는 기계적인 공정을 사용하여 전체적으로 세척될 수 있는 저가의 제한링에 접근할 수 있다.
흐름 제한링(46)은 전술한 쵸크 및 배출 개구부와 유사한 세라믹 링(64)을 가진 가스 개구부를 형성한다. 채널(61)은 흐름 제한링(46) 및 세라믹 링(64) 사이에 형성된다. 웨이퍼 페데스탈의 상부 및 하부에 있는 챔버 부분 사이의 가스 연통은 채널(61)의 길이 및 폭을 변화시킴으로써 제어될 수있다.
흐름 제한링(46)은 특정 공정 및 그것과 연관된 증착 및 세척 공정에 따라 임의의 여러 재료로 만들어 질 수있다. 링은 사용된 재료와 호환가능한 재료로 만들어져야 한다. 다른 고려할 사항은 페데스탈 및 웨이퍼의 에지로부터 열 손실을 증가 또는 감소시키기 위하여 선택될 수 있는 링의 열 도전성이다. 플라즈마 보강 공정에 있어서, 전기적 도전링은 플라즈마 형상을 바꿀 수 있으며, 또는 다른 챔버 부품 또는 웨이퍼에 아크를 허용한다. 티타늄 증착에 적절한 한 실시예에 있어서, 흐름 제한링(46)은 퓨징된(fused) 실리카로 만들어진다. 이는 이 재료가 비교적 낮은 열 도전성을 가지며 비도전적이기 때문이다. 다른 실시예에 있어서, 흐름 제한링은 링 재료가 증착된 층을 오염시키지 않기 때문에 티타늄 함유층에 대한 증착공정을 위해 티타늄으로 만들어질 수있다.
도 1a를 참조하면, 흐름 제한링(46)은 전술한 바와 같이 처리동안 페데스탈(32)에 의해 지지된다. 페데스탈이 웨이퍼를 로딩 및 언로딩시키기 위해 하강될때, 제한링은 레지(69)의 세라믹 링(64)상에 배치된다. 다음 웨이퍼를 지지하는 페데스탈이 처리 위치로 상승될 때, 페데스탈은 흐름 제한링을 픽업한다. 본 발명의 실시예에 따르는 티타늄 공정을 위해 챔버에 사용되는 압력에서, 중력은 페데스탈상에 웨이퍼(웨이퍼 포켓내에 배치됨) 및 제한링을 지지하기에 충분하다.
도 4a-4e는 이러한 본 발명의 특징의 여러 실시예중 일부 실시예를 도시한다. 도 4a-4e에 도시된 다양한 특징은 다른 실시예에 따라 결합되거나 개별적으로 사용될 수있다. 도 4a는 제한링(746)이 히터 어셈블리(33) 및 세라믹 라이너(764)사이의 갭을 채워서, 히터 어셈블리(33) 및 열 시일드(731)를 덮는 실시예를 도시한다. 도 4b는 열 시일드(231)가 히터 어셈블리(33)의 에지 둘레에 감기는 다른 실시예를 도시한다. 이 실시예의 다른 특징에 있어서, 세라믹 라이너(264)는 페데스탈(33)이 하강될 때 제한링(246)을 수용하기 위하여 레지(265)를 가진다. 도 4b에 도시된 실시예와 대조적으로, 도 4c는 세라믹 링 라이너(364)가 두꺼워서 히터 어셈블리의 에지쪽으로 더 연장되어, 히터 어셈블리 및 세라믹 라이너 사이의 갭(366)을 감소시키는 다른 실시예를 도시한다. 흐름 제한링(346)은 갭(366)에서 공간을 더 차지하며 히터 어셈블리(33)의 에지 및 퓨징된 실리카 열 시일드(331)를 덮기 위하여 연장된다. 도 4c의 링(346)의 내부 직경은 포켓 외부에서 페데스탈 에지를 차폐하기 위하여 웨이퍼 포켓의 에지에 인접한다. 도 4d는 제한링(446)의 내부직경이 웨이퍼(36)의 외부 직경과 중첩되는 실시예를 도시한다. 세척 가스 통로(도시안됨)는 히터 어셈블리(33) 아래에서 생성되는 세척 가스를 웨이퍼(36)의 에지에 전달하기 위하여 제한링(446)으로 통합될 수있다. 도 4d의 실시예와 유사하게, 도 4e는 제한링(646)의 내부 직경이 웨이퍼(36)의 외부 에지를 중첩하도록 연장되어 제한링(646)이 에지 또는 새도우 링 뿐만 아니라 흐름 제한기로서 동작하는 실시예를 도시한다. 제한링(646)의 내부 직경이 웨이퍼(36)의 외부 에지를 중첩하도록 연장되는 거리는 다른 실시예에서 변화할 수있다. 게다가, 링(646)은 웨이퍼/페데스탈 및 링(646) 사이에 스페이스를 제공하기 위하여 다중, 즉 3개의 보스(647)(안정성을 제공하기 위하여 상대적으로 균일하게 이격될 수 있는)를 가질 수 있어서, 링(646)의 일부분은 히터 페데스탈(33)과 접촉하지 않는다. 보스(647)는 웨이퍼 페데스탈 및 링(646)사이에 공간을 제공하기 위하여 환형 보스로 대체될 수 있다. 따라서 링(646)은 히터 페데스탈과 접촉하기 때문에 지나치게 가열되지 않으며, 링(646)상의 증착을 최소화한다. 본 실시예의 다른 특징은 페데스탈(33) 및 챔버 라이너(264) 사이의 링(646)의 정렬 및 안착을 용이하게 하는 링(646)의 테이퍼진 바닥부(648)에 있다. 테이퍼진 바닥부(648)의 테이퍼진 쪽은 각각 페데스탈(33) 및 라이너(264)가 안착중에 충돌에 의하여 파손되는 것을 방지한다. 일부 실시예에서, 단지 한쪽만 또는 양쪽이 테이퍼질 수 있다.
도 4a-4e에 도시된 특정 실시예에 따른 흐름 제한링은 전체 챔버 체적을 감소시키는 이득을 제공하고 따라서 세척될 챔버내의 전체 영역을 감소시키고 가스의 잔류 시간을 감소시킨다. 또한, 흐름 제한링은 또한 챔버 바닥에서 페데스탈 하부의 챔버 내부로 공정 영역으로부터 가스가 흐르는 것을 최소화하여, 상기 영역에서 원치 않는 증착을 감소시키며, 따라서 건식 세척 효율을 개선하도록 한다.
전술한 CVD 장치의 일부 특징은 공동으로 양도된 미국특허출원 제 08/348,273호(1994년 11월 30일 출원되고 자오 등이 발명자임)에 상세히 설명된 전형적인 CVD 챔버와 공통이며, 상기 출원의 내용은 여기에 참조문으로 사용된다. 본 발명에 따른 CVD 장치(10)의 다른 특징은 이하에 상세히 설명된다.
B.시스템 제어
박막을 증착하고 챔버를 건식 세척하기 위한 방법은 프로세서(85)에 의하여 수행되는 컴퓨터 프로그램 제품을 이용하여 수행될 수 있다. 컴퓨터 프로그램 코드는 예를 들어 68000어셈블리 언어, C, C++, 파스칼, 포트란 등과 같은 종래 컴퓨터 판독가능 프로그래밍 언어로 기록될 수 있다. 적합한 프로그램 코드는 종래 텍스트 에디터를 이용하여 단일 파일 또는 다중 파일로 입력되며 컴퓨터 메모리 시스템과 같은 컴퓨터 사용가능 매체에 저장 또는 수록된다. 상기 기입된 코드 텍스트가 고급 언어라면, 상기 코드는 컴파일링되고, 다음에 얻어진 컴파일링 코드는 미리 컴파일링된 라이브러리 루틴의 목적 코드와 링크된다. 상기 링크된 목적 코드를 실행하기 위하여, 시스템 사용자는 상기 목적 코드를 호출하여 컴퓨터 시스템이 상기 코드를 메모리에 로딩하도록 하는데, 상기 CPU가 프로그램에서 식별된 태스크(task)를 수행하기 위기 위하여 코드를 판독하고 실행한다.
도 5는 특별한 실시예에 따른 시스템 제어 소프트웨어 컴퓨터 프로그램(160)의 계층적 제어 구조의 블록도를 도시한다. 라이트 펜 인터페이스를 사용하여, 사용자는 CRT 모니터 상에 표시된 메뉴 또는 스크린에 응답하여 처리 세트 번호와 처리 챔버 번호를 처리 선택 서브루틴(161)에 기입한다. 기입된 처리를 수행하는데 필요한 소정 처리 파라미터 세트인 상기 처리 세트는 소정 세트 번호에 의해 식별된다. 처리 선택 서브루틴(161)은 (ⅰ) 요구된 처리 챔버, 및 (ⅱ) 상기 요구된 처리를 수행하기 위해 처리 챔버를 동작하는데 필요한 요구된 파라미터 세트를 식별한다. 특별한 처리를 수행하기 위한 상기 처리 파라미터는 예를 들어 처리 가스 혼합과 흐름 속도, 온도, 고주파수 및 저주파수 RF 전력 레벨과 고주파수와 저주파수 RF 주파수 같은 플라즈마 조건, (부가적으로 원격 마이크로파 플라즈마 시스템을 갖춘 실시예에 대한 마이크로파 발생 전력 레벨) 냉각 가스 압력, 및 챔버 벽 온도와 같은 조건 처리에 관련한다. 처리 선택 서브루틴(161)은 어떤 타입의 처리(증착, 웨이퍼 세척, 챔버 세척, 챔버 게터링, 재흐름)가 어떤 시간에 챔버(30)에서 수행되는가를 제어한다. 일부 실시예에서, 하나 이상의 선택 서브루틴이 있을 수 있다. 상기 처리 파라미터는 사용자에게 영수증의 형태로 제공되고 라이트펜/CRT 모니터 인터페이스를 사용하여 기입될 수 있다.
상기 처리를 모니터링하기 위한 신호는 상기 시스템 제어기의 아날로그 입력 보드와 디지털 입력 보드에 의해 제공되며, 상기 처리를 제어하기 위한 신호는 CVD 시스템(10)의 아날로그 출력 보드와 디지털 출력 보드 상의 출력이다.
처리 시퀀서 서브루틴(162)은 상기 식별된 처리 챔버와 처리 선택 서브루틴(161)으로부터의 처리 파라미터 세트를 수용하고 여러 처리 챔버의 동작을 제어하기 위한 프로그램 코드를 포함한다. 다중 사용자가 처리 세트 번호와 처리 챔버 번호를 기입할 수 있고, 또는 단일 사용자가 다중 처리 세트 번호와 처리 챔버 번호를 기입할 수 있어 시퀀서 서브루틴(162)은 요구된 시퀀스내의 선택된 처리를 스케줄링하도록 동작한다. 바람직하게, 시퀀스 서브루틴(162)은 (ⅰ) 챔버가 사용되고 있다면 처리 챔버의 동작을 모니터링하고, (ⅱ) 어떤 처리가 사용되고 있는 챔버에서 수행되는가를 결정하며, (ⅲ) 처리의 유용성과 수행될 처리의 타입에 기초한 요구된 처리를 실행하는 단계를 수행하는 프로그램 코드를 포함한다. 상기 처리 챔버를 모니터링하는 일반적 방법, 이를테면 폴링(polling)이 사용될 수 있다. 수행될 수 있는 처리를 스케줄링할 때, 시퀀서 서브루틴(162)은 선택된 처리에 대해 요구된 조건, 또는 각각의 특별한 사용자 기입 요구서의 수명, 또는 스케줄링 우선 순위를 결정하기 위해 시스템 프로그래머가 포함하기를 요구하는 어떤 다른 관련 인자와 비교하여 사용되어지는 현재 처리 챔버의 조건을 고려하도록 디자인될 수 있다.
시퀀서 서브루틴(162)이 다음에 실행되어야 하는 처리 챔버와 처리 세트 조합을 결정할 때, 상기 시퀀서 서브루틴(162)은 특별한 처리 세트 파라미터를 시퀀서 서브루틴(162)에 의해 결정된 처리 세트에 따라 처리 챔버(30)에서의 다중 프로세싱 작업을 제어하는 챔버 매니저 서브루틴(163a-c)에 전달함으로써 상기 처리 세트의 실행을 시작한다. 예를 들면, 상기 챔버 매니저 서브루틴(163b)은 처리 챔버(30)의 CVD 동작을 제어하기 위한 프로그램 코드를 포함한다. 또한 챔버 매니저 서브루틴(163b)은 상기 선택된 처리 세트를 실행하는데 필요한 여러 챔버 부품의 실행을 제어한다. 챔버 부품 서브루틴의 예는 기판 위치 설정 서브루틴(164), 처리 가스 제어 서브루틴(165), 압력 제어 서브루틴(166), 히터 제어 서브루틴(167), 및 플라즈마 제어 서브루틴(168)이다. 상기 CVD 챔버의 특정 조건에 의존하여, 일부 실시예는 상기 서브루틴 모두를 포함하는 반면, 다른 실시예는 상기 서브루틴의 일부만 포함한다. 당업자는 어떤 처리가 처리 챔버(30)에서 실행될 수 있는가에 의존하여 다른 챔버 제어 서브루틴이 포함될 수 있다는 것을 쉽게 알 수 있을 것이다. 동작중, 챔버 매니저 서브루틴(163b)은 실행되는 특별한 처리에 따라 처리 부품 서브루틴을 스케줄링하거나 호출한다. 챔버 매니저 서브루틴(163b)은 시퀀서 서브루틴(162)이 다음에 실행될 수 있는 처리 챔버(30)와 처리 세트를 스케줄링하는 것과 매우 유사하게 처리 부품 서브루틴을 스케줄링한다. 전형적으로, 챔버 매니저 서브루틴(163b)은 여러 챔버 부품을 모니터링하고, 실행될 처리 세트를 위한 처리 파라미터에 기초하여 동작되는데 필요한 부품을 결정하며, 상기 모니터링과 결정 단계에 응답하여 챔버 부품 서브루틴의 실행을 시작하는 단계를 포함한다.
이제 특별한 챔버 부품 서브루틴의 동작이 도 5를 참조하여 기술될 것이다. 기판 위치 설정 서브루틴(164)은 기판을 페데스탈(32) 상에 로딩하고, 임의로 기판과 샤워헤드(40) 사이의 공간을 제어하기 위하여 챔버(3)의 요구된 높이로 기판을 리프팅하는데 사용되는 챔버 부품을 제어하기 위한 프로그램 코드를 포함한다. 기판이 처리 챔버(30)내로 로딩될 때, 히터 어셈블리(33)는 웨이퍼 포켓(34)에 상기 기판을 수용하기 위하여 하강되고, 다음에 상기 요구된 높이까지 상승된다. 동작중, 기판 위치설정 서브루틴(164)은 챔버 매니저 서브루틴(163b)으로부터 전달되는 지지 높이에 관련된 처리 세트 파라미터에 응답하여 페데스탈(32)의 이동을 제어한다.
처리 가스 제어 서브루틴(165)은 처리 가스 구성과 흐름 속도를 제어하기 위한 프로그램 코드를 가진다. 처리 가스 제어 서브루틴(165)은 안전 차단 밸브의 개방/밀폐 위치를 제어하며, 또한 요구된 가스 흐름 속도를 달성하기 위하여 유량 제어기를 램핑 업/다운 한다. 처리 가스 제어 서브루틴(165)은 모든 챔버 부품 서브루틴이 그렇듯이 상기 챔버 매니저 서브루틴(163b)에 의해 호출되며, 상기 챔버 매니저로부터 요구된 가스 흐름 속도에 관련된 서브루틴 처리 파라미터를 수신한다. 전형적으로, 처리 가스 제어 서브루틴(165)은 상기 가스 공급 라인을 개방하고 반복적으로 (ⅰ) 필요한 매스 흐름 제어기를 판독하고, (ⅱ) 챔버 매니저 서브루틴(163b)으로부터 수신된 요구된 흐름 속도와 판독값을 비교하며, (ⅲ) 필요에 따라 가스 공급 라인의 흐름 속도를 조절함으로써 동작한다. 더욱이, 처리 가스 제어 서브루틴(163)은 위험한 속도의 가스 흐름 속도를 모니터링하며, 위험한 조건이 검출될 때 안전 차단 밸브를 작동시키기 위한 단계를 포함한다. 또한 처리 가스 제어 서브루틴(165)은 선택되는 요구된 처리(세척 또는 증착 등)에 의존하여 가스 구성과 세척 가스 뿐만 아니라 증착 가스에 대한 흐름 속도를 제어한다. 다른 실시예는 하나 이상의 처리 가스 제어 서브루틴을 가질 수 있고, 각각의 서브루틴은 특별한 처리 타입 또는 특별한 가스 라인 세트를 제어한다.
일부 처리에서, 질소 또는 아르곤 같은 불활성 가스가 반응 처리 가스가 유입되기 이전에 챔버의 압력을 안정화하기 위하여 챔버(30)내로 흐르게 된다. 이런 처리를 위하여, 처리 가스 제어 서브루틴(165)은 챔버의 압력을 안정화하기 위해 필요한 시간의 양 동안 챔버(30)내로 불활성 가스를 흐르게 하는 단계를 포함하도록 프로그램되고, 다음에 이미 기술된 단계들이 수행될 것이다. 부가적으로, TiCl4와 같은 처리 가스가 액체 선구 물질로부터 기상화되어야 할 때, 처리 가스 제어 서브루틴(165)은 버블러 어셈블리에서 상기 액체 선구 물질을 통해 헬륨같은 운반 가스를 버블링하기 위한, 또는 헬륨같은 운반 가스를 액체 주입 시스템으로 유입하기 위한 단계를 포함하도록 기입될 것이다. 버블러가 이런 타입의 처리를 위해 사용될 때, 처리 가스 제어 서브루틴(165)은 요구된 가스 흐름 속도를 달성하기 위하여 운반 가스의 흐름, 버블러의 압력, 및 버블러 온도를 조정한다. 이미 개시된 바와 같이, 상기 요구된 처리 가스 흐름 속도는 처리 파라미터로서 처리 가스 제어 서브루틴(165)에 전달된다. 더욱이, 처리 가스 제어 서브루틴(165)은 소정 처리 가스 흐름 속도에 대한 필요한 값을 포함하는 저장된 테이블에 접근함으로써 상기 요구된 처리 가스 흐름 속도를 위해 상기 필요한 운반 가스 흐름 속도, 버블러 압력, 및 버블러 온도를 달성하기 위한 단계를 포함한다. 상기 필요값이 얻어질 때, 상기 운반 가스 흐름 속도, 버블러 압력 및 버블러 온도가 모니터링되고, 상기 필요값과 비교되며 따라서 조절된다.
상기 압력 제어 서브루틴(166)은 챔버의 배기 시스템내의 드로틀 밸브의 개구 크기를 조정함으로써 상기 챔버(30)의 압력을 제어하기 위한 프로그램 코드를 포함한다. 상기 드로틀 밸브의 개구 크기는 전체 처리 가스 흐름, 처리 챔버의 크기, 및 배기 시스템에 대한 펌핑 설정 압력에 관련한 요구된 레벨로 챔버 압력을 제어하도록 설정된다. 압력 제어 서브루틴(166)이 호출될 때, 상기 요구된 또는 목표 압력 레벨은 챔버 매니저 서브루틴(163b)으로부터 파라미터로서 수신된다. 상기 압력 제어 서브루틴(166)은 상기 챔버에 접속된 하나 이상의 일반적 압력계를 판독함으로써 챔버(3)의 압력을 측정하고, 상기 측정값을 목표 압력과 비교하고, 저장된 압력 테이블로부터 목표 압력에 대응하는 PID(비례, 적분 및 미분) 값을 얻으며, 상기 압력 테이블로부터 얻어진 상기 PID값에 따라 상기 드로틀 값을 조절한다. 선택적으로, 압력 제어 서브루틴(166)은 상기 요구된 레벨까지 챔버(30)의 펌핑 능력을 조정하기 위해 특별한 개구 크기로 상기 드로틀 밸브를 개방 또는 밀폐하도록 기입될 수 있다.
히터 제어 서브루틴(167)은 페데스탈(32)( 및 그 위의 어떤 기판)을 저항적으로 가열하는데 사용되는 히터 엘리먼트(107)의 온도를 제어하기 위한 프로그램 코드를 포함한다. 상기 히터 제어 서브루틴(167)은 또한 상기 챔버 매니저 서브루틴에 의해 호출되고 목표 또는 설정 온도 파라미터를 수신한다. 상기 히터 제어 서브루틴은 페데스탈(32)에 배치된 열전쌍의 전압 출력을 측정함으로써 온도를 측정하고, 상기 측정된 온도를 설정 온도와 비교하며, 상기 설정 온도를 얻기 위하여 상기 가열 유니트에 인가되는 전류를 증가 또는 감소시킨다. 상기 온도는 저장된 변환 테이블의 대응하는 온도를 룩업하거나, 또는 4차 다항식을 사용하여 상기 온도를 계산함으로써 상기 측정된 전압으로부터 얻어진다. 매립된 루프가 페데스탈(32)을 가열하는데 사용될 때, 히터 제어 서브루틴(167)은 점차 상기 루프에 인가되는 전압의 램핑 업/다운을 제어한다. 부가적으로, 내장 결함-안전 모드가 처리 안전 컴플라이언스를 검출하도록 포함될 수 있으며, 처리 챔버(30)가 적정히 설정되지 않는다면 상기 가열 유니트의 동작을 차단할 수 있다. 사용될 수 있는 히터 제어의 다른 방법은 기상 증착 장치의 온도 제어 시스템 및 방법으로 명명되고 1996년 11월 13일 제출된 미국 특허 번호 제08/746657호(대리인 문서번호 AM1680-8/T16301-171)에 개시되어 있는 램프 제어 알고리즘을 사용한다.
다른 실시예에서, 상기 히터 엘리먼트 저항은 열전쌍을 사용하는 대안으로써 사용될 수 있고, 그러므로 히터 어셈블리로부터 열전쌍을 잠재적으로 제거한다. 특별한 히터 엘리먼트의 저항 대 온도를 특징화하고, 동작 전압에서 히터 엘리먼트를 통해 인출된 전류를 측정함으로써, 동작 동안의 상기 히터 엘리먼트의 온도가 결정될 수 있다. 바람직하게, 온도 센서를 갖는 테스트 웨이퍼가 상기 히터 엘리먼트 온도와 웨이퍼 표면의 온도 상태의 상호관계를 나타내는데 사용된다. 동작 전류에서의 전압 측정은 유사한 정보를 제공할 것이다. 어느 한쪽의 예에서, 상기 제어기는 열전쌍 전압 출력 대신에 상기 히터 엘리먼트 전압-전류 데이터를 사용할 것이다.
플라즈마 제어 서브루틴(168)은 챔버(30)의 처리 전극과 히터 어셈블리(32)에 인가되는 저주파수 및 고주파수 RF 전력 레벨을 설정하고, 사용되는 저주파수와 고주파수를 설정하기 위한 프로그램 코드를 포함한다. 이미 개시된 챔버 부품 서브루틴과 같이, 플라즈마 제어 서브루틴(168)은 챔버 매니저 서브루틴(163b)에 의해 호출된다. 원격 플라즈마 발생기(4)를 포함하는 실시예를 위하여, 플라즈마 제어 서브루틴(168)은 또한 상기 원격 플라즈마 발생기를 제어하기 위한 프로그램 코드를 포함할 것이다.
C. 세라믹 히터 어셈블리
도 6은 상기 페데스탈과 샤프트의 개략적 단면도이다. 페데스탈(32)은 히터 어셈블리(33)를 포함한다. 페데스탈(32)은 적어도 약 400℃의 온도와 부식성 플라즈마 환경의 존재에서 양립할 수 있는 재료로 제조될 수 있다. 예를 들면, 일부 실시예에서, 스테인레스 강, HastelloyTM합금, HaynesTM242 합금, 또는 세라믹이 사용될 수 있다.
특정 실시예에 따르면, 세라믹 히터는 금속으로 제조된 유사한 히터 보다 더 낮은 열적 매스을 제공할 수 있다. 이것은 온도 제어기로부터의 전력를 변경하는데 더 빠른 응답 시간을 허용한다. 예를 들어 상기 챔버가 유지 목적을 위해 해체되어야 할 때, 세라믹 히터는 적은 열을 저장하기 때문에 더 빨리 냉각될 것이다. 일부 응용에서, 상기 세라믹 히터는 상기 처리(예를 들면, 웨이퍼 이송, 또는 가스 흐름과 압력 변경)에서 발생하는 열 과도현상 조건에 빨리 응답할 수 있다는데 유용하게 될 수 있다.
도 7a는 본 발명의 특정 실시예에 따른 히터 어셈블리(33)의 개략적 확대도이다. 상부 플레이트(101)는 바람직한 실시예에서 AlN과 같은 세라믹이며, 그것의 상부 표면의 대략 0.029 인치 깊이에 상기 웨이퍼 포켓(도시 안됨)과 웨이퍼 리프트 핀 홀(102A)을 포함하도록 제조된다. RF 평면(103)은 상부 플레이트(10)의 하부에 놓이고, 다중 웨이퍼 리프트 핀 홀(102B)을 포함한다. 적어도 3개의 리프트 핀이 대응하는 수의 리프트 핀 홀과 함께 사용될 것이다. 도 7b는 웨이퍼 리프트 핀 홀(102B)과 구멍(229)의 위치를 도시하는 RF 평면(103)의 평면도이다. RF 평면(103)은 상기 RF계 발생의 도전성과 전력 요구, 어셈블리 제조 처리, 및 상기 RF 평면과 세라믹 플레이트의 관련 열팽창과 양립하는 어떤 적당한 도전 재료로 제조될 수 있다. 이런 실시예에서, RF 평면(103)은 대략 5mil 두께의 몰리브덴 시트 원료로 제조되고 200mil 중심 대 중심 간격으로 90mil 직경의 홀로 관통된다. RF 평면(103)은 바람직하게 웨이퍼 직경보다 더 큰 약 0-2인치 이상이 되는 외경을 가진다. 상기 구멍은 컴퓨터 이용 설계(CAD) 또는 컴퓨터 수치 제어(CNC) 레이저를 포함하는 레이저; 포토리소그래픽 에칭 기술, 전자 방전 기계가공(EDM), 또는 다른 적당한 기술을 포함하는 화학적 에칭을 사용하여 형성된다. 텅스텐 또는 다른 내화성 재료가 상기 RF 평면을 제조하는데 사용될 수 있다. 몰리브덴은 텅스텐 이상으로 바람직한데, 예를 들면 몰리브덴은 AlN과 더욱 가깝게 일치하는 열팽창 계수를 가지기 때문이다. 또한, 몰리브덴은 더욱 연성이고, 바람직한 증착 챔버 환경에서 부식에 더욱 강하며, 텅스텐 보다 박판으로 제조하기에 더 용이하다. 특히, AlN의 열팽창 계수는 약 5.55 x 10-6/℃가 되는 몰리브덴의 열팽창 계수에 매우 가까운 약 5.5 x 10-6/℃인 반면, 텅스텐의 열팽창 계수는 약 5.6 x 10-6/℃이다.
RF 평면(103)내의 구멍은 상기 상부 AlN 플레이트가 세라믹 대 금속 본드를 피하면서 직접 제 2 AlN 플레이트(105)에 본딩하도록 한다. 상기 RF 평면(103)내의 홀의 직경과 간격의 선택은 상기 RF계 균일성의 요구와 함께 내부 세라믹 본딩 처리(아래에 개시된)의 요구를 비교 평가함으로써 최적화된다. 신뢰할 수 있는 내부 세라믹 본드를 달성하기 위해 충분한 전체 구멍 영역을 제공하는 것이 중요하다. 이미 개시된 바람직한 실시예와 동등한 영역은 홀 수를 감소시키고 이들의 직경을 증가시키거나, 또는 홀 수를 증가시키고 이들의 직경을 감소시킴으로써 달성될 것이다. RF 평면(103)의 두께는 상기 RF 평면의 열팽창이 상기 구멍내의 내부 세라믹 본드를 클랙킹하지 못하도록 평면(103)의 재료와 내부 세라믹 본딩 처리에 따라 선택된다. 특정 실시예에 따르면, 몰리브덴 평면을 위한 상한은 약 15mil인 반면, 상기 두께의 하한은 약 3mil이 될 수 있다. 이런 범위의 두께는 여전히 적당한 RF 전력 레벨을 유지하면서 동작 RF 전력 레벨에서 균일한 계를 형성하도록 적당히 낮은 전기적 저항을 제공한다.
바람직한 시트는 상기 시트내의 국부화된 핫 스폿이 상기 시트에 걸쳐 확산될 수 있는 국부화된 더 높은 저항을 초래하기 때문에 많은 응용에서 망상 조직(mesh)이 바람직하다. 그러나, 망상 조직내의 유사한 핫 스폿은 와이어 가닥 교차점이 대략 콘택을 가리키고 시트에 비교할 때 빈약한 열전달을 가지기 때문에 가닥을 따라 열을 확산하려는 경향이 있는, 개별 와이어 가닥을 가열하려는 경향이 있다. 이것은 종종 망상 조직 가닥의 과열, 상기 가닥 손상 및 RF 망상 조직 전극의 동작 수명 감소를 초래한다. 부가적으로, 이런 과열 또는 손상된 망상 조직내의 가닥은 RF계의 불균일성을 초래할 수 있다. 바람직한 시트는 이런 관점에서 손상을 스스로 제한하려는 경향이 있고 뛰어난 RF계 패턴을 제공한다. 망상 조직의 사용에 비교되는 시트 사용의 다른 장점은 망상 조직내의 와이어 크기가 증가할 때, 또한 와이어 사이의 간격이 증가한다는 것이다. 이것은 망상 조직의 효과적인 RF 면저항을 제한한다. 예를 들면, 약 4-5mil 두께의 몰리브덴 시트는 5mil 이상의 피크 대 피크 두께를 갖는 몰리브덴 망상 조직의 최소 면저항에 대충 상응하는 면저항을 가진다. 부가적으로, 시트 원료로 제조된 RF 전극은 망상 조직 전극 보다 더 평평하여 웨이퍼와 RF 전극 사이의 세라믹의 더 얇은 층을 허용하고 증착 동안 균일한 플라즈마 처리를 허용한다. 특정 실시예에서, 상기 RF 평면(103)과 웨이퍼 사이의 거리는 바람직하게 약 50mil 이하이고, 약 38-42mil 범위에 있다.
도 7a를 참조하면, 제 2 AlN 플레이트(105)는 히터 엘리먼트(107)로부터 RF 평면(103)을 절연한다. 히터 엘리먼트(107)는 몰리브덴으로 제조되지만, 텅스텐과 같은 다른 유사한 재료가 사용될 수 있다. 상기 가열 엘리먼트는 CAD 또는 CNC 레이저를 포함하는 레이저; 포토리소그래픽 에칭 기술, EDM, 또는 다른 적당한 기술을 포함하는 화학적 에칭을 사용하여 대략 5mil 두께의 몰리브덴 시트 원료로부터 절단된다. 도 7c는 히터 엘리먼트(107)의 개략적 평면도이다. 상기 히터 엘리먼트(107)를 위해 선택된 두께는 바람직하게 이미 개시된 바와 같이 세라믹 어셈블리 처리의 억제내에 있어야 한다. 상기 히터 엘리먼트(107)의 폭과 길이는 종래 기술에 공지된 바와 같이 상기 히터로부터 적당한 전력 출력을 얻고 세라믹-세라믹 본딩을 위한 충분한 상호 히터 간격을 제공하도록 전압 공급원의 컴플라이언스에 일치하도록 선택된다. 예를 들면, 약 9mil의 폭과 약 325 인치의 길이가 되는 5mil 두께의 히터 엘리먼트는 실온에서 약 2.25-3.25 옴(Ω), 바람직하게 약 2.5Ω의 저항을 가지며, 약 4킬로와트(㎾)까지 생성할 수 있다. 의도된 동작 범위에 걸친 히터 엘리먼트의 저항 변화를 고려하는 것이 중요하다. 예를 들면, 몰리브덴 히터 엘리먼트의 저항은 실온에서 약 700℃까지 가열될 때 약 4.3배 증가할 수 있다.
바람직하게, 상기 엘리먼트는 도 7c에 도시된 바와 같이 사인 곡선의 패턴을 갖는 아크가 더 경직되어 제조동안 정렬하기에 더 용이하기 때문에 간단한 아크(도시 안됨) 보다 오히려 상기 히터 엘리먼트의 평면내에 사인 곡선의 패턴으로 절단된다. 전류 흐름은 각각의 아크가 상기 엘리먼트의 인접한 아크 상의 백(233)에 포개질 때 방향을 변경하고, 그결과 히터 엘리먼트에 의해 발생될 수 있는 플라즈마 특성을 변경함으로써 불균일 증착을 초래할 수 있는 자계를 최소화한다. 자계의 유사한 해제는 아크내의 사인 곡선 사이에서 발생한다. 와이어 또는 와이어 코일을 사용하기 보다는 오히려 시트 원료로의 히터 엘리먼트 제조는 단면 영역에 대한 표면 영역의 더 큰 비율을 갖는 가열 엘리먼트를 제공한다. 이것은 웨이퍼에 더욱 효과적으로 열을 전달하는 히터 엘리먼트를 제공하는데, 유사한 와이어 디자인을 사용할때보다 더 낮은 엘리먼트 온도에서 웨이퍼에 동일한 열을 제공한다. 차례로 이것은 히터 엘리먼트 파손을 최소화시켜 히터 엘리먼트 수명을 연장시킨다. 부가적으로, 가열 엘리먼트(107)의 폭은 요구된 열 프로파일에 따라 변경될 수 있거나, 또는 상호 엘리먼트 간격은 예를 들어 상기 CNC 레이저 프로그램을 조절함으로써 엘리먼트 밀도를 조절하도록 변경될 수 있다. 이것은 뛰어난 온도 균일성을 갖는 히터 어셈블리, 또는 특별한 열 프로파일을 갖는 히터 어셈블리를 형성할 수 있다.
도 7a를 다시 참조하면, 제 2 AlN 플레이트(105)는 특정 실시예에 따라 4개의 리프트 핀 홀(102C)에 부가적으로 RF 피드쓰루 홀(106A)과 열전쌍 홀(104B)을 가진다. 히터 엘리먼트(107)는 히터 엘리먼트의 중앙 근처에 리프트 핀 홀(102D), 상기 RF 피드쓰루, 및 도 6의 열전쌍(470)을 위한 경로를 제공한다. 히터 엘리먼트(107)의 각각의 단부에는 도 7c에 도시된 바와 같이 히터 콘택(112)이 있다. 제 3 AlN 플레이트(108)는 히터 엘리먼트(107)와 AlN 히터 스터브(110)가 부착되는 하부층(109)의 사이에 놓인다. 하부층(109)은 아래에 개시된 압력 본딩 처리 동안 형성된다. 바람직한 실시예에서, 얻어지는 스택(스터브 없는)은 약 0.546인치 두께이고, 낮은 열량을 갖는 히터를 초래한다. 상기 전체 어셈블리는 특별한 응용의 디자인 억제에 의존하여 더 작거나 더 크게 되는 외경으로 더 짧거나 더 길게 될 수 있다. 이런 실시예에서, 약 2.25인치의 두께는 커플러 클램프(아래에 개시된)와 퓨징된 실리카 열 시일드(또한 아래에 개시된)를 피팅하기 위한 스터브 상의 플랜지와 하부 플레이트 사이에 충분한 공간을 제공한다. 더 얇은 열 시일드 또는 클램프는 더 짧은 스터브를 허용한다. 상기 스터브는 바람직하게 세라믹 히터 어셈블리에 걸친 열적 기울기를 감소하고 상기 커플러가 페데스탈의 하강과 간섭하지 않도록 짧다. 바람직한 실시예에서, 상부 AlN 플레이트(101), 제 2 AlN 플레이트(105), 제 3 AlN 플레이트(108), 및 AlN 스터브(110)는 핫 프레스 AlN로부터 형성된다. 상기 플레이트는 평탄하고 평행하게 접지되며, 필요하다면 상기 히터와 RF 평면 전극(아래에 개시된)을 수용하도록 접지된다. 정렬 홀(도시 안됨)은 대략 상기 리프트 핀 홀의 중심선을 따라 상부 AlN 플레이트(101), 제 2 AlN 플레이트9105), 및 제 3 AlN 플레이트(108)를 통해 관통된다. 상기 AlN 플레이트(101), 제 2 AlN 플레이트(105), 제 3 AlN 플레이트(108) 및 AlN 스터브(110)는 이들의 표면을 거칠게 하도록 샌딩되거나 비드 블라스팅된다. RF 평면(103)은 AlN 테이프(도시 안됨)를 사용하여 상부 AlN 플레이트(101) 상에 놓여진다. 즉, 테이프는 핫 프레스 AlN 플레이트를 형성하는데 사용된 동일한 AlN 재료 원료의 가루로 주조하고 유기적 바인더는 상부 AlN 플레이트(101) 상에 놓여지는 RF 평면(103) 상에 배치된다. 상기 AlN 테이프는 대략 10-20mil 두께이고 하나 또는 2개의 층이 사용될 수 있어 RF 평면(103) 상에 얇고 균일한 AlN 층을 초래한다. 선택적으로, 상기 AlN 테이프는 RF 평면(103)에 AlN 테이프를 옮기기전에 세척될 수 있다. 다음에 제 2 AlN 플레이트(105)가 상부 AlN 플레이트(101), RF 평면(103), 및 AlN 테이프 상에 배치될 수 있다. 다음에, 히터 엘리먼트(107)가 제 2 AlN 플레이트(105) 상에 배치되고 RF 평면(103)과 상부 AlN 플레이트(101)와 유사하게 AlN 테이프와 놓여진다. 다음에 위에 놓여진 히터 엘리먼트(도시 안됨) 상에 배치된다. 흑연으로 제조될 수 있는 정렬 핀이 상부 AlN 플레이트(101), RF 평면(103), 제 2 AlN 플레이트(105), 히터 엘리먼트(107) 및 제 3 AlN 플레이트(108)의 정렬을 용이하게 하도록 상기 정렬 홀을 통해 배치될 수 있다. 히터와 RF 전극은 이들의 미리 접지된 위치(추가로 아래에 상세히 개시된)에 배치된다. 다음에 이런 상부 AlN 플레이트(100), RF 평면(103), AlN 테이프, 제 2 AlN 플레이트(105), 히터 엘리먼트(107), AlN 테이프, 및 제 3 AlN 플레이트의 스택이 다이의 한단부에 상부 AlN 플레이트(101)와 함께 압력 본딩 다이에 배치되고, 상기 다이의 다른 단부에서 노출되는 제 3 AlN 플레이트(108)의 표면을 남긴다. 상기 압력 본딩 다이(도시 안됨)는 이전 문장에서 기술된 상기 스택을 수용하는 공동을 제공한다. 상기 압력 본딩 다이는 흑연으로 제조될 수 있고 일면적인 압력이 상기 스택의 주요 표면에 거의 수직으로 인가될수 있도록 상기 스택을 한정할 수 있다. AlN 가루의 층은 다음에 제 3 플레이트(108)의 노출된 표면(도시 안됨)에 인가되고 흑연으로 형성된 제 1 압력 본딩 플레이트(도시 안됨)는 AlN 가루로 이루어진 이런 층 상에 배치된다. 상기 제 1 압력 본딩 플레이트는 대략 히터 스터브(110)의 크기인 홀을 가지고, 그것을 통해 히터 스터브(110)가 배치된다. 제 2 압력 본딩 플레이트는 상기 제 1 압력 본딩 플레이트와 히터 스터브(10) 상에 배치된다.
유압(도시 안됨)은 상기 제 2 압력 본딩 플레이트와 상기 압력 본딩 다이 사이에 약 2500psi의 압력을 인가한다. 동시에, 상기 스택과 히터 스터브(110)는 약 1700℃의 온도까지 가열된다. 이런 조건은 약 30-90분, 바람직하게 약 60분 동안 유지된다. 이런 조건하에서, 상기 AlN 테이프는 유연해져서 RF 평면(103)의 구멍과 히터 엘리먼트(107)의 상호 엘리먼트 공간을 충진하도록 유동하며, 상기 AlN 플레이트를 서로 본딩한다. 압력 본딩 동안, 상기 AlN 테이프는 원래 두께의 거의 절반이 되도록 치밀화된다. 부가적으로, 상기 제 3 AlN 플레이트로서 이전에 인가된 AlN 가루는 유연해지기 시작하고, 하부 층(109)을 형성하며, 제 1 압력 본딩 플레이트로부터 제 3 AlN 플레이트(108)에 인가되는 압력을 더욱 균일하게 분산시킨다. 압력 본딩 이후에, 연마와 드릴링을 포함하는 다른 동작이 세라믹 부품의 형태를 변형하도록 수행될 수 있다. 예를 들면, 상기 정렬 홀은 리프트 핀 홀이 되도록 드릴링될 수 있고 상기 히터 스터브는 그것의 하부에 플랜지를 형성하도록 연마될 수 있다.
압력 본딩 다이, 스택, 및 압력 본딩 플레이트의 몇몇 세트는 몇몇 히터 어셈블 리가 동시에 형성될 수 있도록 단일 프레스로 배열될 수 있다. 소정 프레스 크기를 위해, 더 짧은 전체 히터 어셈블리 높이는 상당 수의 유사하게 더짧은 히터 어셈블리가 단일 동작으로 압력 본딩될 수 있게 할 것이다. 그러므로, 히터는 더 긴 스터브를 갖는 설계 보다 상당수의 히터 어셈블 리가 압력 본딩될 수 있게 하는 더 짧은 스터브로 설계된다. 훨씬 튼튼할 뿐만아니라, 짧은 세라믹 스터브를 갖는 세라믹 히터는 긴 세라믹 샤프트를 갖는 히터보다 더욱 쉽고 효율적으로 제조된다.
다른 실시예에서, 제 1 AlN 플레이트, RF 평면, 제 2 AlN 플레이트, 히터 엘리먼트, 및 제 3 AlN 플레이트의 서브어셈블리는 이미 개시된 바와 같이, 그러나 히터 스터브없이 압력 본딩될 수 있다. 상기 히터 스터브는 순차적으로 개별 동작으로 서브 어셈블리에 부착될 수 있다. 이것은 특히 상기 히터 스터브가 이전 단락에 개시된 바와 같이 길다면 바람직하다.
도 8에 도시된 다른 실시예에서, 세라믹 지지용 샤프트(821)는 히터 어셈블리(833)에 부착될 수 있다. 이것은 샤프트의 하부가 상대적으로 차기 때문에 열 쵸크의 필요성을 제거하며, 샤프트의 하부를 밀봉하는 가스밀폐 O-링 밀봉부(810A, 810B, 810C 및 810D)의 사용을 허용한다. 짧은 샤프트 단부(805)(알루미늄과 같은 양호한 열전도체로 제조된)의 제공과 물 또는 다른 액체가 흐를 수 있는 열교환 통로(도시안됨)의 제공은 추가로 상기 O-링 밀봉부를 냉각한다. 선택적으로, 냉각된 플레이트(도시 안됨)는 냉각을 제공하기 위하여 샤프트 단부(805)에 접속될 수 있다. O-링 밀봉부(810A)는 샤프트 단부(805)에 대해 세라믹 샤프트(821)를 밀봉한다. O-링 밀봉부(810B)는 스프링 하중의 용기에 넣어진 열전쌍(870) 둘레에 밀봉을 형성하고, O-링 밀봉부(810C)는 상기 RF 전극(859)을 밀봉한다. 유사한 O-링 밀봉부(도시 안됨)는 히터 엘리먼트 전극(도시 안됨)을 밀봉하며, O-링(810D)은 샤프트 단부(805)에 대해 VespelTM플러그(806)를 밀봉한다.
RF 스탠드오프 로드(856)는 와이어 코일(858)이 RF 로드(856)와 RF 전극(859)에 크림프될 수 있도록 세라믹 샤프트(821)의 하부 에지를 지나서 연장한다. 바람직한 실시예에서, RF 로드(856), RF 전극(859), 및 와이어 코일(858)은 니켈로 이루어진다. 와이어 코일(858)은 조립 또는 열적 주기 동안 히터 어셈블리(833) 또는 납땜된 결합부(855)의 파손 기회를 감소하도록 변형 완화(releif)를 제공한다. 유사한 코일(도시 안됨)이 상기 히터 로드(도시 안됨)를 이들이 개별 히터 전극(도시 안됨)에 접속한다. RF 스탠드오프 로드(856), 용기에 넣어진 열전쌍(870), 및 히터 스탠드오프 로드(도시 안됨)가 완전히 강성이더라도, VespelTM플러그와 같은 절연 플러그(808)가 와이어링이 서로 단락되는 것을 방지하는 전기적 절연을 제공하도록 포함될 수 있다. 각각의 전극과 사용된 C-링 클립(82671)은 하부 전극이 밀려지거나 당겨지는 것을 방지하는데 사용된다. 단부 캡(809)은 O-링(810B-D)을 압착하도록 샤프트 단부(805)에 볼트로 조여질 수 있다.
열전쌍(870)은 히터 엘리먼트(807)를 지나서 RF 평면(803)의 바로 아래까지 연장한다. 이것은 히터 엘리먼트(807)와 기판(도시 안됨) 사이에, 얕은 열전쌍 보다 히터 어셈블리의 열적 매스내에 더 멀리 열전쌍을 배치하며, 더나은 온도 제어를 허용한다.
세척(purge) 라인(853)은 세라믹 샤프트(8121)가 질소, 아르곤 또는 다른 가스와 같은 세척 가스로 챔버 압력을 일정하게 유지하도록 한다. 상기 세척 가스는 납땜된 접합부(855)와 같은 샤프트내의 부품을 산화 또는 부식으로부터 보호한다. 납땜된 접합부(855)는 몰리브덴 또는 텅스텐이 될 수 있는 RF 평면을 RF 스탠드오프 로드(856)에 결합한다. 유사한 납땜된 접합부(도시안됨)는 히터 엘리먼트와 히터 스탠드오프 로드를 접속할 수 있다. 또한 세라믹 샤프트(812)의 압력화는 RF 스탠드오프 로드(821)와 다른 부품 사이의 RF 아아킹을 억제한다. 세척 라인(853)은 이미 개시된 바와 같이 알루미늄-스테인레스 강 변이부(854)를 통합할 수 있다. 또한 이미 개시된 납땜된 접합부는 아래에 개시된 바와 같이 인시튜 공융 합금 본딩될 수 있다.
도 9는 상기 RF 평면과 가열 엘리먼트에 대한 전기적 접속의 한 실시예를 도시하는 히터 어셈블리(33)의 개략적 부분 단면도이다. 상기 히터 어셈블리의 주요 표면에 수직한 히터 스터브내에 4개의 홀이 있다. 이런 홀중 2개는 히터 스탠드오프(115)를 포함한다. 제 3 홀은 RF 스탠드오프(117)를 포함한다. 제 4 홀은 열전쌍 어셈블리(도 6에서 470, 도 9에 도시 안됨)를 포함한다. 열전쌍 어셈블리는 그것이 상부 AlN 플레이트(101)내의 블라인드 홀(도시 안됨)의 상부에 대해 압착되도록 스프링 하중이 인가되는 이중 차폐된 열전쌍이며, 히터 제어기(도시 안됨)를 위한 제어 신호를 제공한다. 몰리브덴 히터 엘리먼트 전극(119)과 RF 전극(118)은 이미 개시된 바와 같이 상기 스택을 압력 본딩하기 이전에 히터 전극 포켓(116)과 RF 전극 포켓(120A와 120B)내에 각각 배치된다. 히터 전극 포켓(116)과 RF 전극 포켓(120A와 120B)은 설명의 목적만을 위해 히터 전극(119)과 RF 전극(118) 보다 더 크게 도시된다. 상기 금속 전극은 아마 몰리브덴이 압력 본딩 조건하에서 유연하게 되기 시작할 때 주위 세라믹 재료와 친밀히 접촉하게 된다. 마찬가지로, 몰리브덴 RF 전극(118)은 본질적으로 히터 전극(119)이 히터 엘리먼트(107)와 용접되는 것처럼 압력 본딩 처리 동안 몰리브덴 RF 평면(103)에 용접된다. 상기 전극이 단일 피스로 제조되는 것으로 도시되더라도, 유사한 전극은 다중 피스로 형성될 수 있다고 이해된다. 더욱이, 전극의 형태는 변경될 수 있다. 예를 들면, RF 전극(118)은 RF 전극 플랜지(118F) 없이 형성될 수 있다. 더욱이, RF 전극(118)은 니켈 RF 스탠드오프 로드(117)가 RF 평면(103)에 가까이 연장하도록 히터 엘리먼트(107)를 지나서 연장하는 것이 바람직하지만 더 짧게 될 수 있다.
압력 본딩 처리 이후에, 홀은 히터 스터브(110)와 몰리브덴 전극을 노출하도록 RF 전극(118) 위에 있는 다른 세라믹 재료를 통해 드릴링된다. 니켈 히터 스탠드오프 로드(115)와 니켈 RF 스탠드오프 로드(117)는 텅스텐 슬러그(227A와 227B)를 각각 수용하도록 카운터 보어링된다. 다음에 텅스텐 슬러그(227A와 227B)를 갖는 니켈 히터 스탠드오프 로드(115)와 니켈 RF 스탠드오프 로드(117)가 히터 전극(119)과 RF 전극(18)내에 삽입된다. 다른 실시예에서, 텅스텐 슬러그(227A와 227B)는 히터 로드(115)와 RF 로드(117)의 삽입 이전에 드릴링된 홀 내에 부가적으로 배치될 수 있다. 도 9에 도시된 바와 같이, 상기 로드(115와 117) 사이, 및 전극(119와 118) 사이의 콘택은 본질적으로 공동 평면이고 RF 평면(103)으로부터 마주하는 히터 엘리먼트(107)의 측면에 있지만, 이런 조건을 필수적으로 요구하지 않는다. 그러나, RF 평면 상의 열적 스트레스를 방지하기 위하여 RF 평면(103)으로부터 니켈 몰리브덴 변이부를 멀리 이동시키는 것이 바람직하다.
다음에 상기 전체 어셈블리는 공융물을 형성하기에 충분한 온도로 가열된다. 순수 니켈과 순수 몰리브덴이 사용된다면, 니켈-몰리브덴 공융 혼합물(eutectic)은 1315℃에서 형성될 것이고; 그러나, 상업적으로 입수가능한 니켈 200이 사용된다면, 다중 엘리먼트 공융 혼합물은 1315℃의 약간 이하의 온도에서 형성될 것이다. 소량의 공융 혼합물을 형성하는 것만이 필요하며, 따라서 처리 시간은 바람직하게 최소로 유지된다. 몰리브덴과 니켈 부품을 결합하는데 상기 처리 온도에서 10분이면 충분하다. 상기 니켈 몰리브덴 시스템은 특히 이미 개시된 바와 같이 일부 증착 환경에서 공통인 할로겐 류을 함유하는 HaynesTM242 합금과 유사한 공융 혼합물이 뛰어난 부식 저항을 가지기 때문에 바람직하다. 텅스텐 슬러그(227A와 227B)는 공융 혼합물내로의 부분적 용해와 얻어지는 합금의 응결에 의해 니켈 몰리브덴 공융 혼합물의 형성 범위를 제한하는 텅스텐 소스를 제공한다. 텅스텐 링(228A와 228B)은, 존재한다면, 니켈 몰리브덴 공융 혼합물 형태의 형성 범위를 추가로 제한한다. 특히, 이들은 니켈 로드까지, 그리고 접합부로부터 멀리 공융 혼합물의 휙킹(wicking)을 금지시킨다.
D. 열 쵸크 및 커플러
특정 실시예에 따르면, 도 6에 도시된 히터 어셈블리(33)는 커플러(122)를 사용하여 지지용 샤프트(121)에 부착된다. 스테인레스 강 또는 다른 유사한 금속으로 제조되는 커플러(122)는 상기 세라믹 히터 어셈블리를 금속 샤프트에 고착하는데 사용된다. 도 10은 열 쵸크 커플러(123)와 2피스 상부 클램프(124)를 포함하는 커플러(122)의 개략적 단면도이다. 도 11은 도 10의 하부에 있는 열 쵸크 커플러(123)의 개략적 등각 투영도이다. 열 쵸크 커플러(123)의 하부 플랜지는 이 커플러가 지지용 샤프트에 나사 맞춤되도록 나사산을 이룬 블라인드 홀(126)을 갖는다. 상부 포켓(127)은 히터 스터브 플랜지(도시되지 않음)를 수용하고, 포켓 면(128)상에 놓이고, 상부 클램프(도 10의 124)에 의해 체결되며, 텐션 암(129)에 의해 원주로 유지된다. 도 10을 또다시 참조하면, 상부 포켓(127)은 히터 스터브 플랜지 둘레에 있는 플랫에 대응하는 정렬 플랫(도 11의 454)을 갖는다. 물론, 상부 포켓(127)은 사용될 수 있는 기타 정렬 매커니즘 및 히터 스터브 플랜지의 형태와 부합하여야 한다. 상부 클램프(124)는 열 쵸크 커플러에 부착되기 전에 히터 스터브 플랜지 둘레에 함께 있게되는 C 형태의 반쪽들을 포함한다. 슬릿(130)은, 텐션 스크류(131)로부터의 텐션이 텐션 암(129)과 함께 히터 스터브상에 플랜지를 유지시키기 위해 텐션 암(129)을 당기도록, 대향 텐션 스크류(131)에 대향된 코드를 컷팅되지 않도록 한 채, 포켓 면(128)에 대해 실질적으로 동평면으로 컷팅된다. 슬릿(130)과 유사한 형태를 지닌 스페이서(도시되지 않음)는 텐션 암(129)을 지지하고 슬릿(130)을 통한 가스 흐름을 감소시키기 위해 슬릿(130)에 삽입될 수 있다. 변형 완화 슬롯(132) 쌍은, 텐션 스크류(131)에 의해 가해진 스트레스에 의한 가능한 변형을 증가시키고, 금속인 클램프가 세라믹 히터 스터브 플랜지 보다 크게 팽창하는 만큼 어셈블리가 가열되도록 텐션 암이 후프 텐션을 계속 가할 수 있도록 하기 위해 텐션 암(129)(변형 완화 슬롯(132) 쌍의 각각의 슬롯은 암(129)의 대향 측으로부터 기계가공됨) 내부로 기계가공된다. 본 실시예에서, 4 쌍의 변형 완화 슬롯이 도시되었지만, 이 수는 클램프 설계 및 재료에 따라 조정될 수 있다. 변형 완화 슬롯은 특정 실시예에 따라 폭이 약 40mil이고 약 0.3 인치 텐션 암의 약 0.1 인치 내로 컷팅된다. 변형 완화 슬롯의 단부는 제조 성능을 향상시키고 슬롯의 정점에서 스트레스 집중을 감소시키기 위해 라운딩될 수 있다.
도 10에 도시된 바와 같이, 열 쵸크 커플러(123)는 상부 포켓(127)과 하부 플랜지(125) 사이에 얇은 웨브(133)가 있도록 제조된다. 약 20-100 mil 두께이고, 바람직하게는 40-60 mil 두께인 이 웨브는 특정 실시예에서 히터 어셈블리와 지지용 샤프트 사이에 높은 열 저항 경로로서 작용한다. 이 웨브는 특정 실시예에서 약 0.2-0.5 인치 사이의 범위인 수직 웨브 부분의 높이와 함께 0.6-1.0 인치 사이의 유효 길이를 갖는다. 도시된 실시예에서, 약 25 와트 전력이 지지용 샤프트와 약 625℃ 온도에서 동작하는 히터 어셈블리 사이에 흐르며, 이것의 하부단부는 약 50℃ 이다. 커플러(122)의 기타 실시예는 웨브(133)의 전체 길이가 주어진 웨브 두께에 대해 고온 응용을 위해 더 길게 되거나 주어진 길이에 대해 웨브 두께가 감소되는 고온 응용에도 이용될 수 있다. 이 웨브는 기계적으로 충분히 강체이어야 할 뿐만 아니라 열 쵸크를 제공할 수 있을 정도로 얇아야 한다. 커플러(122)의 사용은 히터 어셈블리가 샤프트 상부에서 열적으로 부유하게 하며, 보정되지 않은 히터 엘리먼트 설계에서 개선된 온도 균일도로 웨이퍼 온도를 유지하는 데 요구되는 가열 엘리먼트로의 전력의 전달을 감소시킬 수 있게 한다.히터로부터 페데스탈을 통해 샤프트 아래로 열이 덜 흐르므로, 이 포텐셜 가열 도관 상부에 콜드 스폿을 형성할 기회가 덜 생기며, 이렇게 하여 웨이퍼 온도 컨포미티를 개선한다. 또한, 커플러(122)의 사용은 세라믹 히터 어셈블리에 걸쳐 산출된 열 변화를 감소시키며, 이것은 히터 어셈블리 크래킹을 감소시키고, 이렇게하여 히터 어셈블리의 동작 수명을 증가시킨다. 커플러(122)의 사용은 또한 더욱 짧고 컴팩트한 히터 어셈블리가 되고, 즉 긴 세라믹 스터브 또는 세라믹 지지용 샤프트를 갖는 히터 어셈블리를 제조하는 것이 더욱 용이하다.
상부 클램프(124)는 상부 클램프(124)의 관통 홀(451)과 열 쵸크 커플러(123)의 홀(452)에 배치된 클램핑 스크류를 사용하여 열 쵸크 커플러(123)에 결합된다. 홀(451)은 상부 클램프(124)의 블라인드 홀이다. 하부 플랜지(125)는 액세스 홀(134)을 가지고, 클램핑 스크류 보다 크며, 어셈블리가 아래에 있을 수 있게 한다. 이러한 유형에서, 액세스 홀(134)은 하부 플랜지(125)의 나사 홀(126)로부터 오프셋트되지만, 이 나사 홀이 상부 클램프 스크류를 액세스할 수 있을 정도로 충분히 크다면 동축일 수 있다. 일정 실시예에 따라, 상부 클램프상의 외부 정렬 립(135)은 클램프의 외경을 따라 비교적 매끄러운 표면을 형성하기 위해 열 쵸크 커플러(123)의 외부 정렬 레지(136)에 위치한다(도 10 및 13 참조). 다른 실시예에서, 상부 클램프의 외부 정렬 립(135)은 상부 클램프(124)의 외경이 열 쵸크 커플러(123)의 외경 보다 약간 크도록 열 쵸크 커플러(123)의 고체의 상부 에지(아무런 레지(136)도 형성되어 있지 않음) 위에 걸리거나 놓일 수 있다. 캔틸레버식 워셔(137)는 상부 클램프(124)의 일부로서 기계가공되고, 스크류는 상부 클램프(124)의 관통 홀(451)과 열 쵸크 커플러(123)의 홀(452)에 배치된 스크류는 히트 쵸크의 상부 포켓에 히터 스터브 플랜지를 체결식으로 유지하기 위해 히터 스터브 플랜지(도시되지 않음)에 압력을 가한다. 특정 실시예에서, 캔틸레버식 워셔(137)는 두께가 10-20 mil이고, 적절한 압력이 세라믹 히터 스터브를 파괴시키지 않고 이 세라믹 히터 스터브상에 유지될 수 있도록 그것을 컷팅하는 변형 완화 슬롯(138)을 갖는다. 이 변형 완화 슬롯은 텐션 암에서 컷팅된 것과 일반적인 형태가 유사할 수 있다.(상기한 바와 같이).
도 12는 축(121)에 의해 지지된 상부 클램프(124)(C-단면으로 도시된 두 개중의 단지 하나)가 열 쵸크 커플러(123)의 상부 포켓(127)에 히터 스터브 플랜지(139)를 유지시키는 법을 도시하는 단면 등측 분해도를 나타낸다. 이 실시예에서, 커플러(122)는 세라믹 히터 어셈블리를 금속 지지용 샤프트에 결합시킨다. 다른 실시예는 히터 어셈블리(커플러를 이용하여 체결된)를 샤프트로부터 열적으로 격리시켜 결합시키기 위해, 히트 쵸크 및 커플러를 지지용 샤프트에 통합할 수 있을 것이다. 추가 실시예는 접지된 지지용 샤프트와, RF 전극으로 사용될 수 있는 금속 히터간에 전기적 절연을 제공하기 위해 지지용 샤프트와 금속 히터간에 세라믹 스페이서를 통합할 수 있다. 열 쵸크 커플러는 세라믹 부재를 견고성 있고 신뢰성 있게 금속 히터 및/또는 지지용 샤프트에 결합시키고 하나의 부재로부터 다른 부재로 열 흐름을 감소시키는 이점을 얻기 위해, 세라믹 스페이서의 일측 또는 양측 단부에 이용될 수 있다.
E.히터 페데스탈 부품
도 6을 참조하여 페데스탈(32)이 상세히 설명된다. 이 페데스탈은 웨이퍼를 진공 챔버(30)내의 가공 위치로 리프팅시키거나 가공 동안 웨이퍼를 가열시키기는 기능을 한다. 본 명세서에 설명된 히터 페데스탈은 약 450℃ 이상 및 약 750℃ 이상의 온도에서 동작하는 가공 동안 특히 유용할 지라도, 히터 페데스탈은 마찬가지로 이 보다 더 낮은 온도에서 동작하는 가공을 위해서도 이용될 수 있다. 최초에, 페데스탈(32)은 본 명세서에 도시되고 설명된 예시적인 PECVD 시스템 보다 여러 가공 챔버에 직접 위치되기 위해, 또는 사용을 위해 수정될 수 있음을 유의해야 한다. 예를 들어, 히터/리프트 어셈블리(40)는 기타 CVD 챔버 또는 일반적으로 반도체 가공 챔버에 이용될 수 있다.
또 다른 특징 구조는 히터 어셈블리를 지지용 샤프트로부터 열적으로 격리시키는 것을 돕는다. 퓨징된 실리카 열 실드(431)는 히터 어셈블리의 최하부로 부터의 열 손실을 감소시킨다. 이 열 실드는 히터 표면상의 원치않는 증착을 방지하고, 용이하고 별개로 세척 및 재장착될 수 있고, 이렇게하여 세척 시간을 증가시키고 히터 수명을 증가시킨다. 상기한 도 4a-4e에 도시된 바와 같이, 열 실드는 다양한 구성으로 제조될 수 있다. 일 실시예(도시되지 않음)에서, 세척 가스가 히터 어셈블리의 최하부 플레이트와 열 실드 사이에 인가되고, 웨이퍼의 에지상에 서의 증착을 감소시키기 위해 히터 어셈블리의 에지와 흐름 제한 링 사이에 흐른다.
퓨징된 실리카로 된 열 실드(431)는 웨이퍼 리프트 핀용 홀 및 페데스탈의 스터브를 수용하기 위해 내부 직경을 갖춘 일 디스크 형태의 피스( 페데스탈의 측 에지를 포위하기 위해 외부 디스크 원주 둘레의 벽을 선택적으로 가짐)로 이루어 진다. 열 실드(431)는, 실드(431)가 칼러(141)의 니켈 정렬 핀(140)에 의해 제자리에 유지될 수 있도록, 내부 직경의 지점에서 자신의 최하부 면에 배치된 적어도 두 개의 홀을 갖는다. 칼러(141)는 알루미늄으로 이루어지고 스크류(142)로 지지용 샤프트(121)에 부착된다. 퓨징된 실리카 절연체(143)는 히터 어셈블리의 최하부 플레이트 아래에 직접 위치하므로써 히터 어셈블리로부터 지지용 샤프트으로 복사 열 손실을 더욱 감소시킨다. 절연체(143)는 두 개의 반원 피스로 이루어진다. 이것은 히터 어셈블리의 최하부 플레이트로 가두어지므로, 히터 스터브, 지지용 샤프트, 및 상부 클램프, 실리카 절연체(143)는 더 이상 조임 금속구(fastener)를 필요로 하지 않는다. 열 실드(431) 및 절연체(143)는 챔버 체적과 히터 페데스탈로 부터의 열 손실을 감소시키고 따라서 펌핑 시간을 감소시킨다.
일 실시예에서, 지지용 샤프트(121)는 플러그(144,145A-C,146)로 채워지고, 이 플러그의 각각은 히터 스터브의 4개 홀에 대응하는 4개 관통 홀을 갖는다. 실질적으로 샤프트 내부에 모든 체적을 취하므로써, 고체 플러그는 진공에서 중공 샤프트으로 발생할 수 있는 RF 아아킹 가능성을 감소시킨다. 퓨징된 실리카 플러그(144)는 전도성 열 전달을 감소시키고, 세라믹 플러그(145A-C 및 146)는 써모커플의 삽입/추출을 위한 가이드를 제공할 뿐만 아니라 전극간에 전기적 절연체로서의 역할을 한다. 이 플러그는 통상적인 동작 압력으로 소개될 것이 요구되는, 중공 샤프트에 비해 펌핑 체적을 감소시킨다. 고체 플러그는 필요한 펌핑을 감소시키고, 압력 사이클 동안 교환된 볼륨을 최소화하므로써, 챔버와 축 사이의 부식 물질 및 오염 물질의 이송을 감소시킨다. 최상부 퓨징된 실리카 플러그(144)는 히터 어셈블리(33)와 세라믹 플러그로 된 지지용 샤프트(121) 사이에 열적 절연를 더 제공한다. 플러그(144A-C 및 146)는 최대 동작 온도에 좌우되어, 퓨징된 실리카, 또는 폴리머 물질로 이루어 질 수 있다. 본 실시예에서, 플러그(144A-C 및 146)는 알루미늄-기초 세라믹 물질로 이루어 질 수 있다. 기타 실시예에서, 플러그(144A-C 및 146)는 단일, 긴 플러그; 다소의 플러그; 또는 세라믹 충진재와 같은 높은 전기 저항성을 갖는 충진재로 대체될 수 있다. 하부 스탠드오프(147)는 VespelTM로 이루어진다. 하부 엔드 캡(148)은 DerlinTM로 이루어진다. 스탠드오프(147) 및 엔드 캡(148)은 샤프트내에 와이어링을 수용하기 위해 내부에 형성된 통로를 갖는다. 각각의 전극과 함께 사용되는 C-링 클립(771)은 최하부 전극이 밀어지거나 당겨지는 것을 방지하는 데 이용된다. 또한, O-링(773)은 샤프트(121)의 단부 및 하부 스탠드오프(147)를 밀봉하고, O-링(775)(각각의 전극과 함께 사용되는)은 하부 스탠드오프(147)와 엔드 캡(148) 사이를 밀봉한다. 따라서, 샤프트은 어떤 실시예에선 세척 가스 도입을 위해 밀봉될 수 있다.
세라믹 라이너부(149)는 특히 라이너 조인트(151)가 플러그 조인트(152)로부터 오프세트되어 있기 때문에, 히터 와이어(150) 및 RF 피드쓰루(도시되지 않음)를 위해 부식을 방지하는 추가 보호를 제공한다. 라이너부(149)는 와이어링, 세척 및 써모커플 통로의 각각을 위해 단일한 긴 라이너로 대체될 수 있다. 세척 가스가 입구 튜브(153)로부터 가해질 수 있거나, 진공으로 유도될 수 있다. 주입 튜브(153)는 알루미늄으로 만들어지고 알루미늄 지지용 샤프트(121)에 용접될 수 있다. 알루미늄-스테인리스 강 변이부(154)는 스테인레스 강 가스 라인 부속품(155)을 알루미늄 주입 튜브에 결합시킨다. 이 변이부는 통상 지지용 샤프트의 내경에 가두어지므로 컴팩트하여야 한다. 납땜 및 폭발 본딩은 통상 알루미늄-스테인레스 강 변이부 결합(조인트)을 만드는 데에도 사용된다.
히터 어셈블리(33)의 스터브로부터 돌출하는 니켈 로드(156)는 도 1, 도 2 및 도 6에서 알 수 있는 바와 같이 길이가 변할 수 있다. 로드(156)는 히터 어셈블리(33)의 제작을 용이하게 하기 위해, 그리고 히터 어셈블리(33)와 함께 절연체(144) 및 플러그(145A-C)의 어셈블리를 위해 길이가 짧다. 로드(156)는 실시예에서 히터 스터브를 지나 바람직하게 약 2-5 인치 연장한다. 히터 공급 라인(150)은 크림프 연결부(157)로 니켈 로드(157)에 부착된다. 로드(156)의 길이는 연결부(157)가 플러그와 플러그 결합에 대해 위치되는 곳을 결정한다. 히터 공급 라인(150)은 어셈블리 및 고온 열 순환 동안 변형 완화를 제공하기 위해 세라믹 라이너(149)의 내부에서 코일 형상일 수 있다. RF 전력 공급 라인(도시되지 않음)은 히터 공급 라인과 마찬가지로 코일 형상일 수 있다. 페데스탈 내부의 모든 전기 라인은 코일 형상의 스트랩(strap)이지만, 코일 형상의 와이어는 선택적으로 사용될 수도 있다. 코일 라인은 충분한 양의 와이어링을 제공하여 히터 파손을 최소화한다. 이들 니켈 로드 팽창에 의해 야기된 변형을 감소시키는 이들 코일 라인의 사용없이, 니켈 로드는 페데스탈의 세라믹 플레이트에 대해 팽창할 수 있어서 페데스탈을 파손시킨다. 더욱이, 코일 라인을 사용하면, 외부 커넥터의 삽입에 의해 야기된 임의의 상향 힘 때문에 페데스탈 파손의 위험이 방지된다.
도 13은 상부 클램프(124)와 관련하여 열 쵸크 커플러(123)에 지지용 샤프트(121)를 결합하는 나사(158)의 단면도를 도시한다. 나사(158)가 고정된후 (슬롯(455)내에 고정하는 나사 드라이브를 사용하여) 나사식으로 고정되는 커버 플러그 또는 캡(159)은 챔버의 부식 환경으로부터 나사(158)를 보호한다. 지지용 샤프트 표면의 홀에 대한 플러그로서 동작할 때, 커버 플러그(159)는 지지용 샤프트의 금속과 유사한 금속, 본 경우에는 알루미늄 합금으로 만들어진다. 플러그(159)를 위해 사용되는 재료는 바람직하게 양호한 부식 특성을 가진다. 플러그(159) 및 샤프트에 대한 재료가 동일하게 팽창하기 때문에 플러그(159) 및 샤프트에 대해 동일한 재료를 사용하는 것은 바람직하며, 다른 재료를 사용함으로서 발생하는 갈바니 반응의 위험은 감소된다. 유사하게, 커버 플러그(도시안됨)는 유사한 목적을 위해 지지용 샤프트에 고리를 결합하는 나사를 덮기 위해 사용될 수있다.
도 13에 도시된 실시예는 외부 정렬 립(135) 및 열 쵸크 커플러(123)가 샤프트(121)의 내부 표면으로부터 분리된 평면을 형성하도록 상부 클램프(124)와 함께 열 쵸크 커플러(122)내에 형성된 정렬 레지(136)를 가진다. 이 갭은 샤프트(121) 및 결합기(122)사이의 접촉을 막는다. 갭은 열팽창 계수의 차이를 수용할 뿐만 아니라, 히터 스터브 플랜지(139)로부터 샤프트(121)까지의 열손실을 최소화하기 위하여 열적 절연체를 제공할 수있도록 충분히 커야 한다. 열 쵸크 커플러(123)가 레지(135)를 가지지 않고 상부 클램프(124)가 열 쵸크 커플러(123)보다 큰 외부 직경을 가져서 립(135)이 열 쵸크 커플러(123)의 고체 에지상에 고정되는 실시예에 있어서, 샤프트(121)의 내부면은 공간이 샤프트(121)로부터 결합기(122)를 분리하도록 가공될 수있다.
F.RF 공급 시스템
도 14는 히터 어셈블리(202) 및 지지용 샤프트(203)의 단순화된 단면도를 포함하는 RF 전원 시스템의 단순화된 도면이다. 도시된 구조에서, 챔버(204) 및 샤워헤드(205)는 접지되며, RF 평면(206)에는 전력가 공급된다. 다른 구조에서, 샤워헤드(205)에는 전력가 공급되는 반면에, RF 평면(206)은 접지되며, 또는 샤워헤드(205) 및 RF 평면(206)에서는 전력가 분배될 수있으며, 샤워헤드(205) 및 RF평면(206) 각각은 접지 전위에 접속된 챔버(204)에 비례하여 RF 전력를 수신할 수있다. 또 다른 실시예에 있어서, 하나의 RF 주파수는 샤워헤드(205)에 공급될 수있으며, 다른 RF 주파수는 RF평면(206)에 공급된다. 도 14에 도시된 구조는 하부 전력 플라즈마로써 공지된 것을 발생시킨다. 면판에 전력가 공급되고 RF 평면이 접지되는 다른 구조는 상부 전력 플라즈마로써 공지된 것을 발생시키며 임의의 응용에 바람직하다. 부가적으로, 플라즈마 시스템은 막의 증착 및 특성을 최적화하기 위하여 RF 평면(206) 및 샤워헤드(205)사이에 DC 바이어스 전압(RF 전력에 부가하여)을 인가할 수있다.
RF 평면(206)은 도 14에 도시된 바와같이 웨이퍼(36) 아래에 있는 히터 어셈블리(202)내에 놓인다. RF 발생기(207)는 매칭 네트워크(208)를 통해 RF 평면(206)에 RF 전력를 공급한다. RF 피드스루(209)는 챔버(204)로부터 RF 공급라인(210)을 분리시킨다. RF 피드스루(209)와 동일하거나 다를 수있는 히터 피드스루(218)는 챔버(204)로부터 히터 라인(212)을 분리시킨다. 일부 RF 에너지는 와류 커패시턴스(213, 214)를 통해 RF 평면(206)으로부터 히터 엘리먼트(211)로 그리고 RF 공급라인(210)으로부터 히터 공급라인(212)으로 용량성 결합된다. 따라서, RF 피드스루(209) 및 히터 피드스루(218)는 발생된 RF 전압에 견디기 위하여 고전압 피드스루일 수있다. 설명을 위해 이미 커패시터로써 도시된 와류 커패시턴스(213, 214)는 개별 커패시터가 아니나, 각각의 컨덕터 근방으로부터 발생하는 용향성 결합 효과를 나타낸다. 전술한 것처럼 고체 세라믹, 다공성 세라믹 또는 퓨징된 실리카로 만들어질 수 있는 지지용 샤프트(203)내의 플러그(도시안됨)는 RF라인(210) 및 히터 라인(212)사이에 DC 절연(또는 DC 블로킹)을 제공한다, 지지용 샤프트(203)의 베이스에 가능한 근접하게 배치되기 때문에, 필터(215)는 히터 공급라인상에 결합된 RF 에너지를 차단하며, 상기 히터 공급라인은 제어기와 같은 다른 시스템 소자와 무선주파수 간섭 또는 전자기 간섭을 유발할 수있다. 필터(215)는 양 히터 공급라인(212)의 RF 주파수에 고임피던스를 제공하며, 양 히터 공급라인이 히터 공급라인(216)의 주파수에서 저임피던스를 가지면서 증착 시스템의 동작 주파수 또는 주파수들에서 고임피던스를 가지도록 최적화된다. 히터 전원(216)은 교류전류(AC) 공급장치(217)에 의해 전력가 공급된다. 필터(215)는 히터 공급장치(216)를 보호하여 전자잡음 및 간섭을 감소시키는 기능과 챔버내에서 RF 에너지를 유지하는 기능을 제공한다. 필터(215)에 의해 제공된 RF 에너지에 대한 고임피던스는 발생기(207)로부터 플라즈마(도시안됨)로의 RF 에너지의 효율적인 전달을 허용한다. RF에서 저임피던스를 가진 필터는 플라즈마가 공격되지 않도록(글로우 방전이 설정되도록) 히터 전원(216)을 통해 큰 RF 에너지를 차단한다. RF 발생기(207)는 약 100kHz 내지 약 500kHz, 바람직하게 약 400kHz의 임의의 주파수, 13.56MHz의 주파수 또는 다른 주파수에서 동작할 수있다.
도 15는 두 개의 다른 RF 시스템을 이용하는 본 발명에 따른 증착 시스템의 도면이며, 상기 RF 시스템의 각각은 다른 주파수를 공급한다. 이 실시예에 있어서, 고주파수 RF 시스템(219)은 저주파수 RF 시스템(220)에 의해 RF 평면(206)에 공급된 주파수, 예를들어 100-500kHz보다 높은 주파수, 예를들어 약 13.56MHz에서 샤워헤드(205)에 전력를 공급한다. 고주파수 RF시스템(219)은 고주파수 RF 전력가 고주파수 RF 발생기(222)로부터 샤워헤드(205)로 공급되게 하며 저주파수 RF 에너지가 고주파수 RF 발생기(222)에 입력되는 것을 차단하는 고역통과 필터(221)를 포함한다. 저역통과 분기 필터(223)는 저주파수 에너지에 대한 접지에 경로를 제공하여, 샤워헤드(205)가 낮은 RF 주파수에서 RF평면(206)에 대한 보충(접지된) 전극으로써 동작할 수있게 한다. 저역통과 필터(224)는 저주파수 RF 발생기(225)로부터의 RF 에너지가 RF 평면(206)으로 공급되게 하며 고주파수 RF 에너지가 저주파수 RF 발생기(225)에 입력되는 것을 차단한다. 고역통과 분기 필터(226)는 RF 평면(206)이 높은 RF 주파수에서 샤워헤드(205)에 대한 보충 전극으로써 동작할 수있다. 다른 실시예에 따르면, 높은 RF 주파수는 RF평면(206)에 공급될 수있으며 낮은 RF 주파수는 샤워헤드(205)에 공급될 수있다. 그 경우에, 고주파수 RF 시스템(219) 및 저주파수 RF 시스템(220)은 순서가 바꿔진다. 매칭 네트워크(227, 228)는 발생기로부터 그들의 로드로의 전력전달을 개선하기 위하여 각각의 RF 발생기 및 각각의 필터사이에 부가될 수있다. 매칭 네트워크(227, 228)는 필터(224, 221)와 각각 집적될 수있다.
G.가스 분배 시스템
도 16A는 내부 리드 어셈블리(170)의 단순화된 확대 단면도를 도시한다. 내부 리드 어셈블리(170)는 어셈블리(170)의 여러 부분사이에 배치된 O-링과 같은 밀봉부재(도시안됨)와 함께, 가스 박스(173), 가스 분산 플레이트(또는 가스 브로커 플레이트)(172), 가스 분배 플레이트(40), 세라믹 절연체 링(52) 및 챔버 리드(66)를 포함한다. 내부 리드 어셈블리(170)는 가스 입력 매니폴드(176)로부터 가스 박스(173)에서 사용하는 가스 출력 매니폴드(177)내의 혼합 영역으로 연장되는 가스 통로(175)(부분적으로 도시됨)를 장착한 가스 피드스루 박스(173)를 포함한다. 가스 통로(175)는 인입 튜브(44)를 통해 전달하기 전에 가스가 혼합되는 혼합 영역에 가스를 전달한다. 가스 피드스루 박스(173), 가스 입력 매니폴드(176) 및 가스 출력 매니폴드(177)는 몇몇의 특정 실시예에서 니켈 또는 니켈 도금 알루미나와 같은 재료로 만들어진다. 플라즈마 처리동안, 가스 피드스루 박스(173)는 가스 항복없이 그리고 가스 분배 시스템에서 가스 분산없이 고전압 RF 전력를 가스 박스(173)에 인가할 수있다. 전형적인 가스 피드스루 박스는 여기에서 참조로 사용되는 Wang의 공동양도 미합중국특허 제 4,872,947에 개시되어 있다.
가스 분산 플레이트(172)는 일반적으로 가스 박스(173)의 하부표면을 형성하는 원형 디스크이다. 가스 폐쇄 플레이트(172)는 가스 폐쇄 플레이트(172) 및 샤워헤드(40)사이에 형성된 스페이스(도 2에서 부호 54로 표시됨)로 가스를 분산시키기 위하여 다수의 가스분산 홀을 포함한다. 다른 공간(도 2에서 부호 48로 표시됨)은 샤워헤드(40)에 대향하는 가스 폐쇄 플레이트(172)의 측면상에 가스 박스(173)내에 존재한다. 가스 분산 플레이트(172)를 위해 선택된 재료는 예정된 처리동안 일정해야 한다. 예를들어, 알루미늄은 저온 비부식 증착에 적절할 수있으며 니켈 함유 금속은 고온 염소 환경에 적절할 수있다. 가스 폐쇄 플레이트(172)의 분산홀(도시안됨)은 보통 약 10-40mil의 직경을 가질 수있다. 물론, 폐쇄 플레이트(172)가 본 발명의 실시예에 포함될 수있다는 것은 당업자에 의해 인식될 것이다.
도 16A에 도시된 바와같이, 샤워헤드(40)의 가스 분배 홀(42)의 크기 및 구조는 처리 특성에 따라 변화할 것이다. 예를들어, 홀(42)은 웨이퍼상에 가스를 균일하게 분배하기 위하여 균일하게 이격될 것이다. 다른 한편으로, 홀(42)은 필요한 경우 불균일하게 이격되거나 배열될 수있다. 홀(42)은 보통 약 5-100mil 정도의 직경을 가지며, 바람직하게 약 10-50mil의 직경을 가진다. 게다가, 샤워헤드(40)는 알루니늄 합금으로 편리하게 만들어지며, 산화처리 또는 다른 표면처리(티타늄 코팅, 실리콘 카바이드 코팅 또는 니켈 도금과 같은)는 상승된 온도에서 염소류에 의해 부식되는 샤워헤드를 보호하기 위하여 필요할 수있다. 이 경우에, 홀(42)은 표면 처리후의 직경이 적정 값을 가지도록 초기에 제조되어야 한다. 선택적으로, 샤워헤드(40)는 표면처리에 이용할 수 있는 니켈, 티타늄 또는 그래파이트와 같은 부식저항 도전 재료로 만들어질 수있다. 바람직하게, 가스 분배 홀(42)은 반도체 웨이퍼상의 증착의 균일성이 촉진되도록 설계된다. 홀( 및 앞서 기술된 샤워헤드 온도)은 면판의 외부(하부)표면상의 증착을 방지하도록, 특히 처리동안 그리고 처리후 웨이퍼상에서 벗겨질 수 있는 표면상에 증착되는 것을 방지하도록 설계된다. 전형적인 실시예에 있어서, 홀 어레이는 홀(42)의 일반적인 동심 링중 하나이다. 인접한 링(링간의 간격)사이의 거리는 대략 동일하며, 각 링내의 홀간의 간격은 대략 동일하다. 가스 분배 홀에 대한 적절한 구조는 여기에 참조에 의해 통합되는 Wang의 공동양도된 미합중국 특허 제 4,872,947호에 개시된다.
도 16A는 리드 림으로부터 내부 리드 어셈블리를 전기적으로 절연시킬 수 있는 세라믹 절연체 링(52)을 도시한다. 점선은 가스 박스(173)가 샤워헤드(40)내에 배치되며, 샤워헤드(40)가 절연체 링(52)내에 배치되며, 절연체 링(52)이 챔버 리드(66)내에 배치되는 것을 도시한다. O-링(도시안됨)은 내부 리드 소자사이의 가스 밀봉을 형성한다.
웨이퍼 온도는 처리가스들이 웨이퍼 표면에서 함께 반응하여 웨이퍼 표면상에 층을 증착하도록 히터 어셈블리(33)에 의해 최소 증착온도 이상으로 유지된다.
웨이퍼의 온도는 전형적으로 처리가스가 웨이퍼 표면에서 함께 반응하고 그위에 층을 증착하도록 히터 어셈블리(33)에 의해 최소 증착온도를 유지한다. 특히, 전류는 특정 실시예에 따라 약 200℃-800℃의 온도로 웨이퍼를 가열하기 위하여 도체 와이어(150)를 통하여 히터 엘리먼트(107)로 향하게 된다. 바람직한 실시예에서, 온도는 히터 제어루틴(167)을 위해 제어된다. 이 처리동안, 리드 어셈블리(170)는 그것을 통과하는 가스를 포함하는 다양한 가스 소스, 가열된 반도체 웨이퍼, 및 웨이퍼 가열원으로부터 열을 수용한다. 리드 어셈블리(170)의 부품를 최소 증착온도 이하로 유지하여 이들 부품상에 가스 반응 및 증착을 피하기 이하여, 열 교환 액체가 가스 박스(173) 및 샤워헤드(40)으로 형성된 열교환 채널(도 16a에서는 도시하지 않음)내로 유입된다. 도 16b에서 도시된 바와 같이, 적어도 400℃ 이상의 온도까지 가열될 수 있는 히터 페데스탈에 근접한 것에 기인하여 가열될 수 있는, 샤워헤드의 온도를 감소시키기 위하여 열교환 통로(203)를 갖는다. 바이어스(도시하지 않음)는 가스 박스(173)내의 열교환 채널에 열교환 통로(203)를 연결시킨다. 가스 분배 홀(42)의 바람직하지 못한 증착 및 클로깅은 샤워헤드 온도를 감소시킴으로써 최소화된다.
H.배기 시스템
도 1a를 참조하면, 밸브 어셈블리(드로틀 밸브 시스템)는 절연 밸브(78) 및 펌핑 채널(60)을 통하는 가스의 흐름 속도를 제어하기 위해 방전 라인(178)을 따라 증착된 드로틀 밸브(83)를 포함한다. 처리 챔버(30)내의 압력은 마노미터(도시하지 않음)로 모니터링되고 드로틀 밸브(82)로 도관(178)의 흐름단면적을 변화시킴으로써 제어된다. 바람직하게는, 처리기(85)는 챔버 압력을 지시하는 마노미터로부터 신호를 수신한다. 처리기(85)는 오퍼레이터(도시하지 않음)에 의해 들어간 설정 압력값과 상기 측정된 압력값을 비교하고, 챔버내의 적정 압력을 유지하는데 필요한 드로틀 밸브의 필요한 조절 값을 결정한다. 처리기(85)는 구동 모터(도시하지 않음)에 조절신호를 릴레이하며, 구동 모터는 설정 압력 값에 상응하는 설정으로 드로틀 밸브를 조절한다. 본 발명에 사용하기 위한 적당한 드로틀 밸브는 공통으로 할당된 계류중인 미국특허출원 제 08/672,891호(Improved Apparatus and Methods for Controlling Process Chamber Pressure(Attorney Docket No. 891/DCVD-II/MBE), 1996년 6월 28일 출원)에 개시되어 있다. 그러나, TiCl4로부터 티타늄의 증착과 같은 높은 가스 흐름속도를 요구하는 처리에 있어서, 배기 시스템의 용량은 증가되어야 한다. 이것은 배기 포트(80)의 단면적을 증가시킬 뿐만아니라, 방전 라인(178) 및 드로틀 밸브(80)의 직경을 증가시킨다. 일 실시예에서, 약 5 Torr의 챔버압력에서 15리터/분의 흐름 속도를 도모하기 위하여, 배기 포트(80)는 5 리터/분 처리에 적합한 약 1.5 인치의 직경으로부처 약 2 인치의 직경으로 증가되었다. 동일한 예에서, 드로틀 밸브 및 방전 라인 직경은 이와 유사하게 약 1.5 인치로부터 약 2 인치로 증가되었다. 이들 직경은 가스 흐름에 의존하는 다른 실시예와는 다르다.
절연 밸브(78)는 펌프의 펌핑 작용에 기인한 챔버 압력의 감소를 최소화하기 위하여 진공 펌프(82)로부터 처리 챔버(30)를 절연시키기 위해 사용된다. 도 1a에서 알수 있는 바와 같이, 드로틀 밸브(83)와 함께 절연 밸브(78)는 CVD 장치(10)의 매스 흐름 제어기(도시하지 않음)를 조정하는데 사용된다. 일부 처리에 있어서, 액체 소스는 증발되고 이어서 반송 가스를 따라 처리 챔버(30)내로 전달된다. 매스 흐름 제어기는 챔버(30)내로의 가스나 액체의 흐름 속도를 모니터링하는데 사용된다. MFC의 조정동안, 절연 밸브(78)는 MFC 조정을 용이하게 하는 챔버(30)내의 압력 증가를 최대로하기 위하여 드로틀 밸브(83)로의 가스 흐름을 한정 또는 제한한다.
상기한 CVD 시스템은 예시적이며 본 발명의 범위를 한정하면서 고려될 필요는 없다. 예시적인 CVD 시스템(10)은 단일 웨이퍼 진공 챔버시스템이다. 그러나, 다중 웨이퍼 챔버 시스템인 다른 CVD 시스템이 본 발명의 다른 실시예에서 사용될 수 있다. 그러나, 본 발명의 어떤 특징이 다중챔버 처리 시스템에서 CVD 챔버의 일부로서 도시되고 기술되었다 하더라도, 본 발명은 이러한 방법에 제한되도록 의도될 필요는 없다. 즉, 본 발명의 다양한 특징은 에칭 챔버, 확산 챔버등과 같은 다양한 처리 챔버에 사용될 수 있다. 구성의 변화, 히터 구성, RF 전력 연결의 위치, 소프트웨어 동작 및 구조, 일부 소프트웨어 서브루틴에 사용된 특정 알고리즘, 가스 주입 라인 및 밸브의 구성, 및 다른 수정과 같은 상기한 시스템의 변화가 가능하다. 더욱이, 상기한 특정 치수는 특정 실시예를 위하여 제공되지만, 물론 다른 실시예들은 다른 치수를 가질 수 있다. 부가적으로, 본 발명의 일부 실시예들은 전자 사이클로트론 공명기(ECR) 플라즈마 CVD 장치, 유도결합된 RF 고밀도 플라즈마 CVD 장치 등과 같은 CVD 설비를 포함하는 기판 처리 장치에 사용될 수 있다. 티타늄 막과 같은 층을 형성하기 위한 방법은 어떤 특정 플라즈마 여기 방법이나 어떤 특정 장치에 한정될 필요는 없다.
II.CVD 반응기 시스템을 이용하는 고온 다단계 처리
A.예시적인 구조 및 적용
도 17은 본 발명에 따른 집적회로(900)의 개략단면도를 도시한다. 도시한 바와 같이, 통합 회로(900)는 실리콘의 부분산화(LOCOS)나 다른 기술에 의해 형성된 필드 산화물 영역(920)에 의해 서로 분리되고 전기절연된 NMOS 및 PMOS를 포함한다. 택일적으로, 트랜지스터(903,906)는 트랜지스터(903,906)가 NMOS 및 PMOS일 때 그림자 트렌치 절연(도시하지 않음)에 의해 서로 분리되어 전기으로 절연될수 있다. 각 트랜지스터(903,906)는 소오스 영역(912), 드레인 영역(915), 및 게이트 영역(918)을 포함한다.
예비금속 유전체(PMD)층(921)은 콘택(924)에 의해 만들어진 트랜지스터 및 금속층(940) 사이의 연결로 금속층(940)으로부터 트랜지스터(903,906)을 분리한다. 금속층(940)은 통합회로(900)에 포함된 4개의 금속층(940,942,944, 및 946)중 하나이다. 각 금속층(940,942,944, 및 946)은 각 내부 금속 유전체층(927,928, 및 929)에 의해 인접한 금속층으로부터 분리되어 있다. 인접한 금속층은 바이어스(926)에 의해 선택된 개구부에 연결되어 있다. 금속층(946)위에는 평탄화된 패시베이션층(930)이 증착된다. CVD 장치(10)는 금속층(940,942,944 또는 946)으로서 사용된 막을 증착하는데 사용될 수 있다. 이들 층은 알루미늄 위에 놓인 티타늄층, 금, 플라티늄, 또는 텅스텐층과 같은 다중 서브층으로 이루어질 수 있다. CVD 장치(10)는 장치 구조에서 콘택(924)나 플러그를 증착하는데 사용될 수 있다.
도 18은 본 발명의 실시예가 사용될 수 있는 도 17의 바이어스(926) 또는 콘택(924)와 같은 예시적인 콘택 구조의 단면도이다. 도 18에서 볼 수 있는 바와 같이, 산화물층(950), 전형적으로 SiO2는 결정 실리콘이나 폴리실리콘의 표면을 가지는 기판(952)위에 약 1㎛의 두께로 증착된다. 산화물층(950)은 내부-레벨 유전체층으로서 또는 에비금속 유전체층으로서 작용할 수 있지만, 레벨 사이에 전기적 콘택을 제공하기 위하여 콘택 홀(954)은 알루미늄과 같은 금속으로 채워진 산화물층(950)을 통하여 에칭된다. 그러나, 앞으로의 집적회로에서, 콘택 홀(954)은 좁고, 종종 0.35㎛ 미만이며, 6:1 이상의 가로대 세로비를 가진다. 이와같이 홀을 채우는 것은 어렵지만, 어느정도의 기준 처리는 먼저 홀(954)이 상응하게 티타늄층(956)으로 코팅되고 이어서 티타눔층(956)이 티타늄 질화물층(958)으로 코팅되어 행해졌다. 이후, 알루미늄층(960)은 콘택 홀(954)을 채우고 상위 레벨로 전기 상호연결 라인을 제공하기 위하여 종종 물리적 진공 증착으로 증착된다. 티타늄층(956)은 아래의 실리콘 및 측벽상의 산화물에 글루층을 제공한다. 또한, Ti층은 오믹 콘택을 형성하기 위하여 아래의 실리콘으로 규소화될 수 있다. TiN 층(958)은 Ti층(956)에 웰을 결합시키고, 알루미늄층(960)은 알루미늄이 내부에 공극을 형성하지 않고 콘택 홀(954)을 더 잘 채울 수 있도록 TiN층(958)에 웰을 습식처리한다. 또한, TiN층(956)은 알루미늄(960)이 실리콘(952)내로 이주하여 그 도전성에 영향을 미치는 것을 방지하기 위하여 배리어로서 작용할 수도 있다. 기판(952)이 알루미늄 표면 특징을 포함하는 비아 구조에 있어서, Ti층(956)은 필요없을 수도 있다. 티타늄 및 티타늄 질화물의 도전성이 알루미늄처럼 높지 않을 지라도, 양호한 전기 콘택을 제공하기 위하여 얇은 층에서는 충분히 도전성을 갖는다. 본 발명의 바람직한 실시예는 Ti층(956)을 증착하는데 사용되며, 다른 실시예는 다른 층과 아울러 TiN층(958)을 증착하는데 사용될 수 있다.
도 17의 개략된 집적회로(900) 및 도 18의 콘택 구조는 둘 다 단지 설명을 위한 것이라는 것을 이해하여야 한다. 당업자는 별도의 장치 뿐만아니라, 마이크로프로세서, 적용 특정 통합회로(ASIC), 메모리장치 등과 같은 다른 집적회로의 제조를 위하여 본 발명을 실시할 수 있다. 더욱이, 본 발명은 PMOS, NMOS, 바이폴라, 또는 BiCMOS 장치에 인가될 수 있다. 금속 막의 증착에 관련된 적용이 전술되었지만, 본 발명은 금속간 증착, 금속 증착으로부터 금속간 막의 자동 형성, 또는 도핑된 막 증착과 같이 다른 적용에 사용될 수 있다. 특히, 처리는 BST와 같이 금속 산화물의 CVD에 유리하게 인가될 수 있다. 본 발명은 많은 다른 형태의 금속 CVD 처리에 적용될 수 있으며 유전체 CVD 및 다른 플라즈마 적용에 사용될 수 있다.
B.예시적인 처리
도 19는 본 발명의 실시예에 따라서 기판상에 티타늄 막과 같은 막을 증착하기 위하여 상기 PECVD 시스템에 사용될 수 있는 예시적인 처리 단계의 플로우 차트를 도시한다. 이들 예시적인 처리들은 약 100Å/분 속도로 티타늄 막을 형성하는 다른 처리들과는 대조적으로, 증발된 액체 TiCl4소스로부터 약 400Å/분 이상까지, 적어도 200Å/분까지 증착속도로 티타늄 막을 생성하기 위하여 플라즈마-보강된 화학 진공 증착(PECVD)을 사용한다. 증가된 증착 속도는 액체 전달 시스템, 건조 세척 처리에 의한 효율, 및 면판, 히터(예를 들면,상기한 세라믹 히터 어셈블리) 등과 같은 부식저항, 고온 챔버 부품에 기인하여 달성된다. 증가된 증착속도는 웨이퍼당 처리시간을 더 짧게 하고 증착 시스템으로부터 웨이퍼 스루풋을 더 크게 한다. 세라믹 히터 어셈블리에 사용된 전기저항 가열 엘리먼트는 예를 들면, 종래의 다른 CVD 시스템으로 달성되는 것 보다 더 높은 온도를 제공한다. 이들 처리를 수행하기에 적합한 예시적인 기판 처리 시스템은 캘리포니아, 산타클라라 소재의 어플라이드 머티어리얼스, 인코포레이트사에 이용될 수 있는 TixZ 시스템(300 mm 또는 다른 사이즈의 웨이퍼로 스케일링되거나 200mm로 설비됨)이다.
이 처리를 위한 반응 및 소스 가스의 흐름 속도는 테트라키스-디메틸라미도-티타늄과 같은 유기금속 소스로부터 티타늄 질화물 증착과 같은 유사한 처리를 위한 흐름 속도보다 약 세 배정도 더 크다. 따라서, 도 1a에 도시된 바와 같이, 배기 포트(80) 및 드로틀 밸브(83)는 상기한 바와 같이 유사한 챔버 부피의 종래의 PECVD 시스템으로부터 증가된 단면적을 가진다. 상기한 바와 같이, 샤워헤드(40) 및 배플플레이트(52)는 증가된 가스 흐름을 수용하도록 제조된다. 부가적으로, TiCl4로부터 티타늄의 증착이 클로라인 가스, 클로라인 이온, 및 부산물로서 하이드로클로릭 엑시드를 생성하기 때문에, 배플(또는 폐쇄) 플레이트(52)는 특정 실시예에 따라 산화된 알루미늄의 샤워헤드(40) 및 니켈로 만들어진다. 더욱이, 반응의 고온 때문에, 샤워헤드(40)는 액체 열교환 통로(81)를 포함하며, 이는 특히 플라즈마의 존재시에 클로라인 종에 의해 샤워헤드의 부식을 감소시키고 샤워헤드상의 증착을 감소시킨다. 이 처리동안, 웨이퍼 온도는 일정하게 유지되며 드로틀 밸브는 완전히 개방된다. 이러한 처리 동안, 웨이퍼 온도는 일정하게 유지되며, 드로틀 밸브는 완전히 오픈된다. 드로틀 밸브가 압력 판독을 바탕으로 제어되지 않기 때문에, 챔버 압력은 반응, 소스 및 세척 가스의 유입에 의해 설정된다; 다른 요소중에 이들 가스의 결합 또는 분열, 및 펌핑 능력 비율, 챔버 압력은 증착동안 약 1-10 Torr 사이이지만, 바람직하게 특정 실시예에서 4.5-5 Torr이다.
상기 공정에서 제 1 단계는 온도(단계 1008)를 설정하는 것이다. 이 단계동안, 챔버는 증착이 발생하는 압력 이상으로 아르곤 같은 부식하지 않는 가스로 기압이 유지된다. 이것은 세척 가스로 챔버내의 보이드 또는 중공, 특히 히터 페데스탈의 내부를 미리 충전한다. 이런 세척 가스는 챔버 압력이 특정 실시예(단계 1009)에서 약 4.5 Torr의 증착 압력으로 감소될 때 배출하여, 히터 페데스탈 또는 챔버 부분을 부식하거나 산화시키는 처리 가스의 침입을 최소화한다. 상기 공정은 약 400-750℃ 사이의 온도에서, 특정 실시예에서 약 625℃에서 수행된다. 단계(1008)에서, 온도는 처음에 약 635℃로 설정되고, 웨이퍼는 챔버에 로딩된다. 초기 온도는 공정 가스가 흐르기 시작할 때 가열 및 웨이퍼를 냉각하기 때문에 공정 온도보다 높게 설정된다. 처음에 공정 온도 이상으로 웨이퍼를 가열하는 것은 보다 짧은 웨이퍼 사이클 시간을 유발하고 가스가 흐르기 시작한 후 공정 온도로 히터를 되돌리도록 히터 전력가 증가될 때 연속적으로 발생하는 히터 엘리먼트 및 히터 표면 사이의 열 변화로부터 발생하는 히터로 인한 열적 충격을 감소시킨다.
웨이퍼를 로딩후 약 15 초 가량, 아르곤 같은 세척 가스가 챔버로 흐를때(단계 1009), 온도는 예를들어 약 625℃의 동작 온도로 설정된다. 동시에 초기 가스가 흐르는 동안 히터(단계 1009)의 설정 온도를 감소시키는 것은 히터의 열 용량이 가스 흐름 개시로부터 발생하는 약간의 냉각에 대한 원인이 되게 한다. 이런 방식으로, 동작 온도로부터 온도 편차가 감소되고 보다 작은 히터 전력가 동작 온도로 히터를 회복시키기 위하여 요구된다. 예를들어, 만약 설정 온도가 가스 흐름 개시시 약 625℃(최대 히터 전력의 약 50%를 유지하기 위하여 요구)이면, 히터 전력 제어기는 히터를 약 625℃로 회복하기 위하여 히터 엘리먼트에 최대 100% 전력을 공급할 수 있다. 만약 가스 흐름 개시시 약 625℃인 본래의 설정 온도가 약 635℃이면, 히터 전력 제어기는 히터를 625℃로 회복하도록 약 65% 최대 전력를 공급하는 것이 필요하고, 그것에 의해 히터 엘리먼트 및 히터 표면 사이의 열 기울기를 감소시킨다. 전력의 정확한 양은 다른 요인중에서 히터의 열 용량, 전력 제어기 형태, 및 가스 흐름에 의해 변화한다.
세척 가스의 적당한 흐름 속도는 약 5.5 리터의 체적을 가지는 챔버에 대하여 약 500-3000 sccm 사이, 바람직하게 약 1000 sccm 범위이다. 이런 시간동안 웨이퍼는 샤워헤드로부터 약 550 밀로 유지되고, 챔버는 약 4.5 Torr로 드로틀 밸브를 완전히 개방함으로써 펌핑 다운된다. 드로틀 밸브는 이런 실시예의 공정 나머지 동안 개방되어 있지만, 개방 루프 또는 폐쇄 루프(압력 센서 판독으로부터 제어됨) 방식으로 부분적으로 폐쇄될 수 있다. 일실시예에서, 세척 가스는 챔버의 하부 부분(흐름 제한기 링보다 아래 부분)이 이 지역에서 목표되지 않은 증착을 줄이기 위하여 세척 가스로 덮혀지도록 하부 배출구(도시되지 않음)를 통하여 챔버로 유입된다. 아르곤 같은 플라즈마 가스는 약 1000-10000 sccm, 바람직하게 약 5000 sccm(단계 1009) 사이의 흐름 속도로 샤워헤드를 통하여 챔버로 동시에 유입된다. 플라즈마 가스는 RF 에너지의 적당한 인가로 인해 플라즈마로 쉽게 형성된다. 반응 및 소스 가스와 플라즈마 가스의 혼합은 반응 및 소스 가스로부터 플라즈마 형성을 용이하게 한다. 동시에, 수소(H2)같은 반응 가스는 초기 흐름 속도에서 턴온된다(단계 1009). 반응 가스는 목표된 필름을 형성하기 위하여 소스 가스의 분해를 위하여 요구된 에너지를 낮추고 Cl-또는 Cl2를 남기지 않고 약간의 염소를 수소 염화물로 전환함으로써 증착 부산물의 부식을 감소시킨다. 반응 가스의 흐름 속도은 초기 흐름 속도로부터 최종 흐름 속도로 점차적으로 증가된다(또는, 선택적으로, 상승된다). 이것은 반응 가스의 최종 흐름 속도가 아주 높고 만약 한꺼번에 턴온될 때 심하게 냉각되면 히터에 대한 열적 충격이 감소한다. 이런 계단식 또는 경사식 가스 흐름 개시는 헬륨 또는 수소같은 가스에 특히 중요하고, 이들 가스는 높은 열적 전달 특성을 나타낸다. 반응 가스의 초기 비율은 몇몇 특정 실시예에서 최종 흐름 속도의 대략 11%이다. 이런 조건은 약 5 초동안 유지된다.
다음 단계에서, 반응 가스 흐름은 최종 흐름 속도의 대략 32%로 증가된다(단계 1011). 약 5 초를 기다린후, 반응 가스 흐름은 최종 흐름 속도의 약 53%로 증가되고, 소스 가스는 턴온된다(단계 1012). 바람직하게, 반응 소스 가스 흐름 속도은 특정 실시예에서 약 250 : 1 이하이다. 일실시예에서, 소스 가스는 약 60℃로 가열된 티타늄 테트라클로라이드(TiCl4)의 액체 소스를 통하여 버블(bubbled)되는 핼륨 가스로 구성된다. 액체 이상의 총 압력은 헬륨 압력 및 증기 압력의 결합이다. 약 60℃의 온도로 액체 TiCl4를 가열하는 것은 약 60 Torr의 TiCl4증기 압력을 유발한다.
액체 소스 버블러를 통한 헬륨의 흐름은 약 200 sccm으로 설정된다. TiCl4증기 및 헬륨의 결과적인 결합 흐름 속도은 출력 소스 라인상 매스 흐름 제어기(MFC)(이것은 TiCl4증기가 측정된다)를 통하여 58 sccm의 흐름 속도에 대응한다. MFC는 특정 가스를 측정하고, 헬륨 버블러 압력 및 헬륨 더하기 TiCl4증기 출력 압력 사이의 관련 압력을 변경하는 것은 비록 MFC가 58 sccm의 흐름을 연속하여 제어할지라도 소스 가스의 TiCl4증기의 농도를 변경할 수 있다. 게다가, 보다 높은 온도로 TiCl4를 가열하는 것은 보다 높은 증기 압력을 유발할뿐 아니라, 주어진 흐름에 대한 소스 가스의 TiCl4증기 농도를 변경한다. 높은 필름 증착율을 유발하는 안정한 TiCl4증기를 유도하기 위하여 헬륨 압력, 출력 소스 압력, 및 TiCl4온도를 설정하는 것이 바람직하다. 챔버 및 진공 펌프 사이의 드로틀 밸브는 개방되어 유지되고, 최대 배출 능력을 제공한다. 상기 흐름 속도에서, 결과적인 챔버 압력은 사용된 특정 증착 시스템에 대하여 약 4-5 Torr이다. TiCl4증기 및 H2의 상대적 흐름은 이들 조건에서 결과적인 티타늄 층의 형성을 최적화하기 위하여 선택되고, 유용한 배출 능력이 제공된다. 배출 능력이 클수록 총 가스 흐름 속도이 커지고, 그래서 보다 많은 양의 TiCl4증기가 증착 챔버에 유도된다. 유사하게, 고정된 배출 능력을 가진 시스템에서 TiCl4증기의 흐름에 관련하여 H2의 흐름을 증가시키는 것은 챔버에 유도된 TiCl4증기의 양을 감소시킨다.
온도 바탕 MFC 제어기에 대한 대체안으로서, 압력에 기초한 제어 시스템이 사용된다. 압력에 기초한 제어기의 예는 상기된 바와같이 압력 조절기, 고정 개구(구멍) 제어기, 및 가변 개구 제어기이다. 고정된 개구 제어 시스템의 단순성은 MFC 제어기에 응집하고 및/또는 MFC 제어기 동작을 방해하는 TiCl4같은 증기가 목표된다. 예를들어, TiCl4의 액체 소스 및 증착 챔버 사이에 배치된 29.2 밀 개구은 챔버에 TiCl4증기의 안정한 유도를 유지한다. 다른 실시예에서, 개구은 높은 증착율을 달성하기 위하여 약 25-40 밀 사이의 범위이다. 이런 실시예에서, 챔버는 측정된 챔버 압력에 따라 압력 제어기로 드로틀 밸브를 조절함으로써 약 4.5 Torr의 압력으로 유지된다. 만약 액체 소스가 약 60℃로 가열되고 헬륨이 약 400 sccm으로 액체를 통하여 버블되면, 개구은 약 4.5 Torr의 개구 출력 압력에서 액체 소스상에 약 110 Torr의 안정한 압력을 유지한다. 적당한 증기 흐름 속도은 특히 액체 소스가 안정한 증착율을 유지하기 위하여 충분한 증기 압력을 제공하는 온도로 가열되면, 버블러 가스의 사용없이 달성된다.
다음, 반응 가스는 샤워헤드 노즐로부터 대략 400 밀의 처리 위치로 웨이퍼가 이동되기 전에(단계 1014) 약 5 초동안 유지된 약 9500 sccm(단계 1013)의 최종 처리 흐름 속도로 설정된다. 이런 조건은 추가의 5 초동안 유지되어 가스 흐름 패턴이 안정화되게 하고, RF 전력가 턴온(단계 1015)된다. RF 주파수는 약 300-450 kHz 사이, 바람직하게 약 400 kHz 사이이고, 약 200-2000 와트, 바람직하게 약 700 와트 사이의 전력 레벨이다. 아르곤을 포함하는 이들 조건은 자외선 소스 또는 스파크 발생기 같은 백열 전등을 점화하기 위하여 필요한 추가 수단없이 안정한 플라즈마를 만든다. 다른 실시예는 예를들어 약 13.56 MHz에서 동작하는 고주파 RF 소스를 사용한다. 이런 소스는 선택적으로 또는 낮은 RF 소스에 부가하여 사용될 수 있다. 티타늄 필름은 약 200 Å/분의 비율로 웨이퍼상에 증착된다. 따라서, 약 100 초동안 이들 공정 조건을 유지하는 것은 대략 300Å 두께의 티타늄 필름을 유발한다.
목표된 막이 증착된후, 소스 및 반응 가스는 턴오프된다(단계 1016). 플라즈마 전력은 약 2 초내에서 낮은 전력 레벨(대략 증착 전력 레벨의 43%)로 감소되고(단계 1017), 약 2 초내에 대략 20%로 다시 감소되고(단계 1018), 및 약 2 초내에 약 7%로 최종적으로 감소된후(단계 1019), RF 전력은 차단된다(단계 1020). 이런 시간 동안, 드로틀 밸브는 개방되어 있다. 히터는 챔버의 차가운 벽, 특히 면판 및 리드(lid)에 대해 열 손실을 감소시키기 위하여 온도가 낮춰진다. 이런 플라즈마 세척 과정은 챔버 및 다양한 챔버 구성요소상에 형성된 보다 큰 입자를 느슨해지도록 작용한다. 플라즈마 전력, 플라즈마 가스, 및 세척 가스는 턴오프되고, 챔버는 처리된 웨이퍼가 언로딩되기(단계 1010) 전에 펌핑 다운된다(단계 1021). 웨이퍼가 제거된후, 온도는 다음 웨이퍼가 로딩되기(단계 1023) 전에 약 635℃로 사전 설정된다. 비록 본래의 장소에서 플라즈마 세척 처리가 3 단계 방법으로 기술되지만, 이런 처리는 보다 작은 또는 추가 단계로 수행되거나, RF 전력의 일정 또는 가변 변화 비율로 연속하여 하강되듯이 수행된다.
각각의 웨이퍼가 증착후 행해지는 플라즈마 세척외에, 추가의 세척 과정이 웨이퍼 오염을 방지하기 위하여 사용된다. 건조 세척 과정(이것은 챔버 리드 개방없이 행해진다)은 임의의 수의 웨이퍼 증착이 처리된후 챔버상에 주기적으로 수행된다. 본 발명에 따라, 이런 세척 과정동안 챔버에는 웨이퍼(예를들어, 더미 웨이퍼)가 없다. 건식 세척 과정은 모든 X 웨이퍼 사이에서, 바람직하게 1-25 웨이퍼 사이에서 수행된다. 건식 세척은 예를들어 특정 실시예에서 모두 3-5 웨이퍼 사이에 수행된다. 총 시스템 웨이퍼 출력이 많이 영향을 받지않도록, 건식 세척 과정이 효과적으로 유지하는 것이 목표된다. 특정 실시예에 따른 예시적 건식 습식 공정은 하기에 상세히 기술된다.
도 19를 다시 참조하여, 만약 X(여기서 X=3) 웨이퍼가 처리되면, 챔버는 건식 세척한다(단계 1024). 첫째, 히터는 샤워헤드로부터 약 700 밀의 거리로 추가로 떨어지도록 이동되고(단계 1025), 625℃의 처리 온도로 유지된다. 챔버는 0.1-10 Torr, 바람직하게 약 5 Torr 이하, 및 특정 실시예에서 약 0.6 Torr 사이의 세척 압력 범위로 유지된다. 이것은 히터로부터 샤워헤드로 열 흐름을 유지하고, 히터에 관련하여 샤워헤드를 냉각시킨다. 챔버는 특정 실시예에서 약 5-15 Torr, 바람직하게 약 15 Torr 사이의 압력(처리 압력보다 크다) 아르곤같은 세척 가스로 세척되고(단계 1026), 약 0.6 Torr로 펌핑 다운된다(단계 1027). 펌핑 다운 또는 증착 압력보다 높은 고압에서 세척하는 것은 히터 페데스탈을 아르곤 가스로 충전하고, 추후에 히터 또는 페데스탈에 세척 처리 가스를 유입하기 위하여 상기 아르곤 가스를 배출시킨다. 이어, 아르곤 가스 이외에 염소(Cl2) 가스가 약 200sccm의 흐름 속도으로 챔버내로 유입되며(단계 1028), 염소 가스는 상술한 바와 같이 플라즈마 형성을 도운다. 다음, 플라즈마가 약 400 와트의 전력으로 충돌된다(단계1029). 염소류가 원치 않는 증착물과 반응하고 아르곤 플라즈마류가 입자를 물리적으로 증착물에 충돌시켜 챔버 부품로부터 이러한 증착물을 에칭하는 동안에 이러한 조건은 약 80초 동안 유지된다. 증착 공정으로부터의 원치 않는 증착물은 일반적으로 챔버의 노출된 가장 뜨거운 부분 상, 즉 웨이퍼 또는 흐름 제한 링에 의해 덮여있지 않은 히터의 표면상에서 가장 두껍다. 샤워헤드로부터 이격시켜 히터를 이동시킴으로써, 상기에 주어진 조건들은 이러한 챔버 부품를 오버에칭시키지 않고 모든 챔버 부품의 충분한 세척을 보장한다. 플라즈마 세척이후, 염소 가스는 턴 오프되고 플라즈마 전력은 약 50 와트로 감소되어 플라즈마 제거가 약 5초 동안 수행하도록 한다(단계 1030). 이어 챔버로 다음 웨이퍼를 로딩함(단계 1032)으로써 X 웨이퍼를 처리하기위한 준비로 온도를 약 635℃로 프리세트하고(단계 1031), 상기 챔버는 약 15초 동안 배기된다. 물론, 습식 세척 또는 예방적인 유지 보수 세척(수 백 내지 수 천사이의 처리된 웨이퍼에서 발생하는)은 상호적으로 챔버의 다양한 부분을 세척하기 위하여 챔버 리드를 개방함으로써 수행될 수 있다.
웨이퍼 증착 사이의 주기적 건조 세척 과정을 수행하는 것은 이러한 예방적인 유지 보수 세척의 주파수를 최소화하며, 이것은 종종 꽤 시간을 소모한다. 더욱이 건조 세척 공정은 깨끗한 챔버를 제공하며 챔버내에서의 처리 런(run)이 더 효율적이며 빠른 증착율에 기여하리라고 믿어진다.
III. 결과 테스트 및 측정
실험이 양호한 갭 충진 특성을 갖는 티타늄 박막 또는 다른 박막을 빠르게 증착하기에 적합한 증착 방법 및 장치를 평가하도록 수행된다. 실험은 저항성-가열 세라믹 히터 어셈블리를 포함하고 200mm 두께의 웨이퍼를 위하여 구성된 TixZ 증착 시스템(어플라이드사 제조)에서 수행된다. 아래에서 나타내어진 것과 같은 것을 제외하고 상술한 특별한 실시예에 따라, 실험 조건은 일반적으로 웨이퍼 상의 티타늄 박막을 중착하는 동안의 조건과 유사하게 선택된다.
도 20은 약 5Torr의 챔버 압력 및 샤워헤드와 웨이퍼(1002) 사이에 약 400mil의 공간으로 저항성 가열 AlN 히터 어셈블리(상술한 짧은 스터브 AlN 히터와 같은)상에서 625℃의 설정 온도로 가열될 때, 200mm실리콘 웨이퍼(1002)를 가로질러 측정된 온도 균일성을 도시한 챠트이다. 도 20에 도시된 바와 같이, 웨이퍼(1002)의 다른 위치에서의 온도 값은 최소 552.6℃(기준 1004)에서 최대 565.8℃의 범위이며, 13.2℃의 온도 변화를 야기한다. 온도 균일성은 다음과 같은 방정식;
온도 균일성 = ±(△ 온도/(2 ×온도)) × 100% 에 따라 정의되며, 여기에서 온도는 섭씨이다. 이러한 방정식을 사용하여, 웨이퍼를 가로지르는 온도 균일성은 ± 1.2% 이다. 이에 따라 본 발명의 세라믹 히터는 양호하고 균일한 가열 성능을 보인다.
도 21은 헬륨을 사용하여 버블링된 액체(TiCl4) 소스를 위한 상술한 처리 조건과 유사한 다른 조건하에서 소스 출력 내의 티타늄 테트라클로라이드(TiCl4)의 농도에 대한 티타늄 층의 증착비의 관계를 도시한 그래프이다. 증기 압력 비는 액체 소스를 통한 전체 압력에 대한 TiCl4증기 압력의 비이며, 전체 압력은 버블러 공급기로부터의 헬륨 압력을 포함한다. 두 증착들에 있어서, 액체 소스는 약 60℃의 온도로 유지되며, 이것은 약 60Torr의 TiCl4증기 압력을 생성시킨다. 두 개의 증착 모두 거의 동일한 챔버 압력으로 수행되며, 약 120 Torr로 액체에 대한 전체 압력을 제어하기 위해 동일한 고정-개구 구멍을 사용한다. 헬륨 버블러 가스의 흐름은 상이한 TiCl4압력 비를 생성하도록 변화된다. 도 21에서 도시된 바와 같이, 이러한 영역에서의 증기 압력 비를 두배로 하면, 증착비도 두배가 된다. 이러한 영역에서 상기 관계가 선형으로 나타날 지라고, 증기 압력 비에 관한 전체 번위에서는 선형일수도 또는 전형일 아닐 수도 있다. 더욱이, 증기 압력 비를 제어하는 것은 TiCl4액체 소스의 온도(그에 따른 증기 압력)를 제어하는 것과 같은 다른 수단에 의해 달성될 수도 있다. 예를 들어 액체 소스의 온도를 70℃로 증가시키는 것은 약 90 Torr의 TiCl4증기압을 생성할 수 있다. 일정한 헬륨 버플러 유동비가 주어진다면, 액체 소스의 온도를 증가시키는 것은 증기 압력을 증가시키는 것이며, 이에 의해 증착 챔버로 제공되는 TiCl4의 양을 증가시키게 된다. 상술한 바와 같이, 압력-제어 시스템의 구멍의 직경을 증가시키는 것은 또한 압력 비를 증가시키고 이에 따라 챔버로 제공되는 TiCl4의 양을 증가시킨다. 구멍 직경은 특정 실시예에서 약 25 내지 40 mil의 범의이다. 예를 들어, 약 29mol에서 약 35mil로 구멍의 직경을 증가시키는 것은 약 200Å/분에서 약 400Å/분으로 증착율을 증가시킨다. 예를 들어 챔버 압력 또는 웨이퍼 온도와 같은 증착 파라미터를 변화시키는 것은 증착율의 변화를 야기할 수도 있다. (개구 구멍을 통해 챔버로 유입되는 기화된 액체 소오스로부터의 소수 가스를 제공하기 위한 버블러와 같은)챔버로 소스 가스의 출력을 위해 압력에 기초한 제어를 사용하는 것은 증착 공정에서 증착율뿐만 아니라 안정도 및 신뢰성의 양호한 제어를 야기한다.
상술한 설명은 실증적이고 제한적이지 않다는 것이 이해될 것이다. 많은 실시예가 상기 설명을 통해 당업자에게 명백하게 될 것이다. 실시예에 의해, 본 출원에서의 본 발명은 티타늄 처리 방법과 관련하여 앞서 설명되었으나, 본 발명은 그렇게 한정되지는 않는다. 예를 들어 다른 실시예에 따라 형성된 박막은 티타늄 실리사이트 박막, 티타늄 나이트라이드 박막, 다른 금속 박막, 도핑된 박막, 유전막 또는 다른 박막일 수 있다. 다른 실시예에 있어서, 특정 실시예에서 사용된 플라즈마 가스는 아르곤이나, BCl3또는 ClF3와 같은 다른 가스들 역시 다른 실시예에서 플라즈마 가스로서 사용될 수 있다. 물론, 상술한 CVD 장치는 약 625℃ 뿐만 아니라 약 400℃보다 낮은 온도에서 박막을 증착하기 위하여 사용된다는 것이 인지된다. 또한 본 발명은 다양한 특정 실시예에 대한 상술한 특정 직경에 한정되는 것은 아니라는 것이 인지된다. 또한 특정 실시예에 대해 언급된 것들을 제외한 물질이 니켈, 흑연 또는 다른 물질로 이루어질 수 있는 면판과 같은 챔버의 다양한 부품로 사용될 수 있다. 부가적으로, 본 발명의 다양한 측면이 또한 다른 적용을 위하여 사용될 수 있다. 당업자는 본 발명의 청구 범위내에 속하는 대안적 또는 등가적인 층 증착 방법을 알 수 있을 것이다. 따라서 본 발명의 범위는 상기 설명을 참조로 하여 결정되지 않고, 대신에 부가된 청구항과 등가인 모든 범위와 함께 이같은 청구항 참조하여 결정될 것이다.
본 발명은 비반응 가스를 사용하여 상기 챔버내의 내부 스페이스를 프리챠지시키기 위해 상기 비반응 가스로 제 1 시간 주기동안 제 1 압력을 상기 챔버를 가압하는 단계, 상기 제 1 압력을 세척 처리 압력으로 감소시키는 단계 및 상기 비반응 가스가 상기 내부 스페이스로부터 배기되도록 세척 처리 압력으로 상기 반응 가스를 상기 챔버 내부로 흐르게 하여, 상기 내부 스페이스로 반응 가스가 유입되는 것을 최소화하는 단계를 포함함으로써 증착물을 세척하도록 한다.

Claims (25)

  1. 약 400℃ 이상의 온도로 가열될 수 있는 히터를 구비한 기판 처리 챔버의 표면상에 형성된 원치 않는 증착물을 세척하기 위한 방법에 있어서,
    상기 챔버내에서 적어도 약 400℃의 제 1 온도로 히터를 유지하는 단계;
    약 0.1 내지 10 Torr 사이의 압력으로 상기 챔버를 유지하는 단계;
    상기 챔버 내부로 염소 함유 가스 및 플라즈마 가스를 유입시키는 단계;
    상기 히터에 인접하게 플라즈마를 형성시키기 위해 에너지를 인가하는 단계를 포함하며, 상기 플라즈마는 상기 챔버의 상기 표면에서 원치 않는 증착물을 세척하는 염소류를 포함하는 것을 특징으로 하는 방법.
  2. 제 1 항에 있어서, 상기 제 1 온도는 적어도 약 500℃인 것을 특징으로 하는 방법.
  3. 제 1 항에 있어서, 상기 에너지는 RF 에너지인 것을 특징으로 하는 방법.
  4. 제 1 항에 있어서, 상기 에너지는 마이크로파 에너지인 것을 특징으로 하는 방법.
  5. 제 2 항에 있어서, 상기 염소 함유 가스는 약 300 내지 400 sccm 사이로 상기 챔버 내부로 유입되는 것을 특징으로 하는 방법.
  6. 제 1 항에 있어서, 상기 염소 함유 가스는 약 200 sccm으로 상기 챔버 내부로 유입되는 것을 특징으로 하는 방법.
  7. 제 1 항에 있어서, 상기 원치 않는 증착물은 금속 증착물인 것을 특징으로 하는 방법.
  8. 제 7 항에 있어서, 상기 원치 않는 증착물은 티타늄 증착물인 것을 특징으로 하는 방법.
  9. 제 1 항에 있어서, 상기 플라즈마 가스는 임의의 불활성 가스 ; BCl3; ClF3; 및 이들의 임의의 혼합물로 이루어진 그룹에서 선택되는 것을 특징으로 하는 방법.
  10. 제 1 항에 있어서, 상기 금속은 티타늄, 질화티타늄 및 이규화티타늄과 같은 티타늄 함유 막, 티타늄 및 다른 금속들로 이루어진 그룹에서 선택되는 것을 특징으로 하는 방법.
  11. 제 1 항에 있어서, 상기 압력은 약 5Torr 이하인 것을 특징으로 하는 방법.
  12. 제 3 항에 있어서, 상기 RF 에너지는 약 100kHz에서 약 13.56MHz의 주파수이고 약 200 내지 600 Watt의 전력으로 인가되는 것을 특징으로 하는 방법.
  13. 제 3 항에 있어서, 상기 플라즈마를 유지하는 동안 상기 RF 에너지를 제 1 전력 레벨에서 제 2 전력 레벨로 감소시키는 단계를 더 포함하는 데, 상기 감소시키는 단계는 상기 히터에 열적 충격을 피하고 상기 챔버 내부의 미립자 오염을 감소시키는 것을 특징으로 하는 방법.
  14. 제 1 항에 있어서, 상기 히터를 제 1 온도에서 제 2 온도로 가열시키는 단계를 더 포함하며, 상기 제 2 온도는 상기 제 1 온도보다 높은 것을 특징으로 하는 방법.
  15. 기판 처리 장치에 있어서,
    챔버 체적을 갖는 챔버;
    복수의 가스 소스를 갖는 가스 전달 시스템을 포함하는데, 상기 복수의 가스 소스 중 적어도 하나는 할로겐 함유 가스를 제공하고 상기 복수의 가스 소스 중 다른 하나는 플라즈마 보조 가스를 제공하며 상기 가스 전달 시스템은 상기 챔버내에서의 사용을 위한 흐름 속도로 상기 소스 가스를 전달하며;
    기판을 지지할 수 있는 표면을 갖는 히터 페데스탈을 구비한 가열 시스템을 포함하는데, 상기 히터 페데스탈은 할로겐 류를 갖는 플라즈마내에서 적어도 약 400℃의 온도까지 저항성으로 가열할 수 있는 히터 어셈블리를 구비하며;
    상기 챔버내에 플라즈마를 형성시킬 수 있는 플라즈마 시스템;
    상기 챔버에 결합되어 상기 챔버로부터의 배기를 제어하는 진공 시스템; 및
    프로세서와 상기 프로세서에 결합된 증착 장치의 동작을 지시하기 위해 컴퓨터 독출 가능한 프로그램을 저장하는 컴퓨터 독출 가능한 매체를 갖는 메모리를 포함하며, 상기 컴퓨터 독출 가능한 프로그램은 (i) 상기 가열 시스템이 상기 히터 페데스탈을 가열하여 제 1 온도로 유지하도록 제어하는 제 1 명령 세트와, (ii) 상기 가스 전달 시스템이 상기 할로겐 함유 가스를 제 1 흐름 속도로 전달하고 상기 플라즈마 보조 가스를 전달하도록 제어하는 제 2 명령 세트와, (iii) 상기 할로겐 함유 가스 및 상기 플라즈마 보조 가스로부터 플라즈마를 형성하는 상기 플라즈마 시스템이 상기 챔버에 수행된 증착 처리로부터의 원치 않는 증착물을 세척하도록 제어하는 제 3 명령 세트를 포함하는 것을 특징으로 하는 장치.
  16. 제 15 항에 있어서, 상기 플라즈마 시스템은 RF 발생기와 상기 표면 아래 약 200mil 이하의 간격으로 상기 히터 페데스탈에 위치된 RF 평면을 포함하는 것을 특징으로 하는 장치.
  17. 제 15 항에 있어서, 상기 플라즈마 시스템은 마이크로파 발생기를 포함하는 것을 특징으로 하는 장치.
  18. 제 15 항에 있어서, 상기 제 1 온도는 적어도 약 500℃인 것을 특징으로 하는 장치.
  19. 제 15 항에 있어서, 상기 할로겐 함유 가스는 염소 함유 가스를 포함하고 상기 할로겐 류는 염소류를 포함하는 것을 특징으로 하는 장치.
  20. 제 15 항에 있어서, 상기 제 1 명령 세트는 상기 가열 시스템이 제 1 시간 주기 동안 상기 제 2 온도 보다 낮은 상기 제 1 온도로 상기 히터 페데스탈을 가열하도록 제어하는 제 1 명령 서브세트와, 상기 가열 시스템이 상기 제 1 시간 주기 이후의 제 2 시간 주기에 제 2 온도로 상기 히터 페데스탈을 가열하도록 제어하는 제 2 명령 서브세트를 포함하는 것을 특징으로 하는 장치.
  21. 제 15 항에 있어서, 상기 제 2 온도는 상기 제 2 온도보다 약 5% 이하로 높고 상기 제 2 시간 주기는 약 20초 이하인 것을 특징으로 하는 장치.
  22. 제 15 항에 있어서, 상기 플라즈마 보조 가스는 아르곤과 같은 불활성 가스, Cl3, CF3또는 이들의 혼합물로 이루어진 그룹에서 선택되는 것을 특징으로 장치.
  23. 반응 가스를 사용하여 증착 챔버를 세척하기 위한 방법에 있어서,
    비반응 가스를 사용하여 상기 챔버내의 내부 스페이스를 프리챠지시키기 위해 상기 비반응 가스로 제 1 시간 주기 동안 상기 챔버에 제 1 압력을 가하는 단계;
    상기 제 1 압력을 세척 처리 압력으로 감소시키는 단계; 및
    상기 비반응 가스가 상기 내부 스페이스로부터 배기되도록 세척 처리 압력으로 상기 반응 가스를 상기 챔버 내부로 흐르게 하여, 상기 내부 스페이스로 반응 가스가 유입되는 것을 최소화하는 단계를 포함하는 것을 특징으로 하는 방법.
  24. 제 23 항에 있어서, 상기 비 반응 가스는 아르곤과 같은 임의의 불활성 가스, 질소 또는 이들의 혼합물을 포함하며; 상기 반응 가스는 염소 함유 가스 또는 불소 함유 가스이고 상기 내부 스페이스는 히터 어셈블리 또는 히터 페데스탈 내 에 존재하는 것을 특징으로 하는 방법.
  25. 제 15 항에 있어서, 상기 제 1 압력은 상기 세척 처리 압력보다 적어도 2 배 높은 것을 특징으로 하는 방법.
KR1019980002859A 1997-01-24 1998-01-24 높은 온도, 부식 및 플라즈마 환경에서의 세척 처리 방법 및장치 KR19980071010A (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US3765997P 1997-01-24 1997-01-24
US60/037,659 1997-01-24
US79935097A 1997-02-12 1997-02-12
US8/799,350 1997-02-12
US08/918,665 US5983906A (en) 1997-01-24 1997-08-22 Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US8/918,665 1997-08-22

Publications (1)

Publication Number Publication Date
KR19980071010A true KR19980071010A (ko) 1998-10-26

Family

ID=27365253

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980002859A KR19980071010A (ko) 1997-01-24 1998-01-24 높은 온도, 부식 및 플라즈마 환경에서의 세척 처리 방법 및장치

Country Status (5)

Country Link
US (1) US5983906A (ko)
EP (1) EP0855453A1 (ko)
JP (1) JP2971847B2 (ko)
KR (1) KR19980071010A (ko)
TW (1) TW387094B (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100450846B1 (ko) * 2002-04-23 2004-10-01 아남반도체 주식회사 반도체 소자의 제조 방법
KR100829364B1 (ko) * 2002-12-14 2008-05-13 동부일렉트로닉스 주식회사 정전척 세정을 위한 공정 챔버 및 방법
KR100909448B1 (ko) * 2007-12-13 2009-07-28 한국표준과학연구원 코팅성능 평가장치
KR101101710B1 (ko) * 2009-12-02 2012-01-05 주식회사 테스 쉐도우 프레임 및 이를 갖는 공정 챔버
US10595365B2 (en) 2010-10-19 2020-03-17 Applied Materials, Inc. Chamber lid heater ring assembly
KR20210133989A (ko) * 2019-03-28 2021-11-08 교세라 가부시키가이샤 기체 구조체 및 웨이퍼 적재 장치
WO2023027342A1 (ko) * 2021-08-25 2023-03-02 피에스케이 주식회사 기판 처리 장치

Families Citing this family (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100560049B1 (ko) * 1997-05-10 2006-05-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 성막방법
KR100253089B1 (ko) * 1997-10-29 2000-05-01 윤종용 반도체소자 제조용 화학기상증착장치 및 이의 구동방법, 그 공정챔버 세정공정 레시피 최적화방법
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6236023B1 (en) * 1998-07-13 2001-05-22 Mattson Technology, Inc. Cleaning process for rapid thermal processing system
US6555455B1 (en) * 1998-09-03 2003-04-29 Micron Technology, Inc. Methods of passivating an oxide surface subjected to a conductive material anneal
US6379491B1 (en) * 1998-10-30 2002-04-30 Promos Technologies, Inc. Plasma chamber with erosion resistive securement screws
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6583057B1 (en) * 1998-12-14 2003-06-24 Motorola, Inc. Method of forming a semiconductor device having a layer deposited by varying flow of reactants
NL1012004C2 (nl) * 1999-05-07 2000-11-13 Asm Int Werkwijze voor het verplaatsen van wafers alsmede ring.
US6458723B1 (en) 1999-06-24 2002-10-01 Silicon Genesis Corporation High temperature implant apparatus
US6318381B1 (en) * 1999-07-13 2001-11-20 Micron Technology, Inc. Methods of cleaning vaporization surfaces
US6368883B1 (en) * 1999-08-10 2002-04-09 Advanced Micro Devices, Inc. Method for identifying and controlling impact of ambient conditions on photolithography processes
US6706541B1 (en) * 1999-10-20 2004-03-16 Advanced Micro Devices, Inc. Method and apparatus for controlling wafer uniformity using spatially resolved sensors
WO2001042529A1 (en) * 1999-12-09 2001-06-14 Tokyo Electron Limited METHOD FOR FORMING TiSiN FILM, DIFFUSION PREVENTIVE FILM COMPRISING TiSiN FILM, SEMICONDUCTOR DEVICE AND ITS PRODUCTION METHOD, AND APPARATUS FOR FORMING TiSiN FILM
US6461980B1 (en) * 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1174910A3 (en) * 2000-07-20 2010-01-06 Applied Materials, Inc. Method and apparatus for dechucking a substrate
JP2002129334A (ja) * 2000-10-26 2002-05-09 Applied Materials Inc 気相堆積装置のクリーニング方法及び気相堆積装置
US6633391B1 (en) 2000-11-07 2003-10-14 Applied Materials, Inc Monitoring of film characteristics during plasma-based semi-conductor processing using optical emission spectroscopy
US6603538B1 (en) 2000-11-21 2003-08-05 Applied Materials, Inc. Method and apparatus employing optical emission spectroscopy to detect a fault in process conditions of a semiconductor processing system
US6997993B2 (en) * 2001-02-09 2006-02-14 Ngk Insulators, Ltd. Susceptor supporting construction
US7378127B2 (en) * 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
JP2002343787A (ja) * 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
US6810886B2 (en) * 2001-05-24 2004-11-02 Applied Materials, Inc. Chamber cleaning via rapid thermal process during a cleaning period
US6684523B2 (en) * 2001-08-27 2004-02-03 Applied Materials, Inc. Particle removal apparatus
US7115516B2 (en) * 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
US20030220708A1 (en) * 2001-11-28 2003-11-27 Applied Materials, Inc. Integrated equipment set for forming shallow trench isolation regions
US7229666B2 (en) * 2002-01-22 2007-06-12 Micron Technology, Inc. Chemical vapor deposition method
US6787185B2 (en) 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6815007B1 (en) 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
US7104578B2 (en) * 2002-03-15 2006-09-12 Asm International N.V. Two level end effector
US6835039B2 (en) * 2002-03-15 2004-12-28 Asm International N.V. Method and apparatus for batch processing of wafers in a furnace
JP3520074B2 (ja) 2002-03-28 2004-04-19 日本碍子株式会社 セラミックサセプターの取付構造、セラミックサセプターの支持構造およびセラミックサセプターの支持部材
US7468104B2 (en) 2002-05-17 2008-12-23 Micron Technology, Inc. Chemical vapor deposition apparatus and deposition method
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
JP3836765B2 (ja) * 2002-08-02 2006-10-25 株式会社神戸製鋼所 高圧処理装置
US6887521B2 (en) 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US20040096636A1 (en) * 2002-11-18 2004-05-20 Applied Materials, Inc. Lifting glass substrate without center lift pins
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7045014B2 (en) * 2003-04-24 2006-05-16 Applied Materials, Inc. Substrate support assembly
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US6911093B2 (en) * 2003-06-02 2005-06-28 Lsi Logic Corporation Lid liner for chemical vapor deposition chamber
US6835664B1 (en) * 2003-06-26 2004-12-28 Micron Technology, Inc. Methods of forming trenched isolation regions
US7181132B2 (en) 2003-08-20 2007-02-20 Asm International N.V. Method and system for loading substrate supports into a substrate holder
CN101359589B (zh) * 2003-10-27 2010-12-08 京瓷株式会社 复合材料和晶片保持部件及其制造方法
US7055263B2 (en) 2003-11-25 2006-06-06 Air Products And Chemicals, Inc. Method for cleaning deposition chambers for high dielectric constant materials
ATE527483T1 (de) 2004-05-13 2011-10-15 Caldera Engineering L C Multiphasendüse mit gesteuerter dispergierung und herstellungsverfahren dafür
US20060005856A1 (en) * 2004-06-29 2006-01-12 Applied Materials, Inc. Reduction of reactive gas attack on substrate heater
US20060005770A1 (en) * 2004-07-09 2006-01-12 Robin Tiner Independently moving substrate supports
US9627184B2 (en) * 2005-01-26 2017-04-18 Tokyo Electron Limited Cleaning method of processing apparatus, program for performing the method, and storage medium for storing the program
US20060162742A1 (en) * 2005-01-26 2006-07-27 Tokyo Electron Limited Cleaning method of processing apparatus, program for performing the method, and storage medium for storing the program
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US7670529B2 (en) 2005-12-08 2010-03-02 Molecular Imprints, Inc. Method and system for double-sided patterning of substrates
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US7654010B2 (en) * 2006-02-23 2010-02-02 Tokyo Electron Limited Substrate processing system, substrate processing method, and storage medium
JP4889331B2 (ja) * 2006-03-22 2012-03-07 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
WO2007117524A2 (en) 2006-04-03 2007-10-18 Molecular Imprints, Inc. Method of concurrently patterning a substrate having a plurality of fields and alignment marks
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
CN101490307B (zh) * 2006-07-11 2011-06-08 东京毅力科创株式会社 成膜方法、清洁方法和成膜装置
US7416677B2 (en) * 2006-08-11 2008-08-26 Tokyo Electron Limited Exhaust assembly for plasma processing system and method
US20080115808A1 (en) * 2006-11-20 2008-05-22 Applied Materials, Inc. In-situ chamber cleaning for an rtp chamber
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
US20080190364A1 (en) * 2007-02-13 2008-08-14 Applied Materials, Inc. Substrate support assembly
US20080260946A1 (en) * 2007-04-20 2008-10-23 United Microelectronics Corp. Clean method for vapor deposition process
WO2008135948A1 (en) * 2007-05-03 2008-11-13 L'air Liquide-Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of cleaning stannane distribution system
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
JP2010093023A (ja) * 2008-10-07 2010-04-22 Hitachi Kokusai Electric Inc 半導体装置の製造方法
KR200475462Y1 (ko) * 2009-03-27 2014-12-03 램 리써치 코포레이션 플라즈마 처리 장치의 교체 가능한 상부 챔버 섹션
US8110889B2 (en) * 2009-04-28 2012-02-07 Applied Materials, Inc. MOCVD single chamber split process for LED manufacturing
KR200478069Y1 (ko) * 2009-09-10 2015-08-24 램 리써치 코포레이션 플라즈마 처리 장치의 교체가능한 상부 체임버 부품
WO2011082020A2 (en) * 2009-12-31 2011-07-07 Applied Materials, Inc. Shadow ring for modifying wafer edge and bevel deposition
US20110232567A1 (en) * 2010-03-25 2011-09-29 Tokyo Electron Limited Method of cleaning the filament and reactor's interior in facvd
US20120103970A1 (en) * 2010-05-13 2012-05-03 Applied Materials, Inc. Heater with independent center zone control
JP2012204644A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JP2013026265A (ja) * 2011-07-15 2013-02-04 Sony Corp プラズマ処理方法、プラズマ処理装置、及び、半導体装置の製造方法
US20130025786A1 (en) * 2011-07-28 2013-01-31 Vladislav Davidkovich Systems for and methods of controlling time-multiplexed deep reactive-ion etching processes
KR101769493B1 (ko) * 2011-12-23 2017-08-30 주식회사 원익아이피에스 기판처리장치 및 그를 가지는 기판처리시스템
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
DE102012101438B4 (de) * 2012-02-23 2023-07-13 Aixtron Se Verfahren zum Reinigen einer Prozesskammer eines CVD-Reaktors
CN102586753A (zh) * 2012-03-21 2012-07-18 中微半导体设备(上海)有限公司 Mocvd设备的清洁方法
KR102132427B1 (ko) 2012-09-07 2020-07-09 어플라이드 머티어리얼스, 인코포레이티드 멀티-챔버 진공 시스템 확인 내에서의 다공성 유전체, 폴리머-코팅된 기판들 및 에폭시의 통합 프로세싱
JP5944429B2 (ja) 2014-03-20 2016-07-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US9805963B2 (en) 2015-10-05 2017-10-31 Lam Research Corporation Electrostatic chuck with thermal choke
CN109790621A (zh) 2016-08-09 2019-05-21 辛古勒斯技术股份公司 用于使基板同时旋转和悬浮的非接触式基板载体
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US11211282B2 (en) 2018-06-15 2021-12-28 Applied Materials, Inc. Apparatus to reduce contamination in a plasma etching chamber
JP7126431B2 (ja) * 2018-11-30 2022-08-26 東京エレクトロン株式会社 シャワーヘッドおよびガス処理装置
US11587773B2 (en) 2019-05-24 2023-02-21 Applied Materials, Inc. Substrate pedestal for improved substrate processing
KR20210139368A (ko) * 2019-07-01 2021-11-22 엔지케이 인슐레이터 엘티디 샤프트를 갖는 세라믹 히터
US11913107B2 (en) 2019-11-08 2024-02-27 Applied Materials, Inc. Methods and apparatus for processing a substrate

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE787599A (fr) * 1971-08-16 1973-02-16 Battelle Memorial Institute Vitrage filtrant antisolaire et isolant thermique
JPS6388314A (ja) * 1986-09-30 1988-04-19 Toshiba Corp 動圧空気軸受
IT1198290B (it) * 1986-12-02 1988-12-21 Sgs Microelettronica Spa Metodo di decontaminazione di una camera utilizzata nei processi sotto vuoto di deposizione,attacco o crescita di films di elevata purezza,di particolare applicazione nella tecnologia dei semiconduttori
JPH02185977A (ja) * 1989-01-12 1990-07-20 Sanyo Electric Co Ltd 膜形成用真空装置
US5273609A (en) * 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
US5173327A (en) * 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
JP3137682B2 (ja) * 1991-08-12 2001-02-26 株式会社日立製作所 半導体装置の製造方法
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
JP2972506B2 (ja) * 1993-11-02 1999-11-08 日本電気株式会社 Ptを主成分とする合金のエッチング方法
DE69408405T2 (de) * 1993-11-11 1998-08-20 Nissin Electric Co Ltd Plasma-CVD-Verfahren und Vorrichtung
US5503676A (en) * 1994-09-19 1996-04-02 Lam Research Corporation Apparatus and method for magnetron in-situ cleaning of plasma reaction chamber
JP2924723B2 (ja) * 1995-08-16 1999-07-26 日本電気株式会社 ドライエッチング方法
US5753567A (en) * 1995-08-28 1998-05-19 Memc Electronic Materials, Inc. Cleaning of metallic contaminants from the surface of polycrystalline silicon with a halogen gas or plasma
US5814238A (en) * 1995-10-12 1998-09-29 Sandia Corporation Method for dry etching of transition metals
US5827437A (en) * 1996-05-17 1998-10-27 Lam Research Corporation Multi-step metallization etch
US5700741A (en) * 1996-05-20 1997-12-23 Vanguard International Semiconductor Corporation Plasma purge method for plasma process particle control

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100450846B1 (ko) * 2002-04-23 2004-10-01 아남반도체 주식회사 반도체 소자의 제조 방법
KR100829364B1 (ko) * 2002-12-14 2008-05-13 동부일렉트로닉스 주식회사 정전척 세정을 위한 공정 챔버 및 방법
KR100909448B1 (ko) * 2007-12-13 2009-07-28 한국표준과학연구원 코팅성능 평가장치
KR101101710B1 (ko) * 2009-12-02 2012-01-05 주식회사 테스 쉐도우 프레임 및 이를 갖는 공정 챔버
US10595365B2 (en) 2010-10-19 2020-03-17 Applied Materials, Inc. Chamber lid heater ring assembly
KR20210133989A (ko) * 2019-03-28 2021-11-08 교세라 가부시키가이샤 기체 구조체 및 웨이퍼 적재 장치
WO2023027342A1 (ko) * 2021-08-25 2023-03-02 피에스케이 주식회사 기판 처리 장치

Also Published As

Publication number Publication date
US5983906A (en) 1999-11-16
JPH10312976A (ja) 1998-11-24
EP0855453A1 (en) 1998-07-29
TW387094B (en) 2000-04-11
JP2971847B2 (ja) 1999-11-08

Similar Documents

Publication Publication Date Title
KR19980071010A (ko) 높은 온도, 부식 및 플라즈마 환경에서의 세척 처리 방법 및장치
US6051286A (en) High temperature, high deposition rate process and apparatus for depositing titanium layers
KR19980071011A (ko) 고온 및 고 흐름 속도의 화학적 기상 증착 장치 및 관련증착 방법
US5968379A (en) High temperature ceramic heater assembly with RF capability and related methods
US6189482B1 (en) High temperature, high flow rate chemical vapor deposition apparatus and related methods
US5994678A (en) Apparatus for ceramic pedestal and metal shaft assembly
JP4485681B2 (ja) 高周波能力を有する高温セラミックヒータ組立体
KR100696028B1 (ko) 고온 다층 합금 히터 어셈블리 및 관련 방법
US6271148B1 (en) Method for improved remote microwave plasma source for use with substrate processing system
EP0855452B1 (en) Process and apparatus for depositing titanium layers
US8075789B1 (en) Remote plasma cleaning source having reduced reactivity with a substrate processing chamber
KR100798552B1 (ko) 화학 기상 증착된 필름의 인-시츄 후증착 표면 부동태화 방법
KR101148431B1 (ko) Feol 제조를 위한 인시튜 세정 챔버
EP0818558B1 (en) Components peripheral to the pedestal in the gas flow path within a chemical vapor deposition chamber
KR20010043049A (ko) 텅스텐을 증착하기 위하여 기판 처리 장치에 이용되는개선된 히터
US20030066486A1 (en) Microwave heat shield for plasma chamber
KR20010032726A (ko) 현장 예비세정 단계를 포함하는 웨이퍼상의 티타늄화학기상증착
KR19980071012A (ko) 고온 및 고 증착율의 티타늄 막을 증착하기 위한 방법 및 장치
US20010042513A1 (en) Apparatus for improved remote microwave plasma source for use with substrate processing systems
WO2023200465A1 (en) Showerhead assembly with heated showerhead

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid