KR100696028B1 - 고온 다층 합금 히터 어셈블리 및 관련 방법 - Google Patents

고온 다층 합금 히터 어셈블리 및 관련 방법 Download PDF

Info

Publication number
KR100696028B1
KR100696028B1 KR1020067022227A KR20067022227A KR100696028B1 KR 100696028 B1 KR100696028 B1 KR 100696028B1 KR 1020067022227 A KR1020067022227 A KR 1020067022227A KR 20067022227 A KR20067022227 A KR 20067022227A KR 100696028 B1 KR100696028 B1 KR 100696028B1
Authority
KR
South Korea
Prior art keywords
heater
chamber
heater assembly
shell
inner core
Prior art date
Application number
KR1020067022227A
Other languages
English (en)
Other versions
KR20060114394A (ko
Inventor
탈렉스 사조토
레오니드 세리우틴
준 자오
스테판 볼프
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060114394A publication Critical patent/KR20060114394A/ko
Application granted granted Critical
Publication of KR100696028B1 publication Critical patent/KR100696028B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Resistance Heating (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 발명은 처리 챔버 내에서 기판을 적어도 700℃까지 가열하기 위한 시스템, 방법, 및 장치에 관한 것이다. 본 발명의 일 실시예에 의하면, 히터 어셈블리는 낮은 열전도성의 쉘 내에 내장된 높은 열전도성의 내부 코어를 구비하며, 쉘과 코어 사이에 등온선의(isothermal) 인터페이스를 형성한다. 내부 코어는 쉘에 납땜되어 있어서 열전달을 촉진하고, 쉘의 대향하는 면들 사이에서의 열적 쇼트(short)로서의 역할을 한다. 히터 어셈블리는 다층 히터 어셈블리의 다양한 컴포넌트들의 열 팽창계수 차이로부터 발생하는 열응력를 최소화하도록 설계된다. 본 발명의 한 실시예에서, 서로 독립적으로 전원이 공급되는 두 개의 가열 엘리먼트들은 이중-영역 히터를 형성하도록 서로 동심을 이루도록 배치된다. 내부 및 외부 가열 엘리먼트들 사이의 내부 코어에 있어서의 열적 갭(thermal gap)은 영역들을 분리하며 또한 우수한 열 균일성을 포함한 히터 표면에서의 더욱 용이한 제어가 가능한 온도 프로파일을 제공한다. 한 실시예에서, RF 절연체가 히터와 지지축 사이에 위치되어, 히터가 플라즈마 처리에서의 전극으로써 전력이 공급될 수 있도록 한다.

Description

고온 다층 합금 히터 어셈블리 및 관련 방법{A HIGH TEMPERATURE MULTI-LAYERED ALLOY HEATER ASSEMBLY AND RELATED METHODS}
도 1a는 증착 챔버의 단순화된 단면도를 포함하며, 본 발명을 따르는 증착 시스템의 일 실시예의 블록도이다.
도 1b는 본 발명의 증착 시스템을 제어할 수 있는 사용자 및 프로세서 사이의 인터페이스를 도시한다.
도 2a는 본 발명의 일 실시예를 따르는 증착 챔버의 단순 단면도이다.
도 2b는 리프트 핀 홀의 단순 단면도이다.
도 2c는 히터 어셈블리의 상부와 측면 상에 위치하는 차폐부의 단순 단면도이다.
도 3은 본 발명의 일 실시예를 따르는 시스템 제어 소프트웨어의 계층적 제어 구조에 관한 블록도이다.
도 4a는 본 발명의 일 실시예를 따르는 히터 어셈블리의 단순 단면도이다.
도 4b는 홈에 납땜된 가열 엘리먼트의 단순 단면도이다.
도 5는 본 발명의 일 실시예를 따르는 히터 어셈블리의 일 성분의 분해 조립도이다.
도 6은 가열 엘리먼트 홈, 리프트 핀 홀, 및 열 쵸크를 가진 내부 코어 멤버 의 상부도이다.
도 7은 열 차폐부의 일부를 도시한 단순 단면도이다.
도 8a는 히터 어셈블리와 히터 지지축 사이의 무선 주파수 절연체를 포함하는 본 발명의 일 실시예를 따르는 단순 단면도이다.
도 8b는 히터 어셈블리와 세라믹 RF 절연체 사이에 위치한 열 쵸크를 포함하는 본 발명의 다른 실시예의 단순 단면도이다.
도 8c는 본 발명의 일 실시예를 따르는 열 쵸크된 커플러와 클램프를 포함하는 커플러의 단순 단면도이다.
도 8d는 본 발명의 일 실시예를 따르는 본 발명의 열 쵸크된 커플러의 일 실시예의 단순화된 동일 치수의 도면이다.
도 9는 본 발명의 일 측면을 따라 제조된 장치의 단순 단면도이다.
도 10은 본 발명의 일 실시예를 따르는 히터 지지대의 온도 균일성에 대한 테스트 결과를 도시한다.
도 11은 세라믹 대 금속 밀봉으로 조립된 히터와 지지대의 단순 단면도이다.
본 출원은 "세라믹 받침대 및 금속 샤프트 어셈블리를 위한 장치"라는 제목으로 1997년 2월 12일자로 제출된 시리얼 넘버 08/798,004의 연속 분할출원이며, 그 명세서는 참조로 본 발명에 포함된다.
본 발명은 반도체 공정에 관한 것이다. 특히, 본 발명은 약 450℃ 이상의 온도에서 박막을 형성하는 방법 및 장치에 관한 것이다. 일부 특정 실시예에서, 본 발명은 화학 기상 증착(CVD) 공정으로, 약 625℃ 이상의 온도에서 티타늄, 질화 티타늄 및 티타늄 디실리사이드와 같은 티타늄 함유 박막을 형성하기 위하여 이용된다. 상기와 같은 박막은 패턴형 전도층, 전도층 사이의 플러그, 확산 장벽층, 접착층 및 규화물 형성을 위한 프리커서(precursor) 층으로서 이용될 수 있다. 또한, 다른 실시예는 예를 들어 물리적 기상 증착(PVD) 공정으로, 기판 물질을 합금하고 기판 물질을 어닐링하기 위하여 이용될 수 있다.
현대의 반도체 소자 제조의 주요 단계 중 하나는 반도체 기판 위에 유전층 및 금속층을 포함한 여러 가지 층을 형성하는 것이다. 잘 알려진 바와 같이, 이들 층은 화학 기상 증착(CVD) 또는 물리 기상 증착(PVD)에 의하여 증착될 수 있다. 종래의 열(thermal) CVD 공정에서는, 반응성 가스가 열 유도(heat-induced) 화학 반응(균질(homogeneous) 또는 비균질(heterogeneous))이 발생하는 기판 표면에 공급되어 원하는 박막을 형성한다. 종래의 플라즈마 CVD 공정에서는, 제어된 플라즈마가 형성되어 반응종(reactive species)을 분해하거나 그리고/또는 반응종에 에너지를 가하여(energize) 원하는 박막을 형성한다. 일반적으로, 열 공정 및 플라즈마 공정에서 반응 속도는 온도, 압력, 플라즈마 밀도, 반응가스 흐름 속도, 출력 주파수, 출력 레벨, 챔버의 물리적 형상 등에서 하나 이상을 제어함으로써 제어될 수 있다. PVD 시스템의 예에서, 타겟(증착될 물질의 플레이트)은 음 전압 공급장치(직류(DC) 또는 무선 주파수(RF))에 연결되고, 타겟과 접하는 기판 홀더는 접지 되거나, 플로팅되거나, 바이어스되거나, 가열되거나, 냉각되거나 또는 이들 중 몇 개가 한꺼번에 이루어진다. 아르곤과 같은 가스는 일반적으로 수 밀리토르(mtorr) 내지 약 100mtorr사이의 압력으로 유지되어 PVD 시스템으로 유입됨으로써, 글로우 방전이 시작되고 유지될 수 있는 매체를 제공하게 된다. 글로우 방전이 시작될 때, 양이온이 타겟에 부딪히고, 운동량의 전달에 의하여 타겟 원자가 제거된다. 이어서 이들 타겟 원자는 기판 홀더 위에 있는 기판상의 박막으로 집중된다.
반도체 소자 형상은 소자가 수십년 전에 최초로 소개된 이후에 그 사이즈가 상당히 감소되었다. 이후부터는 집적 회로는 칩 위에 장착되는 소자의 수는 매 2년마다 배로 증가한다는 2년/절반 사이즈 법칙(일명 무어의 법칙)을 따른다. 현재의 웨이퍼 제조 장치는 0.35㎛ 사이즈 소자를 제조하며, 미래의 장치는 곧 그보다 작은 사이즈를 가진 소자를 제조할 것이다. 소자 사이즈가 작아지고 집적도가 증가하기 때문에, 이전에는 중요하지 않았던 문제가 상당한 관심거리가 되었다. 예를 들어, 집적도가 높은 소자일수록 높은 애스펙트 비(aspect ratio)(예를 들어, 약 3:1 또는 4:1)의 피쳐를 가진다. (애스펙트 비는 두 인접 스텝의 높이 대 간격으로 정의된다). 많은 애플리케이션에서, 갭과 같은 높은 애스펙트 비 피쳐는 증착층으로 적절하게 채워질 필요가 있다.
현대식 소자들의 제조를 위해서는 이들 고집적 소자를 제조하기 위한 공정에 대한 요구조건이 점점 엄격해질 필요가 있으며, 종래의 기판 처리 시스템은 이들 요구조건을 충분히 만족시키지 못하게 되었다. 또한, 소자 설계가 발전함에 따라, 이들 소자를 구현하기 위하여 필요한 물질로 된 박막을 증착하는데 이용되는 기판 처리 시스템에 더욱 향상된 처리 성능이 요구되고 있다. 예를 들어, 집적 회로 제조 공정에 티타늄이 점점 더 많이 이용되고 있다. 티타늄은 반도체 소자에 이용하기에 적합한 여러 가지 성질을 가진다. 티타늄은 예를 들어 금접합패드(gold bonding pad)와 반도체 사이의 확산 장벽으로서 작용하여 한 종류의 원자가 다음 종류의 원자로 이동하는 것을 방지할 수 있다. 또한, 티타늄은 실리콘과 알루미늄 사이와 같이 두 층 사이에서의 접합을 향상시키기 위하여 사용될 수 있다. 또한, 실리콘과 합금되어 티타늄 디실리사이드(실리사이드)를 형성하는 티타늄의 이용은 예를 들어 저항성 콘택의 형성을 가능하게 한다. 상기와 같은 티타늄 박막을 증착하기 위하여 이용된 일반적인 형태의 증착 시스템은 티타늄 스퍼터링 증착 시스템인데, 상기 시스템은 고도의 공정 및 제조 조건이 요구될 경우 소자 형성에 적합하지 않다. 특히, 티타늄 스퍼터링 시스템은 스퍼터링 시 발생하는 쉐도우 효과(shadowing effect) 때문에 높은 애스펙트 비의 갭에서 균일한 등각 층을 증착할 수 없을 수 있다. 스퍼터링 시스템에 비하여, 플라즈마 보강 화학 기상 증착(PECVD) 시스템은 높은 애스펙트 비 갭을 가진 기판 위에 티타늄 박막을 형성하기에 적합할 수 있다. 공지된 바와 같이, 이온과 가스 분자의 혼합체인 플라즈마는 예를 들어 적절한 챔버 압력, 온도, RF전력 등과 같은 적합한 환경하의 증착 챔버에서 무선 주파수(RF) 에너지와 같은 에너지를 공정 가스에 공급함에 의해 형성될 수 있다. 플라즈마는 임계 밀도에 도달하면 자기 유지 상태(self-sustaining condition)를 형성하는데, 자기 유지 상태는 글로우 방전을 형성(또는 플라즈마를 점화한다고도 함)하는 것으로 알려져 있다. 이 RF 에너지가 공정 가스의 분자의 에너지 상태를 상승시키고 분자로부터 이온을 형성한다. 에너지가 가해진 분자 및 이온은 모두 일반적으로 공정 가스보다 반응성이 높으며, 따라서 원하는 막을 형성하기에 적합하다. 바람직하게, 플라즈마는 또한 티타늄 막이 형성될 때 기판 표면에 대해 반응성 가스의 이동도를 개선시켜서 우수한 갭 충진 능력을 가지는 막을 형성한다.
그러나 알루미늄 히터를 이용하는 종래 PECVD 시스템은 예를 들어 티타늄 테트라클로라이드(TiCl4)의 증기로부터 티타늄 막을 형성하는 것과 같은 특정 공정들에 이용될 때 어떤 한계를 가질 수 있다. 알루미늄 부식, 온도 한계, 원치 않는 증착 및 제조 효율은 티타늄과 같은 막을 증착시키는데 이용될 수 있는 종래 PECVD 시스템이 가진 몇 가지 문제점들이다.
예시적 공정에서, 상온에서 액체인 티타늄 테트라클로라이드 및 상기 액체를 통과하여 거품을 형성하는 헬륨과 같은 캐리어 가스는 증착 챔버로 전달될 수 있는 증기를 발생시킨다. 약 600℃의 기판온도에서, 이 공정은 약 100Å/min의 증착 속도로 티타늄층을 증착한다. 증착 속도를 증가시키는 것이 바람직한데, 이를 위한 하나의 방법은 기판의 온도를 증가시키는 것이다.
그러나 티타늄 테트라클로라이드가 티타늄 막을 형성하기 위하여 분리될 때, 염소가 챔버로 방출된다. 특히, 티타늄 막 증착을 향상시키는 플라즈마는 염소 원자 및 이온을 발생시키는데, 이는 바람직하지 못하게 상기 조건하에서 알루미늄 히터를 부식시키는 경향이 있다. 알루미늄 부식은 히터에 손상을 가할 뿐 아니라, 소자에서의 금속 오염 및 입자 생성과 관련된 처리 저하 문제를 야기할 수 있다.
알루미늄 히터는 염소로부터의 부식에 민감할 뿐 아니라 일반적으로 동작 온도가 약 480℃ 미만으로 제한된다. 알루미늄은 고온에서 동작하는 히터로서 적합한 물질이 아닌데, 이는 약 480℃보다 더 높은 온도에서 알루미늄 히터가 약화(soften)되어 히터에 왜곡 및/또는 손상이 발생하기 때문이다. 플라즈마의 존재 하에서 약 480℃ 이상의 온도에서 알루미늄 히터가 사용될 때, 부가적인 문제점이 발생한다. 이와 같은 환경에서는, 알루미늄은 백스퍼터링되어, 기판 및 챔버 컴포넌트를 오염시킬 수 있다. 또한, 일부 증착 공정과 관련된 일부 화학 물질(예를 들어, 티타늄 증착 공정에서 생성된 염소 화합물)과 저온에서조차 양립할 수 없는 알루미늄 히터는 고온에서 상당히 큰 손상을 겪게 된다. 건식 세정 공정에 이용된 염소와 같은 화학물질 역시 알루미늄 히터에 손상을 준다. 약 480℃ 이상의 온도에서, 이들 화학 물질은 저온에서보다 알루미늄 히터를 상당히 손상시키고 부식시켜, 히터의 수명을 감소시키고 따라서 히터를 빈번하게 교환하도록 한다. 히터가 교환되는 시간 동안 증착 챔버의 생산적인 사용이 손실될 뿐만 아니라 히터가 비싸기 때문에 히터를 교환하는 것은 비용이 많이 든다.
알루미늄 부식, 히터 약화 및 온도 제한 이외에, PECVD 공정 시스템에서 금속 증착과 관련된 문제는 원치 않는 금속 증착 및 관련된 제조 효율 문제를 포함한다. 가장 우수한 박막 증착은 온도가 가장 높은 장소에서 발생하지만, 일부 증착은 플라즈마조차 없는 저온에서 발생할 것이다. 원치 않는 금속 증착은 균일하지 않은 증착, 아크 방전(arcing), 챔버 컴포넌트의 작동 저하 및/또는 소자 결함과 같은 여러 가지 문제를 야기할 수 있다. 게다가 원치 않는 금속 증착은 챔버벽 및 바닥면에 발생할 뿐만 아니라, 증착 챔버 또는 챔버 배출 경로 내의 세라믹 스페이서 및 라이너와 같이, 나중에 전도성이 되는 비전도성 컴포넌트에서 발생할 수 있다. 이들 원치 않는 전도성 금속 증착은 글로우 방전 형성을 중단시켜 기판 전체에 불균일한 증착을 야기할 수 있다. 이는 또한 아크 방전을 발생시킬 수 있으며, 이는 기판 또는 RF 전력 공급기를 손상시킬 수 있다. 또한, 티타늄은 히터 일부 상에서 가스 또는 진공 개구에 형성되어 상기 개구를 통한 가스의 흐름을 방해하거나 또는 정밀한 공차를 가진 기계 부분 위에 형성되어 이들의 동작을 방해할 수 있다. 하부의 챔버 컴포넌트에 약하게 결합되거나 히터 위에 형성된 원치 않는 증착은 기판 위에 떨어져서 기판 위에 결합을 야기하는 파편 및 그 외의 입자를 발생시키며, 따라서 기판 수율을 감소시킬 수 있다. 이러한 이유 및 다른 이유들 때문에, 챔버는 주기적으로 세정되여야 한다. 이는 챔버를 적어도 부분적으로 해체하고 닦아낼 것이 요구한다. 순차적으로, 챔버는 재조립되어야 하고 "길들여(seasoned)"져야한다. 즉, 일관성있는 층이 얻어질 때까지 다수의 증착 사이클이 수행되어야 한다. 두 가지 과정 중에는 증착 시스템이 제품을 생산하지 못하며, 이는 비효율적이고 비경제적이다.
세라믹 히터가 임의의 응용예에서 알루미늄 히터 대신 제안되었지만, 세라믹 히터의 조립 및 이의 증착 공정에서의 사용은 몇 가지 문제를 야기하였다. 세라믹 히터는 일반적으로 알루미나(Al2O3) 또는 질화 알루미늄(AlN)으로 만들어진 세라믹 히터 몸체 내에 전기 가열 엘리먼트를 가지는데, 히터 몸체는 가열 엘리먼트로부터 기판에 열이 전달될 때 증착 챔버의 부식성 환경으로부터 가열 엘리먼트를 보호한다. 일반적으로 금속보다 강하고 다루기 어려운 세라믹 물질은 가공하기 곤란하며, 따라서 간단한 기계적 모양을 요구한다. 깨지기 쉽기 때문에 세라믹은 만약 충분한 열을 반복적으로 받는다면 열 충격에 의하여 갈라질 수 있다. 갈라짐은 세라믹 히터 어셈블리로부터 다른 열 확산 계수를 가진 물질로의 전이에 의하여 상이한 열 확산에 의하여 발생할 수 있다. 동일한 물질로 제조된 세라믹 부분을 결합하더라도 문제가 발생할 수 있는데, 이는 용접 볼트 결합, 납땜 및 스크루 결합과 같은 금속 부분을 결합하기 위하여 이용되는 많은 어셈블리 방법 및 장치는 세라믹 컴포넌트들에 적용하는 것이 어렵거나 신뢰할 수 없기 때문이다.
온도 균일성은 기판처리 시스템에서 고온으로 동작되는 히터와 관련되어 있다. 공지된 바와 같이, 증착 및 에칭률은 기판의 온도에 의해 영향을 받는다. 따라서, 기판을 고정하는 히터 표면 전체의 온도차이는 다른 증착 또는 에칭률을 야기할 수 있다. 종래의 히터는 기판에 열을 균일하게 분배하지 못한다. 이러한 문제점은 열적 기울기가 더 큰 고온에서 더욱 확실해질 수 있다.
상기와 같은 측면에서, 고온(적어도 약 400℃ 이상)의 환경에서 막의 효율적인 플라즈마 강화 증착을 위한 개선된 방법, 시스템 및 장치가 요구된다. 최상의 상태로, 이들 개선된 방법 및 장치는 챔버 세정의 필요성이 적으며 따라서 기판 생산율이 높을 것을 요구한다. 특히, 이들 시스템 및 방법은 높은 애스펙트 비 특성을 가진 소자를 형성하기 위한 공정 요구 조건과 호환될 수 있도록 설계되어야 한 다.
본 발명은 히터 어셈블리의 상부 및 하부면 사이에 대칭적으로 배치되는 저항성 가열 엘리먼트를 가진 히터 어셈블리를 포함하는 기판 처리시스템을 제공한다. 히터 어셈블리는 동작 및 처리 환경의 목적 온도에 적합한 제 1 금속으로 이루어진 외부 쉘 및 가열 엘리먼트와 히터 어셈블리의 표면 사이의 우수한 열적 커플링을 제공하는 제 2 금속의 내부 코어를 가진다. 열적 커플링으로 결합되는 히터의 대칭성으로 인해 히터는 히터 어셈블리의 과도한 열변형 없이 400℃ 이상에서 매우 균일한 가열을 제공할 수 있다.
특정 실시예에서, 가열 엘리먼트는 히터의 표면에 대한 온도 프로파일을 조절하도록 독립적으로 제어될 수 있는 내부 루프와 외부 루프를 포함한다. 내부 루프와 외부 루프 사이의 내부 코어의 열적 갭으로 인해 내부 코어의 각 부분들 사이에는 열적 분리(isolation)가 제공된다.
다른 실시예에서, 절연체(isolator)는 히터 어셈블리가 플라즈마 공정에서 전극으로 사용될 수 있도록 히터 어셈블리의 지지축에 제공된다. 세라믹 절연체는 세라믹 절연체를 균열시키지 않는 인장 암 및 캔틸레버식 클램프와 함께 히터 어셈블리의 축에 부착되며, 사용하는 동안 발생하는 서로 다른 열팽창을 계산하게 된다. 선택적인 실시예에서, 세라믹 절연체는 유리-대-금속 밀봉으로 축에 부착되므로, 내가스성(gas-proof) 축을 제공할 수 있다.
본 발명의 이러한 실시예들 및 다른 실시예들과 그 장점 및 특징들은 첨부된 도면들과 함께 이하에서 더 상세히 설명된다.
Ⅰ. CVD 리엑터 시스템
A. 예시적인 CVD 리엑터의 개요
본 발명의 실시예들은 약 400℃ 이상의 온도에서 티타늄 막과 같은 막을 증착하기 위해 사용되는 시스템, 방법 및 장치와 이와 관련된 세정 공정이다. 물론, 이하에 기술되는 시스템, 방법 및 장치는 티타늄 막과 더불어, 티타늄 실리사이드, 티타늄 질화물, 바륨 스트론튬 티타네이트(BST), 납 지르코네이트 티타네이트(PZT), 폴리실리콘, 금속 실리사이드, 텅스텐 질화물과 같은 금속 질화물 배리어와 같은 다른 막을 증착하는데 사용될 수 있다. 이러한 막은 금속층, 부착층, 비아(via) 플러그 또는 다른 층들을 형성하는데 사용될 수 있다.
도 1a를 참조하면, CVD 시스템(10)은 가스 전달 시스템(89)으로부터 가스 라인(92A-C)(다른 라인이 존재하나 도시되지 않음)을 통해 가스를 수신하는 리엑터 챔버(30)를 포함한다. 진공 챔버(88)는 챔버 내에 특정 압력을 유지하여 챔버로부터 가스 부산물 및 소모 가스를 제거하기 위하여 사용된다. RF 전력 공급기(5)는 플라즈마 강화 처리를 위한 챔버에 무선 주파수 전력을 제공한다. 열교환 시스템(6)은 리엑터 챔버로부터 열을 제거하여 필요하다면 챔버의 가열부 또는 챔버의 임의의 부분을 적절히 냉각시켜서 안정한 처리 온도를 위한 챔버 온도로 유지하기 위하여 물 또는 물-그리콜 혼합물과 같은 액체 열 전달 매체를 사용한다. 프로세서(85)는 제어라인(3, 3A-3D)(및 도시되지 않은 다른 제어라인들)을 통해 메모리(86) 내에 저장된 명령어들에 따라 챔버 및 서브-시스템의 동작을 제어한다.
프로세서(85)는 시스템 제어 소프트웨어를 실행하며, 이 소프트웨어는 프로세서(85)에 접속된 메모리(86)에 저장된 컴퓨터 프로그램이다. 바람직하게, 메모리(86)는 하드 디스크 드라이브일 수 있으나, 물론 메모리(86)는 다른 종류의 메모리일 수도 있다. 하드 디스크 드라이브(예를 들어, 메모리(86)) 외에, 바람직한 실시예에 있어서의 CVD 장치(10)는 플로피 디스크 드라이브 및 카드 래크(card rack)를 포함한다. 프로세서(85)는 시스템 제어 소프트웨어의 제어하에서 동작하며, 이 시스템 제어 소프트웨어는 타이밍, 가스 혼합물, 가스 흐름, 챔버 압력, 챔버 온도, RF 전력 레벨, 히터 받침대(pedestal) 위치, 히터 온도 및 특정 처리의 다른 파라미터를 명령하는 명령 세트를 포함한다. 디스크 드라이브 및 다른 적절한 드라이브에 삽입된 플로피 디스크 또는 다른 컴퓨터 프로그램 제품을 포함하는 다른 메모리에 저장된 것과 같은 다른 컴퓨터 프로그램은 프로세서(85)를 동작시키기 위하여 사용될 수 있다. 시스템 제어 소프트웨어는 이하에서 더 상세히 기술될 것이다. 카드 래크는 단일 보드 컴퓨터, 아날로그 및 디지털 입력/출력 보드, 인터페이스 보드 및 스텝모터 컨트롤러 보드를 포함한다. CVD 장치(10)의 여러 부분은 보드, 카드 케이지 및 커넥터 크기 및 형태를 한정하는 베사 모듈러 유럽(VME) 표준에 따른다. VME 표준은 또한 16-비트 데이터 버스 및 24-비트 어드레스 버스를 가진 버스 구조를 형성한다.
사용자 및 프로세서(85) 사이의 인터페이스는 다중 챔버 시스템에서 챔버 중 하나로 도시되는 CVD 장치(10)와 함께 사용되는 시스템 모니터의 개략도로서, 도 1b에 도시된 CRT 모니터(93a) 및 라이트 펜(93b)이다. CVD 장치(10)는 장치(10)에 대한 전기 배관 및 다른 지지 기능을 제공하는 메인프레임 유니트(95)에 바람직하게 부착된다. 도시된 CVD 장치(10)의 실시예에 적합한 예시적인 메인프레임 유니트는 캘리포니아 산타클라라에 위치한 어플라이드 머티어리얼스 사에 의해 상표명 Precision 5000 및 Centura 5200 시스템으로 현재 시판된다. 다중 챔버 시스템은 진공을 파괴시키지 않고 다중 챔버 시스템 외부의 습기 또는 다른 오염물에 웨이퍼를 노출시키지 않고 챔버 사이에 웨이퍼를 전달하는 능력을 가진다. 다중 챔버 시스템의 장점은 다중 챔버 시스템 중 여러 챔버가 전체 공정에서 여러 목적을 위해 사용될 수 있다는 것이다. 예를 들어, 하나의 챔버는 금속 막의 증착을 위해 사용될 수 있으며, 다른 챔버는 빠른 열처리를 위해 사용될 수 있으며, 또 다른 챔버는 반사-방지 층을 증착하는데 사용될 수 있다. 상기 처리는 다중 챔버 시스템 내에서 계속되어, 여러 다른 처리를 위한 여러 개별 챔버(다중 챔버 시스템에 존재하지 않음) 사이에서 웨이퍼를 전달할 때 종종 발생하는 웨이퍼의 오염을 방지한다.
바람직한 실시예에 있어서, 두 개의 모니터(93a)가 사용되는데, 두 개의 모니터중 한 모니터는 클린룸 벽 내에 장착되며 다른 모니터는 서비스 기술자를 위해 벽 뒤에 배치된다. 양 모니터(93a)는 동시에 동일한 정보를 디스플레이하나, 단지 하나의 라이트 펜만이 사용될 수 있다. 라이트 펜(93b)은 펜의 팁에 있는 광 센서에 의해 CRT 디스플레이에 의해 방사된 광을 검출한다. 특정 스크린 또는 기능을 선택하기 위하여, 오퍼레이터는 디스플레이 스크린의 지정된 영역을 접촉한 다음 펜(93B)상의 버튼을 누른다. 접촉된 영역은 가장 밝은 색을 변화시키거나, 새로운 메뉴 또는 스크린은 라이트 펜 및 디스플레이 스크린 사이의 통신이 확인되면서 디스플레이된다. 물론, 키보드, 마우스 또는 다른 포인팅 또는 통신 장치와 같은 다른 장치는 사용자가 프로세서(85)와 통신할 수 있도록 라이트 펜(93b) 대신에 사용될 수 있다.
도 1a를 참조하면, 가스 전달 시스템(89)은 특정 응용을 위해 사용되는 원하는 처리들에 따라 변할 수 있는 가스 또는 액체를 포함하는, 가스 또는 액체 소스(91A-C)(추가 소스는 필요에 따라 부가될 수 있다)와 가스공급 패널(90)을 포함한다. 액체 소스는 상온에서의 변화로 인한 소스 온도 편차를 최소화하기 위해서 상온 이상의 온도로 유지될 수 있다. 가스공급 패널(90)은 소스(91A-C)로부터 증착 처리 및 캐리어 가스(또는 기화된 액체)를 수신하고 혼합하여 공급라인(92A-C)을 통해 가스공급 커버판(45)의 중앙 가스 인입구(44)에 전달하는 혼합 시스템을 가진다. 액체 소스는 챔버 이상의 압력으로 증기를 제공하도록 가열되거나, He, Ar, 또는 N2와 같은 캐리어 가스가 액체(또는 가열된 액체)를 통해 증기를 형성하도록 버블(bubble)될 수 있다. 일반적으로, 각각의 처리가스를 위한 공급라인은 처리가스의 흐름을 자동 또는 수동으로 차단하는데 사용될 수 있는 차단 밸브(도시 안됨)와, 각각의 공급라인을 통해 가스 또는 액체의 흐름을 측정하는 매스 흐름 제어기(도시 안됨)를 포함한다. 유독 가스(예를 들어, 오존 또는 할로겐화 가스)가 처리에 사용되는 경우에, 수개의 차단 밸브가 통상의 주조의 각각의 가스 공급 라인 상에 배치될 수 있다. 예를 들어, 티타늄 테트라클로라이드(TiCl4) 증기, 헬륨(He), 아르곤 및 질소(N2), 및/또는 다른 도펀트 또는 반응 소스들을 포함하는 증착 및 캐리어 가스들이 반응 챔버(30)에 공급되는 비율은 액체 또는 가스 질량 흐름 제어기(MFC)(도시안됨) 및/또는 밸브(도시안됨)에 의해 제어된다. 일부 실시예에서, 가스 혼합 시스템(미도시)은 반응성 액체들을 기화시키기 위한 액체 주입 시스템을 포함한다. 일부 실시예에서, 버블러(bubbler) 타입 소스와 비교하여 가스 혼합 시스템에 주입된 반응성 액체의 부피를 보다 더 잘 제어할 수 있기 때문에 액체 주입 시스템이 바람직하다. 다음, 기화된 가스는 공급 라인에 전송되기 전에 가스 패널에서 헬륨과 같은 캐리어 가스와 혼합된다. 물론, 다른 화합물들이 증착 소스들로서 사용될 수도 있다.
열교환 시스템(6)은 고온 처리 동안 챔버(30)의 여러 컴포넌트들을 냉각하기 위하여 챔버(30)의 여러 컴포넌트들에 냉각제(coolant)를 전달한다. 상기 시스템(6)은 고온 처리에 기인한 이들 컴포넌트들 상의 원치 않는 증착을 최소화하기 위하여 이들 챔버 컴포넌트들의 온도를 감소시키도록 작용한다. 열교환 시스템(6)은 면판(40)(이하에 언급됨)을 포함하는 가스분배 시스템에 냉각제를 전달하기 위하여 냉각제 매니폴드(도시안됨)를 통해 냉각수를 공급하는 접속부(도시안됨)를 포함한다. 물 흐름 검출기는 열 교환기(도시안됨)로부터 외장 어셈블리로의 물 흐름을 검출한다.
저항성 가열 받침대(32)는 웨이퍼 포켓(34) 내에서 웨이퍼(36)를 지지한다. 받침대(32)는 처리 위치(도시됨) 및 하부 로딩 위치(미도시) 사이에서 수직으로 이 동될 수 있다. "향상된 자체-정렬 리프트 메커니즘"이라는 제목으로 공동 출원된 미국 특허 출원번호 제 08/892,612호(1997년 7월 14에 출원되고 Leonid Selyutin, Talex Sajoto 및 Jun Zhao에 의해 발명됨)에 상세히 기술되는 자체 조절 메커니즘을 사용하며, 그 명세서는 참조로 본 발명에 포함될 수 있다. 리프트 핀(38; 하나만이 도시되어 있음)은 받침대(32) 내에서 슬라이딩 가능하나 그들의 상 단들 상의 원뿔형 헤드들에 의해 하강이 방지된다. 리프트 핀(38)의 하단들은 수직으로 이동가능한 리프트 링(39)과 결합되므로, 받침대의 표면 위로 상승될 수 있다. 하부 로딩 위치(삽입/제거 개구부(56)보다 약간 낮은)의 받침대(32)에서, 리프트 핀 및 리프트 링과 연동되는 로봇 블레이드(도시안됨)는 삽입/제거 개구부(56)를 통해 챔버(30) 내에 웨이퍼(36)를 전달하고 챔버(30)로부터 웨이퍼(36)를 제거하며, 상기 삽입/제거 개구부(56)는 챔버 내외로의 가스의 흐름을 막기 위해 진공 밀봉될 수 있다. 리프트 핀(38)은 로봇 블레이드로부터 삽입된 웨이퍼(도시안됨)를 상승시키며, 받침대는 리프트 핀으로부터 받침대의 상부면 상에 있는 웨이퍼 포켓 상으로 웨이퍼를 상승시킨다. 적절한 로봇형 전달 어셈블리는 Maydan에 의해 공동 출원된 미국 특허 제 4,951,601호에 기재되어 있으며, 그 전체 명세서는 참조로 본 발명에 포함될 수 있다.
받침대(32)는 웨이퍼를 가스 분배 면판(이하 샤워헤드라 함)(40)에 근접해 있는 처리위치로 상승시키며, 처리가스를 처리영역(58)에 분사하는 다수의 홀 또는 통로(42)를 포함한다. 처리가스는 가스공급 커버판(45)의 중앙 가스 인입구(44)를 통해 챔버(30) 내에 주입되어 제 1 디스크형 매니폴드(48)로 흐르고, 방해판(또는 차단판)(62)의 통로들(50)을 통해 제 2 디스크형 매니폴드(54)로 흐른다.
화살표로 표시된 바와 같이, 처리가스는 샤워헤드(40)의 홀(42)들로부터 샤워헤드 및 받침대 사이의 처리영역(58)으로 분사되어 웨이퍼(36)의 표면에서 반응한다. 처리가스 부산물은 웨이퍼(36)의 에지에 대해 외부 방향으로 방사상으로 흐르게 된다. 그 다음에, 처리가스는 환형 절연체(62)의 하부 및 챔버 벽 라이너 어셈블리(53)의 상부 사이에 형성된 쵸크 개구(61)를 통해 펌핑 채널(60)로 흐르게 된다. 펌핑 채널(60)로 흐를 때, 배출 가스는 진공 펌프(82)에 의해 진공되는 처리 챔버의 주변으로 전달된다. 펌핑 채널(60)은 배출 개구(74)를 통해 펌핑 플레넘(76)에 접속된다. 이하에서 더 상세히 기술된 바와 같이, 배출 개구(74)는 펌핑 채널 및 펌핑 플레넘(plenum) 사이의 흐름을 제한한다. 밸브(78)는 배기 개구(80)에서 진공펌프(82)로의 통로이다. 시스템 제어기(이 도면에서 도시안됨)는 메모리에 저장되거나 제어 프로그램에 따라 발생되는 적절한 값과 압력계와 같은 압력 센서(도시안됨)로부터의 측정 신호를 비교하는 메모리에 저장된 압력 제어 프로그램에 따라 스로틀 밸브(83)를 제어한다.
도 2a를 참조하면, 환형 펌핑 채널(60)의 측면은 일반적으로 세라믹 링(64), 챔버 리드 라이너(70), 챔버 벽 라이너(72) 및 절연체(52)에 의해 한정된다. 세라믹 챔버 라이너는 Robertson 등에 의해 공동 출원된 미국 특허 제 5,366,585호에 개시된 바와 같이 공지되어 있고, 그 명세서는 참조로 본 발명에 포함될 수 있다. 챔버 리드 라이너(70)는 리드 림(66)과 접하는 펌핑 채널(60)의 측면 상에 배치되며 리드의 형상을 따른다. 챔버 벽 라이너(72)는 주 챔버 몸체(76)와 맞선 펌핑 채널(60)의 측면 상에 배치된다. 상기 두 개의 라이너들은 바람직하게 알루미늄과 같은 금속으로 만들어지며, 임의의 막의 부착을 증가시키도록 분사되는 비드(bead)일 수 있다. 리드 및 벽 챔버 라이너(70, 72)는 한 세트로서 크기가 정해진다. 챔버 리드 라이너(70)는 리드 라이너를 리드 림에 전기적으로 접속하는 다수의 핀(75)에 의해 리드 림(66)에 부착 가능하게 고정된다. 그러나 챔버 벽 라이너(72)는 세라믹 링(64)의 외부 상부 상에 형성된 레지(65) 상에 지지되며, 방사 갭(73)이 챔버 벽 라이너(72) 및 주챔버 몸체(76) 사이에 형성되고 축의 갭(75)이 리드 및 챔버 라이너들 사이에 형성되는 직경을 갖도록 정밀하게 형성된다.
쵸크 개구(61)는 샤워헤드(40) 및 웨이퍼(36) 사이의 처리영역(58)의 깊이보다 대체로 더 좁은 폭을 가지며, 예를 들어 적어도 5의 인자만큼 원주 펌핑 채널(60)의 최소 측면 크기보다 사실상 작다. 동작 압력 및 가스 흐름에서 충분한 공기 저항을 만들기 위해 쵸크 개구(61)의 폭은 충분히 작게 만들어지고 길이는 충분히 길게 만들어져서, 쵸크 개구(61)에서의 압력 강하는 웨이퍼 반경 또는 환형 펌핑 채널의 주변에서의 전압 강하보다 사실상 크다. 실제로, 펌핑 챔버 내에 있는 웨이퍼의 중앙으로부터의 압력 강하가 펌핑 채널 내의 주변 압력강하의 10% 이하이도록 쵸크 개구(61)가 충분한 공기 임피던스를 유입하는 것은 통상적이지 않다. 압축된 배출 개구(74)는 공기역학 임피던스를 생성하고 원주 펌핑 채널(60) 둘레에 거의 균일한 압력을 발생시킴으로써 쵸크 개구의 기능과 유사한 기능을 수행한다.
모터 및 광학 센서(도시안됨)는 스로틀 밸브(83) 및 받침대(32)와 같은 이동가능한 기계적인 어셈블리의 위치를 이동하고 결정하기 위하여 사용된다. 받침대 (32) 및 챔버 몸체(76)에 부착된 벨로즈(도시안됨)는 받침대 주위에 이동가능한 가스 밀봉부를 형성한다. 광학 원격 플라즈마 시스템(4)(예를 들어 마이크로파 소스를 사용하여 형성된 원격 플라즈마를 사용하는 챔버 세정 능력을 제공하기 위하여 사용될 수 있음)을 포함하는 플라즈마 시스템, 받침대 리프트 시스템, 모터 및 게이트 밸브와 다른 시스템 컴포넌트들은 일부만이 도시된 제어 라인(3, 3A-D) 상에서 프로세서(85)에 의해 제어된다.
도 2a는 예시적인 챔버의 다른 부가적인 특징을 나타낸다. 받침대(32)는 히터 어셈블리(33)와 지지축(25)을 포함한다. 다층 열 차폐부(31)가 히터 어셈블리의 하부에 볼트 조립되어 히터 어셈블리 측면과 저면에서의 열 손실을 감소시킨다. 히터 어셈블리(33)는 내부 코어(12)와 외부 쉘(13)을 포함한다. 내부 코어는 쉘을 제조하는데 사용하는 금속보다 높은 열 전도율을 갖는 금속으로 제조된다. 이러한 구조는 적어도 두 개의 목적을 갖도록 기능한다. 먼저, 내부 코어는 코어-쉘 경계를 따라 열을 확산시켜서 쉘 표면에서의 열 균일성을 향상시킨다. 둘째로, 내부 코어는 이하에서 상세히 설명하는 바와 같이 대향하는 쉘 면과 열적으로 단락시켜서, 히터 어셈블리의 휘어짐(warpage)을 감소시킨다. 다중(예를 들어 특정 실시예에서는 두 개) 저항성 가열 엘리먼트, 외부 가열 엘리먼트(14A) 및 내부 가열 엘리먼트(14B)는 내부 코어의 중심면에 배치되나, 본 발명의 이점은 단일 가열 엘리먼트가 사용되는 경우에도 얻어질 수 있다. 리프트 핀(38)은 리프트 핀 홀 라이너(37)로 라이닝되는 리프트 핀 홀(20)에 위치한다. 특정 실시예에서, 리프트 핀(38)과 리프트 핀 홀 라이너(37)는 금속 컴포넌트들과 비교하여 고온에서의 마찰과 마모를 감소시키고 또한 입자 발생을 감소시키기 위해서 세라믹 물질로 만들어진다.
도 2b에 도시된 바와 같이, 리프트 핀 홀 라이너는 쉘의 홈에 물리는 C-링(21)에 의해 배치되어 고정된다. 리프트 핀 홀 갭(22)이 내부 코어와 쉘 사이의 상이한 열 팽창을 고려하여 리프트 핀 홀 주변에 위치한다. 통상 쉘과 동일한 금속으로 만들어지는 리프트 핀 홀 슬리브(23)는 리프트 핀 홀의 쉘 내부에서 내부 코어를 밀봉한다. 히터 어셈블리(33)는 이하에서 상세히 설명된다.
도 2c는 히터 어셈블리의 꼭대기 및 측면 상에 장착된 차폐부(84)를 갖는 히터 어셈블리(33)의 개략적인 단면도이다. 차폐판은 플라즈마 처리 또는 세정하는 동안, 또는 부식 처리 환경들로부터 히터 쉘을 보호하도록 커버판을 시뮬레이션하거나, 백스퍼터링에 기인한 오염을 감소시키도록 사용될 수 있다. 상기 차폐부는 일반적으로 히터 쉘이 예를 들어, 쉘 물질과 다른 부식 저항을 원한다면 알루미늄 질화물 또는 용융 실리카로 만들어지고 또는 차폐 물질의 다른 선택이 챔버 또는 웨이퍼 오염을 더 적게 유출시킨다면 다른 물질로 만들어질 수 있는 그 물질과는 다른 물질이다.
전술한 CVD 장치의 일부 특징은 산타 클라라의 어플라이드 머티어리얼스에 의해 공동 출원된 미국특허출원 제 08/348,273호(1994년 11월 30일 출원되고 자오 등이 발명자임)에 상세히 설명된 예시적인 CVD 챔버와 동일하며, 그 명세서는 참조로 본 발명에 포함된다. 본 발명에 따른 CVD 장치의 다른 특징들은 이하에 상세히 설명된다.
B. 시스템 제어
막을 증착하고 챔버를 건식 세정하기 위한 처리들은 프로세서(85)(도 1a)에 의하여 수행되는 컴퓨터 프로그램 제품을 이용하여 구현될 수 있다. 컴퓨터 프로그램 코드는 예를 들어 68000어셈블리 언어, C, C++, 파스칼, 포트란 등과 같은 종래 컴퓨터 판독가능 프로그래밍 언어로 기록될 수 있다. 적합한 프로그램 코드는 종래 텍스트 에디터를 이용하여 단일 파일 또는 다중 파일로 입력되며 컴퓨터 메모리 시스템과 같은 컴퓨터 사용가능 매체에 저장 또는 내장된다. 상기 기입된 코드 텍스트가 고급 언어라면, 상기 코드는 컴파일되고, 다음에 얻어진 컴파일러 코드는 미리 컴파일된 윈도우즈 라이브러리 루틴의 오브젝트 코드와 링크된다. 상기 링크된 컴파일 오브젝트 코드를 실행하기 위하여, 시스템 사용자는 상기 오브젝트 코드를 호출하여 컴퓨터 시스템이 상기 코드를 메모리에 로딩하도록 하는데, CPU가 프로그램에서 식별된 태스크(task)를 수행하기 위기 위하여 코드를 판독하고 실행한다.
도 3은 구체적인 실시예에 따른 시스템 제어 소프트웨어 컴퓨터 프로그램(160)의 계층적 제어 구조의 블록도를 도시한다. 라이트 펜 인터페이스를 사용하여, 사용자는 CRT 모니터 상에 디스플레이된 메뉴 또는 스크린에 응답하여 처리 세트 번호와 처리 챔버 번호를 처리 선택기 서브루틴(161)에 기입한다. 특정 처리들을 수행하는데 필요한 미리 결정된 소정 처리 파라미터 세트인 상기 처리 세트는 소정 세트 번호에 의해 식별된다. 처리 선택기 서브루틴(161)은 (ⅰ) 요구된 처리 챔버, 및 (ⅱ) 상기 요구된 처리를 수행하기 위해 처리 챔버를 동작하는데 필요한 요구된 파라미터 세트를 식별한다. 특정 처리를 수행하기 위한 상기 처리 파라미터는 예를 들어, 처리 가스 혼합과 유속, 온도, 고주파수 및 저주파수 RF 전력 레벨과 고주파수와 저주파수 RF 주파수 같은 플라즈마 조건, (및 부가적으로, 원격 마이크로파 플라즈마 시스템을 갖춘 실시예들에 대한 마이크로파 발생기 전력 레벨들) 냉각 가스 압력, 및 챔버 벽 온도와 같은 조건 처리에 관련된다. 처리 선택기 서브루틴(161)은 어떤 형태의 처리(증착, 웨이퍼 세정, 챔버 세정, 챔버 게터링, 리플로우)가 챔버(30)에서 특정 시간에 수행되는지를 제어한다. 일부 실시예에서, 하나 이상의 선택 서브루틴이 있을 수 있다. 상기 처리 파라미터들은 사용자에게 영수증의 형태로 제공되고 라이트펜/CRT 모니터 인터페이스를 사용하여 기입될 수 있다.
상기 처리를 모니터링하기 위한 신호들은 상기 시스템 제어기의 아날로그 입력 보드와 디지털 입력 보드에 의해 제공되며, 상기 처리를 제어하기 위한 신호들은 CVD 시스템(10)의 아날로그 출력 보드와 디지털 출력 보드 상의 출력이다.
처리 시퀀서 서브루틴(162)은 상기 식별된 처리 챔버와 처리 선택기 서브루틴(161)으로부터의 처리 파라미터 세트를 수용하고 여러 처리 챔버의 동작을 제어하기 위한 프로그램 코드를 포함한다. 다중 사용자가 처리 세트 번호와 처리 챔버 번호를 기입할 수 있고, 또는 단일 사용자가 다중 처리 세트 번호와 처리 챔버 번호를 기입할 수 있어 시퀀서 서브루틴(162)은 요구된 시퀀스 내의 선택된 처리를 스케줄링하도록 동작한다. 바람직하게, 시퀀서 서브루틴(162)은 (ⅰ) 챔버가 사용되고 있다면 처리 챔버의 동작을 모니터링하고, (ⅱ) 어떤 처리가 사용되고 있는 챔버에서 수행되는가를 결정하며, (ⅲ) 처리의 유용성과 수행될 처리의 타입에 기초한 요구된 처리를 실행하는 단계를 수행하는 프로그램 코드를 포함한다. 상기 처리 챔버를 모니터링하는 일반적 방법, 이를테면 폴링(polling)이 사용될 수 있다. 수행될 수 있는 처리를 스케줄링할 때, 시퀀서 서브루틴(162)은 선택된 처리에 대해 요구된 처리 조건, 또는 각각의 특정 사용자 기입 요청의 "수명", 또는 스케줄링 우선 순위를 결정하기 위해 시스템 프로그래머가 포함하기를 요구하는 어떤 다른 관련 인자와 비교하여 사용되어지는 현재 처리 챔버의 조건을 고려하도록 설계될 수 있다.
시퀀서 서브루틴(162)이 다음에 실행되어야 하는 처리 챔버와 처리 세트 조합을 결정할 때, 상기 시퀀서 서브루틴(162)은 특별한 처리 세트 파라미터를 시퀀서 서브루틴(162)에 의해 결정된 처리 세트에 따라 처리 챔버(30)에서의 다중 프로세싱 작업을 제어하는 챔버 매니저 서브루틴(163a-c)에 전달함으로써 상기 처리 세트의 실행을 시작한다. 예를 들면, 상기 챔버 매니저 서브루틴(163b)은 처리 챔버(30)의 CVD 동작을 제어하기 위한 프로그램 코드를 포함한다. 또한, 챔버 매니저 서브루틴(163b)은 상기 선택된 처리 세트를 실행하는데 필요한 여러 챔버 컴포넌트의 동작을 제어하는 다양한 챔버 컴포넌트 서브루틴들의 실행을 제어한다. 챔버 컴포넌트 서브루틴의 예는 기판 위치 설정 서브루틴(164), 처리 가스 제어 서브루틴(165), 압력 제어 서브루틴(166), 히터 제어 서브루틴(167), 및 플라즈마 제어 서브루틴(168)이다. 상기 CVD 챔버의 특정 조건에 의존하여, 일부 실시예는 상기 서브루틴 모두를 포함하는 반면, 다른 실시예는 상기 서브루틴의 일부만 포함한다. 당업자는 어떤 처리가 처리 챔버(30)에서 실행될 수 있는가에 의존하여 다른 챔버 제어 서브루틴이 포함될 수 있다는 것을 쉽게 알 수 있을 것이다. 동작중, 챔버 매니저 서브루틴(163b)은 실행되는 특정 처리 세트에 따라 처리 컴포넌트 서브루틴을 선택적으로 스케줄링하거나 호출한다. 챔버 매니저 서브루틴(163b)은 시퀀서 서브루틴(162)이 다음에 실행될 수 있는 처리 챔버(30)와 처리 세트를 스케줄링하는 것과 매우 유사하게 처리 컴포넌트 서브루틴을 스케줄링한다. 통상, 챔버 매니저 서브루틴(163b)은 여러 챔버 컴포넌트를 모니터링하고, 실행될 처리 세트를 위한 처리 파라미터에 기초하여 동작되는데 필요한 컴포넌트를 결정하며, 상기 모니터링과 결정 단계에 응답하여 챔버 컴포넌트 서브루틴의 실행을 시작하는 단계를 포함한다.
이제 특별한 챔버 컴포넌트 서브루틴의 동작이 도 3을 참조하여 기술될 것이다. 기판 위치설정 서브루틴(164)은 기판을 받침대(32) 상에 로딩하고, 선택적으로 기판과 샤워헤드(40) 사이의 간격을 제어하기 위하여 챔버(3)의 요구된 높이로 기판을 리프팅하는데 사용되는 챔버 컴포넌트를 제어하기 위한 프로그램 코드를 포함한다. 기판이 처리 챔버(30) 내로 로딩될 때, 히터 어셈블리(33)는 웨이퍼 포켓(34)에 상기 기판을 수용하기 위하여 하강되고, 다음에 상기 요구된 높이까지 상승된다. 동작중, 기판 위치설정 서브루틴(164)은 챔버 매니저 서브루틴(163b)으로부터 전달되는 지지 높이에 관련된 처리 세트 파라미터들에 응답하여 받침대(32)의 이동을 제어한다.
처리 가스 제어 서브루틴(165)은 처리 가스 혼합과 유속을 제어하기 위한 프 로그램 코드를 가진다. 처리 가스 제어 서브루틴(165)은 안전 차단 밸브의 개방/폐쇄 위치를 제어하며, 또한 요구된 가스 유속을 달성하기 위하여 질량 흐름 제어기를 램핑 업/다운한다. 처리 가스 제어 서브루틴(165)은 모든 챔버 컴포넌트 서브루틴이 그렇듯이 상기 챔버 매니저 서브루틴(163b)에 의해 호출되며, 상기 챔버 매니저로부터 요구된 가스 유속에 관련된 서브루틴 처리 파라미터를 수신한다. 전형적으로, 처리 가스 제어 서브루틴(165)은 상기 가스 공급 라인을 개방하고 반복적으로 (ⅰ) 필요한 질량 흐름 제어기를 판독하고, (ⅱ) 챔버 매니저 서브루틴(163b)으로부터 수신된 요구된 유속과 판독값을 비교하며, (ⅲ) 필요에 따라 가스 공급 라인의 유속을 조절함으로써 동작한다. 더욱이, 처리 가스 제어 서브루틴(163)은 위험한 속도의 가스 유속을 모니터링하며, 위험한 조건이 검출될 때 안전 차단 밸브를 작동시키기 위한 단계를 포함한다. 또한 처리 가스 제어 서브루틴(165)은 선택되는 요구된 처리(세정 또는 증착 등)에 의존하여 가스 구성과 세정 가스뿐만 아니라 증착 가스에 대한 유속을 제어한다. 다른 실시예는 하나 이상의 처리 가스 제어 서브루틴을 가질 수 있고, 각각의 서브루틴은 특별한 처리 타입 또는 특별한 가스 라인 세트를 제어한다.
일부 처리에서, 질소 또는 아르곤 같은 불활성 가스가 반응 처리 가스가 유입되기 이전에 챔버의 압력을 안정화하기 위하여 챔버(30) 내로 흐르게 된다. 이런 처리를 위하여, 처리 가스 제어 서브루틴(165)은 챔버의 압력을 안정화하기 위해 필요한 시간의 양 동안 챔버(30) 내로 불활성 가스를 흐르게 하는 단계를 포함하도록 프로그램되고, 다음에 이미 기술된 단계들이 수행될 것이다. 부가적으로, 처리 가스가 예를 들어, TiCl4와 같은 액체 프리커서로부터 기화될 때, 처리 가스 제어 서브루틴(165)은 버블러 어셈블리에서 상기 액체 프리커서를 통해 헬륨 같은 운반 가스를 버블링하거나, 헬륨 같은 운반 가스를 액체 주입 시스템으로 유입하기 위한 단계들을 포함하도록 기록될 것이다. 버블러가 이런 타입의 처리를 위해 사용될 때, 처리 가스 제어 서브루틴(165)은 요구된 가스 유속을 달성하기 위하여 운반 가스의 흐름, 버블러의 압력, 및 버블러 온도를 조정한다. 이미 개시된 바와 같이, 상기 요구된 처리 가스 유속은 처리 파라미터로서 처리 가스 제어 서브루틴(165)에 전달된다. 더욱이, 처리 가스 제어 서브루틴(165)은 소정 처리 가스 유속에 대한 필요한 값을 포함하는 저장된 테이블에 접근함으로써 상기 요구된 처리 가스 유속을 위해 상기 필요한 운반 가스 유속, 버블러 압력, 및 버블러 온도를 달성하기 위한 단계를 포함한다. 상기 필요값이 얻어질 때, 상기 운반 가스 유속, 버블러 압력 및 버블러 온도가 모니터링되고, 상기 필요값과 비교되며 따라서 조절된다.
상기 압력 제어 서브루틴(166)은 챔버의 배기 시스템 내의 스로틀 밸브의 개구 크기를 조정함으로써 상기 챔버(30)의 압력을 제어하기 위한 프로그램 코드를 포함한다. 상기 스로틀 밸브의 개구 크기는 전체 처리 가스 흐름, 처리 챔버의 크기, 및 배기 시스템에 대한 펌핑 설정 압력에 관련한 요구된 레벨로 챔버 압력을 제어하도록 설정된다. 압력 제어 서브루틴(166)이 호출될 때, 상기 요구된 또는 목표 압력 레벨은 챔버 매니저 서브루틴(163b)으로부터 파라미터로서 수신된 다. 상기 압력 제어 서브루틴(166)은 상기 챔버에 접속된 하나 이상의 일반적 압력계를 판독함으로써 챔버(3)의 압력을 측정하고, 상기 측정값을 목표 압력과 비교하고, 저장된 압력 테이블로부터 목표 압력과 동일한 비례, 적분 및 미분(PID)값을 얻으며, 상기 압력 테이블로부터 얻어진 상기 PID값에 따라 상기 스로틀 값을 조절한다. 선택적으로, 압력 제어 서브루틴(166)은 상기 요구된 레벨까지 챔버(30)의 펌핑 능력을 조정하기 위해 특별한 개구 크기로 상기 스로틀 밸브를 개방 또는 폐쇄하도록 기록될 수 있다.
히터 제어 서브루틴(167)은 받침대(32)( 및 그 위의 어떤 기판)를 저항적으로 가열하는데 사용되는 각각의 히터 엘리먼트(14A, 14B)의 온도를 제어하기 위한 프로그램 코드를 포함한다. 상기 히터 제어 서브루틴(167)은 또한 상기 챔버 매니저 서브루틴에 의해 호출되고 목표 또는 설정 온도 파라미터를 수신한다. 상기 히터 제어 서브루틴은 받침대(32)에 배치된 열전쌍의 전압 출력을 측정함으로써 온도를 측정하고, 상기 측정된 온도를 설정 온도와 비교하며, 상기 설정 온도를 얻기 위하여 상기 가열 유니트에 인가되는 전류를 증가 또는 감소시킨다. 상기 온도는 저장된 변환 테이블에서 대응되는 온도를 검색하거나, 또는 4차 다항식을 사용하여 상기 온도를 계산함으로써 상기 측정된 전압으로부터 얻어진다. 매립된 루프가 받침대(32)를 가열하는데 사용될 때, 히터 제어 서브루틴(167)은 점차 상기 루프에 인가되는 전압의 램핑 업/다운을 제어한다. 부가적으로, 내장 결함-안전 모드가 처리 안전 적합성을 검출하도록 포함될 수 있으며, 처리 챔버(30)가 적정히 설정되지 않는다면 상기 가열 유니트의 동작을 차단할 수 있다. 사용될 수 있는 히터 제 어의 다른 방법은 "기상 증착 장치의 온도 제어 시스템 및 방법"으로 명명되고 1996년 11월 13일 제출된 미국 특허 번호 제08/746657호(대리인 문서번호 AM1680-8/T16301-171)에 개시되어 있는 램프 제어 알고리즘을 사용하며, 그 명세서는 참조로 본 발명에 포함된다.
플라즈마 제어 서브루틴(168)은 챔버(30)의 처리 전극과 히터 어셈블리(32)에 인가되는 저주파수 및 고주파수 RF 전력 레벨을 설정하고, 채택되는 낮은 RF 주파수를 설정하기 위한 프로그램 코드를 포함한다. 이미 개시된 챔버 컴포넌트 서브루틴과 같이, 플라즈마 제어 서브루틴(168)은 챔버 매니저 서브루틴(163b)에 의해 호출된다. 원격 플라즈마 발생기(4)를 포함하는 실시예를 위하여, 플라즈마 제어 서브루틴(168)은 또한 상기 원격 플라즈마 발생기를 제어하기 위한 프로그램 코드를 포함할 것이다.
C. 합금 히터 어셈블리
도 4a는 본 발명에 따른 히터 받침대(32)의 일실시예의 간략 단면도이다. 내부 코어는 상부 내부 코어 부재(12A) 및 하부 내부 코어 부재(12B)로부터 제작될 수 있다. 특정한 일실시예에서, 상부 내부 코어 부재(12A) 및 하부 내부 코어 부재(12B)는 모두 구리로 만들어지지만, 양호한 열 전도성을 갖는 다른 물질도 사용될 수 있다. 상부 내부 코어 부재(12A)는 히터 엘리먼트 홈(401)으로 기계 가공되어 내측 및 외측 히터 엘리먼트(14A, 14B)를 수용한다. 하부 내부 코어 부재(12B)는 냉각관(402)을 수용하도록 기계 가공된다. 냉각관(402)은 하부 코어 부재와 열적으로 연결되어 상기 튜브 내의 냉각 매질이 상기 히터 어셈블리로부터의 열을 끌어들 일 수 있게 한다. 냉각관 내의 순환 냉각 매질은 히터 어셈블리를 작동 온도로부터 보다 낮은 온도로 냉각하여 쉽게 다룰 수 있도록 하는데 필요한 시간을 줄인다. 가스 또는 액체가 냉각 매질로 사용될 수 있다. 액체 냉각 매질은 액체의 끓는점이 최대 예상 온도에 적합하도록 선택되어야 한다. 적당한 액체는 퍼플루오로 에테르(perfluoro ether), 에틸렌 글리콜, 미네랄 오일, 및 물 또는 물 혼합물을 포함한다. 일부 적용예에서는, 히터 어셈블리가 액체 냉각 매질을 이용하기에 충분할 정도로 냉각될 때까지는 냉각 매질로서 가스를 사용하는 것이 유리할 수 있다. 특정 실시예에서, 스테인레스 강 냉각관이 하부 내부 코어 부재(12B) 내에서 냉각관 홈(403)으로 납땜된다. 히터 어셈블리가 조립된 이후에 그리고 지지축(25)을 히터 어셈블리에 용접하기 이전에, 냉각관 연장부(406)가 냉각관 용접 접합부(405)에서 냉각관 스터브(404)에 용접된다. 이것은 히터 어셈블리의 전체 높이가 히터 어셈블리의 제작 도중에 짧게 유지되도록 하고, 이로써 비용이 절감되며, 특히 납땜 과정에서 보다 많은 히터가 처리 과정 중인 단일 로(furnace)에 적층되는 것이 가능하게 하여 비용이 절감된다.
하나의 특정 실시예에서, 열 브레이크(407)가 상부 내부 코어 부재(12A) 및 하부 내부 코어 부재(12B) 각각의 내부로 조립된다. 열 브레이크는 내측 가열 엘리먼트(14B)로부터 외측 가열 엘리먼트(14A)를 격리시킴으로써, 히터 어셈블리(33)의 이중 영역 가열을 제공한다. 내측 가열 엘리먼트(14B)에는 전력이 공급될 수 있고, 외측 가열 엘리먼트(14A)와 별도로 제어되어 히터 어셈블리의 표면과 교차하는 균일한 가열을 촉진하거나 히터 어셈블리의 표면에 교차하는 제어가능한 열적 기울기 를 형성할 수 있다. 히터 엘리먼트 스터브(408)(도 4A에는 4개 중 1개만 도시됨)는 이하에서 언급되는 것처럼, 축(25)으로 돌출된다. 열전쌍 어셈블리(409)는 히터 엘리먼트로의 전력을 조절하는 피드백 신호를 제공한다. 특정 일실시예에서, 단일 스프링 로드된, 완전히 피복된 열전쌍은 외측 가열 엘리먼트(14A) 및 내측 가열 엘리먼트(14B)에 전력을 공급하는 별개의 전력 제어 회로(미도시됨)를 이용하여, 상기 엘리먼트들에 대한 피드백 신호를 제공한다.
히터 어셈블리 쉘(shell)은 내부 코어 물질의 열 전도율보다 낮은 열 전도율을 갖고 요구되는 챔버 조건에 적합한 금속 합금으로부터 제조된다. 고온에서 부식성 환경을 포함하는 챔버 조건에 대하여, 크롬, 몰리브덴, 및 니켈을 함유한 합금이 종종 쉘 물질로서 적합하다. 이러한 물질들은 기계가공이 어렵다.
특정한 일실시예에서, 쉘은 세조각의 합금 C-22(니켈 51.6%, 크롬 21.5%, 몰리브덴 13.5%, 텅스텐 4%, 철 5.5%, 코발드 2.5%, 망간 1%, 소량의 바나듐, 실리콘, 탄소 및 다른 엘리먼트)로부터 제조되고, 이것의 유사한 합금이 헤스텔로이(HASTELLOY)라는 이름으로 시판되지만, 합금 C-276과 같은 다른 합금들이 사용될 수도 있다. 이 합금으로부터 쉘을 제조하는 것은 히터를 적어도 700℃까지의 온도에서 사용하는 것을 가능하게 한다. 10% 이상의 니켈과 3% 이상의 몰리브덴을 포함하는 합금은 염소에 의한 응력 부식 균열에 대해 탁월한 내성을 나타내므로, 염화 이온을 포함하는 환경에 대해 특히 적합할 수 있다. 통상의 스테인레스강보다 대략 7배 단단한, 합금 C-22은 기계 가공하기에 어느 정도 까다롭다. 따라서, 기계 가공을 위해 단순한 형상을 갖는 히터 어셈블리가 바람직하다.
본 발명에 따른 특정한 실시예에서, 상부 쉘 판(top shell plate; 13A)은 쉘 링(13C)에 의해 저면 쉘 판(13B)에 연결된다. 이 어셈블리에 의해 매칭에 따른 필요 조건이 간단하게 된다. 바람직한 실시예에서 쉘 링(13C)은 전자빔(E-beam) 용접을 사용하여 원주방향 용접부(circumferential weld; 410)에서 상부 쉘 판(13A)에 연결된다. 쉘 링(13C)은 상부 쉘 판(13A)의 두께를 통해 대략 중간을 자르는 쉘 링 레지(412)에 놓인다. 이러한 구성은 동작 온도에 대해 쉘의 휘어짐을 감소시킨다. 쉘 링(13C)은 수직 용접부(411)에서 저면 쉘 판(13B)에 E-빔에 의해 용접되는 것이 바람직하다. 구체적인 실시예에서, 내부 코어 물질로 사용되는 구리의 열 팽창계수(예를 들어 18×10-6/℃)는 쉘에 사용되는 합금 C-22의 열 팽창계수(예를 들어 12×10-6/℃)보다 크다. 그러므로 히터 어셈블리가 가열됨에 따라, 구리의 내부 코어는 합금 C-22보다 더 팽창된다.
상부 쉘 판 및 저면 쉘 판의 두께가 선택됨으로써, 쉘이 과도하게 휘지 않도록 적절한 쉘 강도를 제공한다. 특정한 실시예에서, 내부 코어(위쪽 내부 코어 부재(12A) 및 아래쪽 내부 코어 부재(12B))는 두께가 약 1인치이며 상부 및 저면 쉘 판의 두께는 각각 0.35인치이다. 히터 어셈블리의 휨은 원주방향 용접부(410) 및 수직 용접부(411)의 위치를 선택에 의해 더욱 감소될 수 있다. 내부 코어(위쪽 및 아래쪽 내부 코어부재(12A 및 12B)의 두께에 대한 이들 용접부 사이의 수직 거리(즉 쉘 링(13C)의 높이)의 비는, 아래 식에 의해, 쉘 물질의 열 팽창계수에 대한 내부 코어 물질의 열 팽창계수에 대한 비와 같은 것이 바람직하다:
쉘 링 용접부들 사이의 거리 ≥ (Tc 코어)/(Tc 쉘) × 코어 두께
여기서 Tc 코어는 코어 물질의 열 팽창계수이며 Tc 쉘은 쉘 물질의 열 팽창계수이다.
실제로 용접부 사이의 수직 간격은, 상부 및 저면 쉘 판의 열팽창을 감당할 내부 코어 두께에 의해 배가된 코어 및 쉘 물질의 열 팽창계수보다 클 수도 있다. 의도하는 가장 높은 작동 온도에 따라, 약 40-80mil 두께의 에지 갭(edge gap; 413)이 내부 코어와 쉘 링 사이의 각 측면에 남겨진다. 구리판이 스테인레스강 판에 볼트로 결합되는 종래의 히터 어셈블리와 달리, 본 발명의 히터 어셈블리는, 이하 설명되는 바와 같이 납땜된다. 종래 히터 어셈블리 설계에서, 구리는 스테인레스강과 열팽창계수가 비슷하여 이들 두 물질이 볼트에 의해 결합되어 제한된 온도 범위에 대해 사용될 수 있다. 그러나 이러한 종래 히터 어셈블리는, 과도한 볼트 주변에의 토크와 열 스트레스에 의해 발생되는 볼트 개구 주위의 균열 및 열사이클링에 의해 볼트가 느슨해짐에 따라 생기는 불안정에 의해, 작동 수명(가열 사이클 회수)이 제한되는 경우가 많다. 본 발명의 히터 어셈블리에서, 납땜은 균열과 볼트 결함을 없앨 뿐만 아니라, 히터 어셈블리 층 사이의 열적 결합을 우수하게 하여, 전술한 바와 같이 열 분배 및 열 단락(thermal shorting)을 개선시킨다. 또 히터 어셈블리를 납땜함으로써, 스테인레스강 및 구리를 사용하는 외에, 유사하지 않은 금속을 사용할 수 있다.
도 4b는 (내측 또는 외측) 히터 엘리먼트 및 상부 내부 코어 부재(12A)의 단 면도이다. 가열 엘리먼트(14)는, 절연 코어(502)로 둘러싸인 니크롬 가열선(503)을 둘러싸는 스테인레스강 외장(sheath; 501)을 포함할 수 있다. 절연 코어(502)는 예를 들어 산화마그네슘일 수 있다. 가열 엘리먼트(14)는, 위쪽 내부 코어 부재(12A) 내의 가열 엘리먼트 홈(401) 내로 납땜되어 들어가기 전에, 가열 엘리먼트 홈을 균일하게 충진하는 ALTAR TECHNOLOGIES, INC.에서 A100905로 판매되는 땜납 분말 또는 유사한 땜납 분말, 또는 구리 약 90%, 금 약 5%인 땜납 분말(braze powder)에 의해 금도금된다. 납땜은 약 1030℃에서 이루어지며, 땜납(504)으로 가열 엘리먼트를 거의 둘러쌈으로써, 가열 엘리먼트(14)를 위쪽 내부 코어 부재(12A)에 열적으로 결합시킨다. 이러한 열적 결합에 의해 가열 엘리먼트로부터 내부 코어로의 열전달이 개선되며, 또 가열 엘리먼트 외장(501)의 표면을 따라 열점(hot spots)을 감소시켜, 히터 수명을 연장시킨다.
가열 엘리먼트를 상부 내부 코어부재에 납땜한 후에, 상기 상부 내부 코어부재와 가열 엘리먼트의 상부 내부 코어 부 어셈블리는 부드러운 표면을 얻도록 기계가공된다. 상기 부 어셈블리는 도 4a의 하부 내부 코어판(12B)에 납땜된다. 동시에, 상기 냉각관(402)은 하부 내부 코어부재(12B) 내에 있는 냉각관 홈(403)에 납땜된다. 페이스트 형태의 금-구리 납땜 화합물이 이러한 작업에 사용될 수 있다. 상기 상부 내부 코어 부어셈블리와 하부 내부 코어의 내부 코어 부 어셈블리 및 냉각관은 부드러운 마무리 표면을 얻고, 납땜 중에 발생될 수 있는 뒤틀림을 제거하고, 표면 오염을 방지하며, 내부 코어 서브-어셈블리를 소정의 크기로 축소시키도록 기계 가공된다.
상기 내부 코어 서브어셈블리는 상기 내부 코어 어셈블리와 상부 쉘 판 사이에서 막(foil)으로 압연되고 상기 내부 코어 어셈블리와 저면 쉘 판 사이에서 또 하나의 막으로 압연되는 약 35% 금-65% 구리 납땜 층을 갖는 상부 쉘 판(13A) 및 저면 쉘 판(13B)과 정렬된다. 상기 리프트 핀 홀은 세라믹 스페이서를 사용하여 정렬된다. 상기 내부 코어에 있는 리프트 핀 홀은 쉘 판 내에 있는 리프트 핀 홀보다 약간 커서 내부 코어의 추가적인 팽창을 가능하게 한다. 납땜 후, 상기 쉘 판은 쉘 링(13C)을 수용하도록 기계가공된다. 전술한 바와 같이, 쉘 링(13C)의 상부는 상부 쉘 판(13A)의 중앙에 용접된다. 이는 잔류 용접 유도응력을 감소시킨다. 상기 쉘 판(13C)은 전자-빔 용접방법을 사용하여 상부 쉘 판과 저면 쉘 판에 용접될 수 있다. 도 2b의 리프트 핀 홀(23)은 내부 코어를 쉘 내에 밀봉시키도록 상부 및 저면 쉘 판에 용접된다. 상기 리프트 핀 홀 슬리브는 상부 및 저면 쉘 판들과 동일한 물질로 형성된다.
도 4a를 참조하면, 상기 축을 히터 어셈블리에 접합한 후 상기 냉각관이 상기 축(25)을 넘어 연장할 수 있도록 냉각관 연장부(406)는 냉각관 스터브(404)에 용접된다. 유사하게, 히터 와이어 연장 로드(414)는 히터 와이어 스터브(415; 4 개중에 단지 하나만 도시됨)에 용접되며, 히터 쉘과 동일 또는 유사한 물질로 제조되는 열전쌍 가이드관(418)은 히터 어셈블리에 용접된다. 그 후 히터 받침대 축(25)이 히터 어셈블리(33)에 용접된다. 제품의 용접으로 히터 작동중 및 계속된 조립작업중 고온으로 가열될 수 있는 어셈블리를 고정한다. 그러한 조립작업 중에 하나는 화염 방사 작업 또는 티타늄, 기타 금속, 또는 세라믹 코팅작업이다. 상기 히터 어셈블리를 의도된 증착 공정에 적합한 층으로 코팅하는 것에 의해서 증착된 층의 오염을 감소시키고, 입자발생을 감소시키며, 부식 내성을 개선할 수 있다. 세라믹 튜브(416)는 다른 컴포넌트로부터 히터 와이어 연장로드(414)를 전기적으로 절연시킨다. 예를 들어, 유리 섬유 또는 탄소-섬유 강화 PEEK, 테프론(등록상표), 또는 텔린(DELRIN(등록상표))을 포함하는 폴리에테르에테르케톤(PEEK), 베스펠(VESPEL(등록상표))과 같은 고온 플라스틱으로 제조될 수 있는 절연 플러그(417)는 히터 받침대 축(25)을 빠져나오는 다수의 히터 받침대 컴포넌트들을 지지한다. 상기 축(25) 및 히터 어셈블리(33)는 상기 축의 내부가 동작 챔버 압력 이상의 주위 압력이 될 수 있는 방식으로 결합된다.
도 5는 상기 쉘 판(13A), 상부 내부 코어부재(12A), 하부 내부 코어부재(12B), 및 저면 쉘 판(13B)의 분해도이다. 히터 어셈블리의 다른 특징, 특히 외측 가열 엘리먼트(14A) 및 내측 가열 엘리먼트(14B)의 패턴이 도시되어 있으며, 상기 각각의 가열 엘리먼트들은 열 간극(407A)에 의해 분리된 이중 루프를 형성한다. 모두 4 개의 가열 엘리먼트 스터브(408)이 상기 도면에 도시되어 있다. 도 6은 가열 엘리먼트 홈(401)과 열적 갭(407A) 및 리프트 핀 홀(20B)의 패턴을 더 설명하는 상부 내부 코어부재(12A)의 평면도이다.
도 7은 특정 실시예에 따른 열 차폐부(31)의 세부사항을 추가로 도시하는 부분 횡단면도이다. 상기 특정 실시예에서, 상기 열 차폐부는 상기 히터 어셈블리 쉘과 동일한 합금으로 제조되나 꼭 그럴 필요는 없다. 상기 쉘 판은 수직 용접지점(707,708)에서 장착 링 스탠드오프(standoff;706)에 용접된다. 상기 장착링 스 탠드오프(706)는 열 차폐부(31)를 히터 어셈블리(본 도면에는 도시않음)의 바닥에 부착시키기 위한 볼트 또는 스크루 홀(709)을 포함한다. 스탠드오프 리프(710)는 전도 열전달을 감소시켜 열 차폐부의 효율을 개선하도록 히터 어셈블리의 바닥으로부터 예정된 거리 밖에 상부 쉘 판(704)을 유지한다. 외부 차폐 링(701)은 방사형 용접부(703)에서 바닥 차폐판(702)에 용접되거나, 차폐판 상에 간단히 놓여질 수도 있다. 외부 차폐 링이 용접되지 않거나 차폐판에 부착되지 않는다면, 여러 외부 차폐 링이 가스 흐름 임피던스를 조절하기 위해 사용되거나, 또는 외부 차폐 링은 세라믹 물질와 같은 차폐판에 쉽게 용접되지 않는 물질로 구성될 수도 있다. 외부 차폐 링(701)은 수직한 용접부(705)에서 상부 차폐판(704)에 용접된다. 용접부(703,705)는 바람직한 실시예에서 E-빔 용접이다.
열 차폐부(31)의 효율은 차폐판의 수를 증가시킴으로써 증가될 수도 있다. 일련의 차폐판을 통한 방사열 전달은 1/(1+n)의 관계식에 따라 개략적으로 변하는데, 여기서 n은 차폐판의 개수이다. 차폐판 개수의 증가는 차폐판을 통한 방사열 전달을 감소시키며, 히터 어셈블리로부터의 열손실을 감소시킨다. 따라서, 주어진 온도를 얻기 위한 히터의 요구 전력량은 감소되며, 히터 어셈블리의 측부 사이의 온도차가 감소된다. 외부 차폐 링(701)은 히터 어셈블리의 에지에서의 열손실을 감소시키며, 이에 의해 웨이퍼 에지부의 냉각을 감소시키며 공정의 균일성을 개선시킨다.
D. RF 절연체 및 커플러
도 8a는 플라즈마 전극으로서 히터 어셈블리를 사용한 본 발명의 다른 실시 예의 개략적인 단면도이다. 히터 어셈블리(833)는 상기한 히터 어셈블리와 유사하지만, 냉각관을 포함하지 않지 않는데, 이는 전극으로서 사용되는 히터 어셈블리를 작동시키기 위해 사용된 RF 에너지가 냉각제를 통해 챔버의 다른 컴포넌트로 전달되어서, 챔버를 손상시키고, 챔버 제어를 방해하는 전자기 노이즈를 발생시키며, 또는 작업자의 안전을 위협하기 때문이며, 본 실시예에서 분리되고 절연된 전극을 형성하기 위한 축 내의 공간이 충분하지 않기 때문이다. 가열 엘리먼트는 가열 와이어(815)가 가열 엘리먼트 코어(도시되지 않음)에 의해 RF 영역으로부터 절연되기 때문에 이러한 문제점을 가지지 않는다. RF 전극은 예컨대 용접 또는 볼트 결합에 의해 히터 어셈블리에 연결될 수도 있다.
절연체(802)는 의도된 공정 온도에서 충분한 유전성 파괴 강도를 제공하는 알루미나, 질화알루미늄, 테플론(TEFLON), 베스펠(VESPEL), 피이크(PEEK), 또는 용융 실리카와 같은 물질로 구성될 수도 있으며, 이는 접지된 금속 지지축과 수킬로볼트의 히터 어셈블리를 절연시킨다. 저온 물질을 사용할 수 있도록 열쵸크가 히터와 절연체 사이에 위치될 수도 있다. 특정한 실시예에서, 절연체(802)는 캔틸레버 커플러(803)에 의해 히터 받침대(832)의 단축(825A)에 연결될 수도 있다. 캔틸레버 커플러는 2개의 C형 단편을 가지는데, 이들은 절연체(802) 둘레에 고정되고 단축(825A)에 볼트 결합되거나 나사 결합될 수도 있다. 유사한 커플러(804)가 절연체를 지지축(825B)에 연결하며, 이는 히터 어셈블리(833) 또는 단축(825A)과 동일하거나 상이한 금속일 수도 있다. 캔틸레버 커플러(803)는 절연체 또는 플랜지의 균열없이 작동 온도 영역에 대해 절연체 플랜지(806) 상에 압축력을 제공하는 얇은 웹(805)을 갖추고 있다. 절연 갭(807)은 종래 기술에서 공지된 바와 같이 챔버의 작동 압력과 전압에서 캔틸레버 커플러(803)와 커플러(804) 사이에서의 아크 발생을 방지하도록 충분히 커야 한다. 지지축의 내부는 세라믹 플러그 또는 다른 유전성 물질로 충진되어서, 축 내부에서의 전기적 아크를 방지한다.
도 8b는 커플러(822)를 사용하여 축(821)을 지지하도록 부착된 히터 어셈블리(833)의 다른 실시예의 개략적인 단면도이다. 스테인레스강 또는 다른 유사한 금속으로부터 제조된 커플러(822)가 세라믹 절연체(802)에 히터 어셈블리를 고정시키기 위해 사용된다. 이러한 구성은 히터 어셈블리를 플라즈마 분야에 사용되는 지지축과 전기적으로 절연시킨다.
도 8c는 열쵸크 커플러(823) 및 이중 단편 하부 클램프(824A)를 포함하는 커플러(822)의 개략적인 단면도이며, 도 8d는 열쵸크 커플러(823)를 개략적으로 도시한 도면이다. 도 8b에 도시된 바와 같이, 열쵸크 커플러(823)의 상부 플랜지(899)는 히터 스터브축(898)에 볼트결합된다. 도 8c를 참조하면, 상부 포켓(827)은 상부 클램프(824)에 의해 고정되는 절연체 상에 플랜지를 수용하며, 또한 도 8d에 도시된 바와 같이 인장 암(829A,829B)에 의해 둘레방향으로 유지된다. 도 8d는 또한 상부 포켓(827)이 절연체 플랜지의 원주상의 평면에 대응해서 얼라이먼트 평면(854)을 가지는 것을 도시한다. 상부 포켓(827)은 절연체 플랜지의 형상에 대응해야 하며 다른 얼라이먼트 기구도 사용될 수 있다. 상부 클램프(824)는 두 개의 "C"형상의 반부(824A, 824B)를 포함하며, 이들은 열쵸크 커플러에 부착되기 전에 절연체 플랜지 둘레에 제공된다. 슬릿(830)은 비절단 코드 대향 인장 스크루(831) 를 남기는, 포켓 면(828)에 대해 거의 공동 평면으로 절단되므로, 꽉 조인 스크루(831)로부터의 인장은 인장 암(829A, 829B)을 함께 잡아당겨서 절연체 플랜지를 홀딩한다. 슬릿(830)과 유사한 형상의 스페이서(도시 생략)는 슬릿(830) 내에 삽입되어 인장 암(829A, 829B)을 유지하고 슬릿(830)을 통한 가스 흐름을 감소시킨다. 변형 경감 슬롯(832)의 쌍들은 인장 암(829)으로 가공되어(한 쌍의 스트레인 릴리브 슬롯 내의 각 슬롯은 암(829)의 양 측면으로부터 가공됨), 인장 스크루(831)에 의해 가해진 응력으로부터 발생하는 이용가능한 변형(strain)을 증가시키고, 어셈블리가 가열되고, 금속인 클램프가 절연체 플랜지보다 더 팽창할 때에 인장 암이 연속해서 후프 인장을 가하도록 허용한다. 이 실시예에서, 4쌍의 변형 경감 슬롯이 도시되어 있지만, 이 수는 클램프의 물질과 설계에 따라서 조정될 수 있다. 변형 경감 슬롯은 특정 실시예에 따라서 약 40밀리 폭이고 약 0.3인치 인장 암의 약 0.1인치 내로 절단된다. 변형 경감 슬롯의 단부는 약간의 실시예에서 둥글게 하여 슬롯의 정점에서의 응력 집중을 감소시키고 가공성을 개선한다.
도 8c에 도시한 바와 같이, 열쵸크 커플러(823)는 하부 포켓(827)과 상부 플랜지(899) 사이의 얇은 웹(833)을 남기도록 제작된다. 약 20-100 밀리 두께, 적합하게, 약 40-60 밀리 두께 사이의 범위를 가지는 이 웹은 히터 어셈블리와 지지축 사이의 높은 내열성 통로로서 작용한다. 이 웹은 특정 실시예에서 약 0.6 -1.0인치의 효율적인 길이와 약 0.2-0.5인치 사이의 수직 웹 부분의 높이를 가진다. 도시한 실시예에서, 약 25와트 전력은 약 625℃의 온도에서 작동하는 히터 어셈블리와 약 50℃의 온도를 가진 하단부를 가진 지지축 사이에 흐른다. 커플러(822)의 다른 실시예는 정해진 웹 두께에 대한 보다 높은 온도 적용을 위해서 보다 긴 웹(833)의 전체 길이를 가지든지 또는 정해진 길이에 대해서 감소된 웹 두께를 가지든지 하면 보다 높은 온도에서 사용될 수 있다. 웹은 열쵸크를 제공하기에 충분히 기계적으로 단단해야 하며 또한 충분히 얇아야 한다. 커플러(822)를 사용하면 히터 어셈블리가 축 위로 열적으로 "부유(float)"하도록 함으로써, 축 내에 사용하기에 적합한 물질의 폭넓은 선택을 허용하고 비보상된(non-compensated) 히터 엘리먼트 설계에서 개선된 온도 균일성으로 웨이퍼 온도를 유지하는데 필요한 히터 엘리먼트에 전달되는 전력을 감소시킨다. 히터로부터 받침대를 통해서 그리고 축 아래로 열 흐름이 보다 적기 때문에, 이러한 전위 가열 도관 위에 냉각점을 형성할 기회가 보다 적고, 그럼으로써, 웨이퍼 온도 균일성을 개선한다. 또한, 커플러(822)를 사용하면 히터 어셈블리를 통해서 생성된 열 기울기를 감소시키며, 이것은 어셈블리 균열을 감소시키고, 그럼으로써 히터 어셈블리의 작동 수명을 증가시킨다.
상부 클램프(824)는 열쵸크 커플러(823)에 연결되고 클램핑 스크루(이 도면에서 생략)는 홀(851)을 통해 하부 클램프(824) 내에 배치되어 있고 홀(852)을 통해서 열쵸크 커플러(823) 내에 배치되어 있다. 홀(851)은 하부 클램프(824) 내의 블라인드 홀이다. 상부 플랜지(899)는 위로부터 어셈블리를 허용하는, 클램프 스크루보다 큰, 액세스 홀(834)을 가진다. 이 예에서, 액세스 홀(834)은 상부 플랜지(899) 내의 나사진 홀(826)으로부터 오프셋되어 있지만, 나사진 홀이 충분히 커서 하부 클램프 스크루로 액세스를 허용한다면 공동축선일 수 있다. 약간의 실시예에 따라서, 하부 클램프 상의 외부 얼라이먼트 립(835)은 열쵸크 커플러의 외부 얼 라이먼트 레지(836) 내에 놓여 있어 클램프의 외경을 따라서 상당히 부드러운 표면을 형성한다. 다른 실시예에서, 하부 클램프 상의 외부 립(835)은 하부 클램프(824)의 외경이 열쵸크 커플러(823)보다 약간 더 커서, 열쵸크 커플러(823)의 단단한 상부 에지(여기에 형성된 레지(136) 없음)에 놓여 유지될 수 있다. 캔틸레버된 와셔(837)는 하부 클램프(824)의 컴포넌트로서 가공되고, 홀(851)을 통해 하부 클램프(824) 내에 배치되어 있고 홀(852)을 통해서 열쵸크 커플러(823) 내에 배치된 스크루는 히터 스터브 플랜지(이 도면에서 생략)에 압축을 가하여 가열 코크의 상부 포켓 내에 플랜지를 단단히 유지시킨다. 특정한 실시예에서, 캔틸레버 와셔는 두께가 약 10-20밀리이며, 변형 경감 슬롯(838)은 적절한 압력이 절연체에 유지될 수 있도록 와셔를 손상시키지 않고 와셔 내에 절단 형성된다. 변형 경감 슬롯은 인장 암(상술됨)에 절단 형성된 변형 경감 슬롯과 일반적인 형상이 유사할 수 있다. 열 쵸크식 커플러는 히터 어셈블리 스터브 축의 부분으로서 기계가공될 수 있으나, 전술된 바와 같이 임의의 히터 물질은 기계가공이 어렵기 때문에 스테인레스강과 같은 금속의 개별적인 조각으로부터 커플러를 제작하는 것이 바람직하다. 선택적으로, 열 쵸크식 커플러는 히터 평판에 용접 또는 부착될 수 있다.
도 8b를 다시 참조하면, 유사한 배열이 절연체(802)를 지지축(821)에 결합하기 위하여 이용될 수 있다. 지지축(821)은 히터 스터브 축(898)과 동일한 물질로 만들어질 필요가 없을 수 있다. 커플러(822) 및 절연체(802)는 지지축으로의 열 유동을 감소시킴으로써, 지지축은 히터 스터브(898)가 견뎌야 하는 고온을 견딜 필요가 없다. 따라서, 하부 포켓(897) 및 하부 클램핑 암(하나만 도시됨)은 지지축 (821)의 부분으로서 기계가공될 수 있으며, 또는 열쵸크를 구비하거나 구비하지 않은 제 2 커플러는 절연체(802)를 지지축(821)으로 연결하기 위하여 이용될 수 있다. 열쵸크가 이용되는 경우, 히터 어셈블리로부터의 열 손실을 감소시키며 절연체의 열적 순환을 감소시키기 위하여 절연체와 히터 어셈블리 사이에 열쵸크를 배치하는 것이 바람직하다.
부식성 환경에서 히터축이 이용되는 경우, 히터 어셈블리, 열 쵸크식 커플러, 절연체 및 지지축 사이의 접점에서의 진공 밀폐부는 축의 내부가 챔버 환경으로 노출되는 것을 방지한다. 부가적으로 또는 선택적으로, 퍼지 가스는 챔버 압력에 비하여 축 내에 정압을 발생시키기 위하여 축의 내부로 유동될 수 있다. 히터 축이 비 부식성 환경에서 이용되는 경우, 축의 내부를 밀폐시키는 것이 중요하지 않다.
또 다른 실시예에서, 금속 히터로 RF 절연을 제공하는 밀폐된 지지축이 도 11에 도시된다. 알루미늄 히터, 스테인레스강 히터 또는 예를 들면 구리 코어 및 스테인레스강 쉘을 갖는 복합 히터와 같은 금속 히터는 전이(transition)의 조합을 이용하여 지지축으로부터 RF 절연될 수 있다. 밀폐된 지지축은 지지축의 내부 볼륨이 포트(도시안됨)를 통하여 퍼지 가스를 유입시킴으로써 챔버보다 상이한 압력에서 유지될 수 있도록 한다. 지지축의 내부를 챔버보다 고압으로 유지함으로써 예를 들면, 지지축 내의 아크 발생을 억제시킬 수 있다. 선택적으로 또는 부가적으로, 축의 내부는 아크 발생을 억제하기 위하여 세라믹 플러그 또는 다른 유전 물질로 충전시킬 수 있다. 챔버로부터 지지축을 밀폐시킴으로써 압력 사이클 동안 축 내부와 챔버 사이의 가스 교환을 감소시킬 수 있다. 이것은 잠재적인 부식성 가스로부터 히터 와이어링, 축 내부와 같은 컴포넌트들을 보호하며, 기판 처리 공정 동안 축 내부로부터 챔버 내로의 오염물 유동을 감소시킨다.
도 11에서, 알루미늄 히터(1101)는 스테인레스강 스터브 연장부(1103)로 연결되는 알루미늄 스터브(1102)로 용접된다. 알루미늄 대 스테인레스강 전이부(1104)는 예를 들면 납땜 또는 익스플로젼 본딩(explosion bonding)에 의하여 이루어진다. 익스플로젼 본딩은 히터의 작동 온도가 납땜된 조인트를 부드럽게 하거나 녹이는 경우 바람직하다. 스테인레스 강 스터브 연장부(1103)는 코바(KOVAR®)의 이름으로 판매되는 금속 합금으로 제작된 제 1 스페이서(1105)로 E-빔 용접된다. 제 1 스페이서(1105)는 KOVAR®-세라믹 밀봉을 형성하기 위한 분야에 공지된 방법을 이용하여 세라믹 절연체(1106)로 연결된다. 세라믹 절연체(1106)는 제 2 스페이서(1107)로 연결되며, KOVAR®의 이름으로 판매되는 금속 합금으로 제작된다. 절연체 및 제 1 및 제 2 스페이서 사이에 금속 세라믹 밀폐부를 형성하기 전에, 제 2 스페이서는 스테인레스강으로 제작된 하부 지지축(1108)으로 E-빔 용접된다. 금속 대 세라믹 밀폐는 단일 공정 단계에서 둘 다 형성될 수 있다. 히터 전극 절연체(1110)를 구비한 히터 전극(1109)(하나만 도시됨) 및 RF 전극(1112)을 구비한 RF 전극(1111)은 하부 지지축(1108)의 베이스(1114)로부터 나온다. 히터 전극 절연체(1110) 및 RF 전극 절연체(1112)는 예를 들면 알루미늄관일 수 있다. 지지축의 내부는 주위 압력과 통할 수 있으며, 또는 밀폐될 수 있다. 히터 어셈블리의 의도된 이용(온도)이 허용되는 경우, 오링은 히터 전극 및 RF 전극 주위에 기밀을 형성하기 위하여 이용될 수 있다. 선택적으로, 유리 대 금속 또는 세라믹 대 금속 밀폐와 통합된 공급로(1113)는 축의 내부를 밀폐시키기 위하여 이용될 수 있다. 공급로는 밀폐되지 않을 수 있으며, 특히 이용되는 공정 가스는 환경적 또는 안전성 위험이 존재하지 않지만, 밀폐된 공급로는 축 밀폐부 중 하나가 새는 경우 추가적인 안전을 제공한다.
E. 배기 시스템
도 1a를 참조하면, 밸브 어셈블리(스로틀 밸브 시스템)는 절연 밸브(78) 및 펌핑 채널(60)을 통하는 가스의 유속을 제어하기 위해 방전 라인(178)을 따라 증착된 스로틀 밸브(83)를 포함한다. 처리 챔버(30) 내의 압력은 압력계(도시하지 않음)로 모니터링되고 스로틀 밸브(82)로 도관(178)의 흐름 단면적을 변화시킴으로써 제어된다. 바람직하게는, 프로세서(85)는 챔버 압력을 지시하는 압력계로부터 신호를 수신한다. 프로세서(85)는 오퍼레이터(도시하지 않음)에 의해 입력된 설정 압력값과 상기 측정된 압력값을 비교하고, 챔버 내의 적정 압력을 유지하는데 필요한 스로틀 밸브의 필요한 조절 값을 결정한다. 프로세서(85)는 구동 모터(도시하지 않음)에 조절신호를 릴레이하며, 구동 모터는 설정 압력 값에 상응하는 설정으로 스로틀 밸브를 조절한다. 본 발명에 사용하기 위한 적당한 스로틀 밸브는 현재 공동 출원된 미국특허출원 제 08/672,891호("Improved Apparatus and Methods for Controlling Process Chamber Pressure"(Attorney Docket No. 891/DCVD-II/MBE), 1996년 6월 28일 출원)에 개시되어 있다. 그러나 TiCl4로부터 티타늄의 증착과 같 은 높은 가스 유속을 요구하는 처리에 있어서, 배기 시스템의 용량은 증가되어야 한다. 이것은 배기 포트(80)의 단면적을 증가시킬 뿐만 아니라, 방전 라인(178) 및 스로틀 밸브(80)의 직경을 증가시킨다. 일 실시예에서, 약 5 Torr의 챔버 압력에서 15리터/분의 가스 흐름을 수용하기 위하여, 배기 포트(80)는 5 리터/분 처리에 적합하게 약 1 인치의 직경에서 약 2인치의 직경으로 증가되었다. 동일한 예에서, 스로틀 밸브 및 방전 라인 직경은 이와 유사하게 약 1인치에서 약 2인치로 증가되었다. 이들 직경들은 가스 흐름에 의존하는 다른 실시예와는 다르다.
절연 밸브(78)는 펌프의 펌핑 작용에 기인한 챔버 압력의 감소를 최소화하기 위하여 진공 펌프(82)로부터 처리 챔버(30)를 분리시키는데 사용된다. 도 1a에서 알 수 있는 바와 같이, 스로틀 밸브(83)와 함께 절연 밸브(78)는 CVD 장치(10)의 질량 흐름 제어기(도시하지 않음)를 조정하는데 사용된다. 일부 처리에 있어서, 액체 소스는 증발되고 이어서 운반 가스를 따라 처리 챔버(30) 내로 전달된다. 매스 흐름 제어기는 챔버(30) 내로의 가스나 액체의 유속을 모니터링하는데 사용된다. MFC의 조정 동안, 절연 밸브(78)는 챔버(30) 내의 압력 증가를 최대로하기 위하여 스로틀 밸브(83)로의 가스 흐름을 한정 또는 제한함으로써, MFC 조정을 용이하게 한다.
상기한 CVD 시스템은 예시적이며 본 발명의 범위를 한정하면서 고려될 필요는 없다. 예시적인 CVD 시스템(10)은 단일 웨이퍼 진공 챔버시스템이다. 그러나 다중 웨이퍼 챔버 시스템인 다른 CVD 시스템이 본 발명의 다른 실시예에서 사용될 수 있다. 그러나 본 발명의 어떤 특징이 다중 챔버 처리 시스템에서 CVD 챔버의 일부로서 도시되고 기술되었다 하더라도, 본 발명은 이러한 방법에 제한되도록 의도될 필요는 없다. 즉, 본 발명의 다양한 특징은 에칭 챔버, 확산 챔버 등과 같은 다양한 처리 챔버에 사용될 수 있다. 구성의 변화, 히터 구성, RF 전력 연결의 위치, 소프트웨어 동작 및 구조, 일부 소프트웨어 서브루틴에 사용된 특정 알고리즘, 가스 주입 라인 및 밸브의 구성, 및 다른 수정과 같은 상기한 시스템의 변화가 가능하다. 더욱이, 상기한 특정 크기는 특정 실시예를 위하여 제공되지만, 물론 다른 실시예들은 다른 크기를 가질 수 있다. 부가적으로, 본 발명의 일부 실시예들은 전자 사이클로트론 공명기(ECR) 플라즈마 CVD 장치, 유도결합된 RF 고밀도 플라즈마 CVD 장치 등과 같은 CVD 설비를 포함하는 기판 처리 장치에 사용될 수 있다. 티타늄 막과 같은 층을 형성하기 위한 방법은 어떤 특정 플라즈마 여기 방법이나 어떤 특정 장치에 한정될 필요는 없다.
F. 예시적인 구조 및 응용들
도 9는 본 발명에 따른 집적회로(900)의 개략적인 단면도를 도시한다. 도시한 바와 같이, 집적회로(900)는 실리콘의 국부 산화(LOCOS)나 다른 기술에 의해 형성된 필드 산화물 영역(920)에 의해 서로 분리되고 전기절연된 NMOS 및 PMOS를 포함한다. 택일적으로, 트랜지스터(903,906)는 트랜지스터(903,906)가 NMOS 및 PMOS일 때 얕은 트렌치 절연(도시하지 않음)에 의해 서로 분리되어 전기적으로 절연될 수 있다. 각 트랜지스터(903,906)는 소스 영역(912), 드레인 영역(915), 및 게이트 영역(918)을 포함한다.
예비금속 유전체(PMD)층(921)은 콘택(924)에 의해 만들어진 트랜지스터 및 금속층(940) 사이의 연결로 금속층(940)으로부터 트랜지스터(903,906)를 분리한다. 금속층(940)은 집적회로(900)에 포함된 4개의 금속층(940,942,944, 및 946) 중 하나이다. 각 금속층(940,942,944, 및 946)은 각 내부 금속 유전체층(927,928, 및 929)에 의해 인접한 금속층으로부터 분리되어 있다. 인접한 금속층은 바이어스(926)에 의해 선택된 개구부에 연결되어 있다. 금속층(946)위에는 평탄화된 패시베이션층(930)이 증착된다. CVD 장치(10)는 금속층(940,942,944 또는 946)으로서 사용된 막을 증착하는데 사용될 수 있다. 이들 층은 알루미늄 위에 놓인 티타늄층, 금, 플라티늄, 또는 텅스텐층과 같은 다중 서브층으로 이루어질 수 있다. CVD 장치(10)는 장치 구조에서 콘택(924)이나 플러그를 증착하는데 사용될 수 있다.
도 9의 개략적인 집적회로(900) 및 도 18의 콘택 구조는 둘 다 단지 설명을 위한 것이라는 것을 이해하여야 한다. 당업자는 독립된 장치뿐만 아니라, 마이크로프로세서, 특정 어플리케이션용 집적회로(ASIC), 메모리 소자 등과 같은 다른 집적회로의 제조를 위하여 본 발명을 실시할 수 있다. 더욱이, 본 발명은 PMOS, NMOS, 바이폴라, 또는 BiCMOS 소자에 인가될 수 있다. 금속막의 증착에 관련된 적용이 전술되었지만, 본 발명은 금속간 증착, 금속 증착으로부터 금속간 막의 자동 형성, 또는 도핑된 막 증착과 같이 다른 적용에 사용될 수 있다. 특히, 처리는 BST 및 PZT와 같은 금속 산화물의 CVD에 유리하게 적용될 수 있다. 본 발명은 많은 다른 형태의 금속 CVD 처리에 적용될 수 있으며 유전체 CVD 및 다른 플라즈마 적용에 사용될 수 있다.
Ⅱ. 결과 테스트 및 측정
실험은 도 4a에 도시된 것처럼 히터 어셈블리를 사용하여 웨이퍼 표면 위에서의 온도 균일성을 측정하도록 수행된다. 실험은 200mm 두께의 웨이퍼를 위해 구성된 TixZ 증착 시스템(어플라이드사 제조)에서 수행된다. 실험 조건은 일반적으로 웨이퍼 상의 티타늄막을 증착하는 동안의 조건과 유사하게 선택된다.
도 10은 약 5Torr의 챔버 압력 및 샤워헤드와 웨이퍼(1002) 사이에 약 400mil의 공간으로 저항성-가열 합금 히터 어셈블리에서 625℃의 설정 온도로 가열될 때, 200mm 실리콘 웨이퍼(1002)에 대해 측정된 온도 균일성을 도시한 챠트이다. 도 10에 도시된 바와 같이, 웨이퍼(1002)의 다른 위치에서의 온도 값은 최소 539.7℃(1004)에서 최대 550.4℃(1006)의 범위이며, 10.7℃의 온도 변화를 야기한다. 온도 균일성은 이하의 방정식으로 정의된다:
온도 균일성 = ±(△ 온도/(2 ×온도)) × 100%
여기에서 온도는 ℃이다. 상기 정의에 따라, 웨이퍼에 전반의 온도 균일성은 ±0.86%이다. 상기 온도 균일성은 특히 고온에서, 많은 다른 히터들보다 뛰어나다. 또한, 웨이퍼 중심 부근에서 고온이 발생하고 본 실시예의 히터는 이중-영역 능력을 갖고 있기 때문에, 상기 온도에서 외부 코일 전력에 비해 내부 코일 전력을 감소시킴으로써 보다 향상된 균일성을 달성할 수 있다.
상술한 설명은 예시적인 목적으로서, 제한적이지 않다는 것이 이해될 것이다. 많은 실시예가 상기 설명을 통해 당업자에게 명백하게 될 것이다. 실시예에 의해, 본 출원에서의 본 발명은 티타늄 처리 방법과 관련하여 앞서 설명되었으나, 본 발명은 그렇게 한정되지는 않는다. 예를 들어 다른 실시예에 따라 형성된 막은 티타늄 실리사이드 막, 티타늄 니트라이드 막, 바륨-스트론튬-티탄산염, 납-지르콘산염-티탄산염을 포함하는 다른 금속막 또는 유전막 또는 실리콘 산화막일 수 있다. 물론, 상술한 CVD 장치는 약 625℃ 이상의 온도뿐만 아니라 약 400℃보다 낮은 온도에서, 특히 높은 범위의 온도 균일성이 요구되는 막을 증착하기 위하여 사용될 수 있다는 것이 인지된다. 부가적으로, 본 발명의 다양한 측면이 또한 다른 적용을 위하여 사용될 수 있다. 당업자는 본 발명의 청구 범위 내에 속하는 대안적 또는 등가적인 층 증착 방법을 알 수 있을 것이다. 따라서 본 발명의 범위는 상기 설명을 참조로 하여 결정되지 않고, 대신에 부가된 청구항과 등가인 모든 범위와 함께 이 같은 청구항 참조하여 결정될 것이다.
본 발명은 히터 어셈블리의 상부 및 하부면 사이에 대칭적으로 배치되는 저항성 가열 엘리먼트를 가진 히터 어셈블리를 포함하는 기판 처리시스템을 제공한다. 열적 커플링으로 결합되는 히터의 대칭성으로 인해 히터는 히터 어셈블리의 과도한 열변형 없이 400℃ 이상에서 매우 균일한 가열을 제공할 수 있다.
특정 실시예에서, 내부 루프와 외부 루프 사이의 내부 코어의 열적 갭으로 인해 내부 코어의 각 부분들 사이에는 열적 분리(isolation)가 제공된다.
다른 실시예에서, 사용하는 동안 발생하는 서로 다른 열팽창을 계산하게 된다. 선택적인 실시예에서, 세라믹 절연체는 유리-대-금속 밀봉으로 축에 부착되므로, 내가스성(gas-proof) 축을 제공할 수 있다.

Claims (1)

  1. 적어도 400℃의 온도로 기판을 가열하기 위한 무선 주파수 히터 받침대로서,
    금속 히터;
    금속 히터 스터브;
    상기 금속 스터브에 용접되며, KOVAR®라는 이름으로 판매되는 금속으로 이루어진 제 1 스페이서;
    제 1 기밀 세라믹-대-금속 결합을 형성하도록 상기 제 1 스페이서에 결합되는 세라믹 절연체;
    제 2 기밀 세라믹-대-금속 결합을 형성하도록 상기 세라믹 절연체에 결합되며, KOVAR®라는 이름으로 판매되는 금속으로 이루어진 제 2 스페이서; 및
    상기 제 2 스페이서에 용접되는 하부 지지축을 포함하며,
    상기 금속 스터브, 상기 제 1 스페이서, 상기 세라믹 절연체, 상기 제 2 스페이서, 및 상기 하부 지지축은 상기 히터 받침대 내에서 인접하는 볼륨을 형성하며, 상기 인접하는 볼륨에서 적어도 하나의 히터 전극 및 적어도 하나의 RF 전극을 포함하는,
    히터 받침대.
KR1020067022227A 1998-03-26 1999-03-19 고온 다층 합금 히터 어셈블리 및 관련 방법 KR100696028B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/056,703 1998-03-26
US09/056,703 US6035101A (en) 1997-02-12 1998-03-26 High temperature multi-layered alloy heater assembly and related methods

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020007010683A Division KR100687378B1 (ko) 1998-03-26 1999-03-19 고온 다층 합금 히터 어셈블리 및 관련 방법

Publications (2)

Publication Number Publication Date
KR20060114394A KR20060114394A (ko) 2006-11-06
KR100696028B1 true KR100696028B1 (ko) 2007-03-16

Family

ID=22006094

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020067022227A KR100696028B1 (ko) 1998-03-26 1999-03-19 고온 다층 합금 히터 어셈블리 및 관련 방법
KR1020007010683A KR100687378B1 (ko) 1998-03-26 1999-03-19 고온 다층 합금 히터 어셈블리 및 관련 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020007010683A KR100687378B1 (ko) 1998-03-26 1999-03-19 고온 다층 합금 히터 어셈블리 및 관련 방법

Country Status (6)

Country Link
US (1) US6035101A (ko)
EP (1) EP1074041B1 (ko)
JP (1) JP4723086B2 (ko)
KR (2) KR100696028B1 (ko)
DE (1) DE69927966T2 (ko)
WO (1) WO1999049501A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101094818B1 (ko) * 2008-12-31 2011-12-16 주식회사성심 히터 모듈
KR20140094475A (ko) * 2013-01-22 2014-07-30 도쿄엘렉트론가부시키가이샤 탑재대 및 플라즈마 처리 장치

Families Citing this family (628)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6433314B1 (en) * 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
JP3758009B2 (ja) * 1998-07-01 2006-03-22 日本エー・エス・エム株式会社 半導体処理用の基板保持装置
JP2001007039A (ja) * 1999-06-18 2001-01-12 Hitachi Ltd 半導体集積回路装置の製造方法
EP1137321A1 (en) * 1999-11-30 2001-09-26 Ibiden Co., Ltd. Ceramic heater
US6494955B1 (en) 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6399926B2 (en) * 2000-04-03 2002-06-04 Sigmameltec Ltd. Heat-treating apparatus capable of high temperature uniformity
JP2001298020A (ja) * 2000-04-18 2001-10-26 Nhk Spring Co Ltd セラミックヒータ及びそれを用いた成膜処理装置
JP2002134484A (ja) * 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
JP4328009B2 (ja) * 2000-11-30 2009-09-09 日本碍子株式会社 加熱装置
US6554907B2 (en) 2001-01-02 2003-04-29 Applied Materials, Inc. Susceptor with internal support
US6623563B2 (en) * 2001-01-02 2003-09-23 Applied Materials, Inc. Susceptor with bi-metal effect
US6660095B2 (en) * 2001-01-15 2003-12-09 Jusung Engineering Co., Ltd. Single wafer LPCVD apparatus
JP2002270346A (ja) * 2001-03-09 2002-09-20 Mitsubishi Heavy Ind Ltd 加熱装置及びその製造方法並びに被膜形成装置
JP3931578B2 (ja) * 2001-03-30 2007-06-20 信越半導体株式会社 気相成長装置
JP4331901B2 (ja) * 2001-03-30 2009-09-16 日本碍子株式会社 セラミックサセプターの支持構造
US6962025B1 (en) 2001-05-29 2005-11-08 H.B. Fuller Licensing & Financing, Inc. Metal plasma surface-modified thermal barrier channel
JP3990881B2 (ja) * 2001-07-23 2007-10-17 株式会社日立製作所 半導体製造装置及びそのクリーニング方法
US7091481B2 (en) * 2001-08-08 2006-08-15 Sionex Corporation Method and apparatus for plasma generation
US7274015B2 (en) * 2001-08-08 2007-09-25 Sionex Corporation Capacitive discharge plasma ion source
KR20030026387A (ko) * 2001-09-12 2003-04-03 주식회사 아이앤에스 반도체 웨이퍼의 화학기상증착공정중에 사용되는받침히터와 그 제조방법
JP3897563B2 (ja) * 2001-10-24 2007-03-28 日本碍子株式会社 加熱装置
KR100588774B1 (ko) * 2001-11-26 2006-06-14 주성엔지니어링(주) 웨이퍼 서셉터
US6730175B2 (en) 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US6897411B2 (en) * 2002-02-11 2005-05-24 Applied Materials, Inc. Heated substrate support
WO2004019658A1 (ja) * 2002-08-20 2004-03-04 Ibiden Co., Ltd. 金属ヒータ
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
WO2004030411A1 (ja) * 2002-09-27 2004-04-08 Sumitomo Electric Industries, Ltd. ウエハー保持体及び半導体製造装置
US20040065656A1 (en) * 2002-10-04 2004-04-08 Makoto Inagawa Heated substrate support
KR20040035281A (ko) * 2002-10-19 2004-04-29 주성엔지니어링(주) 반도체 기판 가열용 몰딩 히터
FR2847714B1 (fr) * 2002-11-27 2005-02-18 Soitec Silicon On Insulator Procede et dispositif de recuit de tranche de semiconducteur
US7091453B2 (en) * 2003-02-27 2006-08-15 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus by means of light irradiation
WO2004090960A1 (ja) * 2003-04-07 2004-10-21 Tokyo Electron Limited 載置台構造及びこの載置台構造を有する熱処理装置
US6825448B2 (en) 2003-05-01 2004-11-30 Applied Materials, Inc. Low residual-stress brazed terminal for heater
US20040226513A1 (en) * 2003-05-12 2004-11-18 Applied Materials, Inc. Chamber for uniform heating of large area substrates
US6911093B2 (en) * 2003-06-02 2005-06-28 Lsi Logic Corporation Lid liner for chemical vapor deposition chamber
US20040250774A1 (en) * 2003-06-16 2004-12-16 Brent Elliot Wafer heater with protected heater element
KR100534209B1 (ko) * 2003-07-29 2005-12-08 삼성전자주식회사 반도체소자 제조용 화학기상증착 공정설비
FR2858715B1 (fr) 2003-08-04 2005-12-30 Soitec Silicon On Insulator Procede de detachement de couche de semiconducteur
KR100994073B1 (ko) * 2003-08-14 2010-11-12 주성엔지니어링(주) 반도체 웨이퍼 제조장비의 히터블록
JP2005166354A (ja) * 2003-12-01 2005-06-23 Ngk Insulators Ltd セラミックヒーター
JP2005243667A (ja) * 2004-02-24 2005-09-08 National Institute Of Advanced Industrial & Technology 熱処理装置
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4761723B2 (ja) * 2004-04-12 2011-08-31 日本碍子株式会社 基板加熱装置
JP2005340043A (ja) * 2004-05-28 2005-12-08 Sumitomo Electric Ind Ltd 加熱装置
US20060011139A1 (en) * 2004-07-16 2006-01-19 Applied Materials, Inc. Heated substrate support for chemical vapor deposition
JP4133958B2 (ja) * 2004-08-04 2008-08-13 日本発条株式会社 ワークを加熱または冷却するための装置と、その製造方法
US7436645B2 (en) * 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7648914B2 (en) 2004-10-07 2010-01-19 Applied Materials, Inc. Method for etching having a controlled distribution of process results
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US20060075970A1 (en) * 2004-10-13 2006-04-13 Guenther Rolf A Heated substrate support and method of fabricating same
US20080314320A1 (en) * 2005-02-04 2008-12-25 Component Re-Engineering Company, Inc. Chamber Mount for High Temperature Application of AIN Heaters
KR100722047B1 (ko) * 2005-07-14 2007-05-25 엘지전자 주식회사 발열체의 구조
US7429718B2 (en) * 2005-08-02 2008-09-30 Applied Materials, Inc. Heating and cooling of substrate support
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
JP5478065B2 (ja) * 2005-08-17 2014-04-23 アプライド マテリアルズ インコーポレイテッド ロウ付けプレートおよび抵抗ヒーターを有する基板サポート
JP3972944B2 (ja) 2005-09-12 2007-09-05 住友電気工業株式会社 セラミックスヒータ及びそれを備えた半導体製造装置
US20070158388A1 (en) * 2006-01-06 2007-07-12 Honeywell International, Inc. Apparatus and method for welding superalloys
US20070169703A1 (en) * 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
US20080011426A1 (en) * 2006-01-30 2008-01-17 Applied Materials, Inc. Plasma reactor with inductively coupled source power applicator and a high temperature heated workpiece support
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US20070254494A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Faceplate with rapid temperature change
JP5245268B2 (ja) * 2006-06-16 2013-07-24 東京エレクトロン株式会社 載置台構造及び熱処理装置
US9275887B2 (en) * 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US20080035306A1 (en) * 2006-08-08 2008-02-14 White John M Heating and cooling of substrate support
US8123902B2 (en) * 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
JP4947712B2 (ja) * 2007-03-29 2012-06-06 コバレントマテリアル株式会社 面状ヒータ
US8563619B2 (en) * 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
KR100934403B1 (ko) * 2007-11-30 2009-12-29 (주)위지트 냉각 수단을 구비한 서셉터
JP2011508436A (ja) * 2007-12-21 2011-03-10 アプライド マテリアルズ インコーポレイテッド 基板の温度を制御するための方法及び装置
US8876024B2 (en) * 2008-01-10 2014-11-04 Applied Materials, Inc. Heated showerhead assembly
US20090277388A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Heater with detachable shaft
CN102077331B (zh) * 2008-06-27 2014-05-07 株式会社半导体能源研究所 薄膜晶体管
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100177454A1 (en) * 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9096930B2 (en) * 2010-03-29 2015-08-04 Stion Corporation Apparatus for manufacturing thin film photovoltaic devices
JP5666167B2 (ja) * 2010-05-07 2015-02-12 日本発條株式会社 ステージヒータ及びシャフトの製造方法
US8597462B2 (en) * 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR101205433B1 (ko) 2010-07-28 2012-11-28 국제엘렉트릭코리아 주식회사 기판 서셉터 및 그것을 갖는 증착 장치
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
KR101202437B1 (ko) * 2010-12-21 2012-11-16 엘아이지에이디피 주식회사 화학기상 증착장치
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
WO2012118606A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Thin heated substrate support
US10453694B2 (en) 2011-03-01 2019-10-22 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US10090181B2 (en) 2011-03-01 2018-10-02 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
DE102011079815A1 (de) * 2011-07-26 2013-01-31 Robert Bosch Gmbh Schutzvorrichtung zur Laserbearbeitung von Löchern in Bauteilen
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) * 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
JP6545460B2 (ja) 2012-02-29 2019-07-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ロードロック構成内の除害・剥離処理チャンバ
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
KR20130107001A (ko) * 2012-03-21 2013-10-01 엘지이노텍 주식회사 증착 장치
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9089007B2 (en) * 2012-04-27 2015-07-21 Applied Materials, Inc. Method and apparatus for substrate support with multi-zone heating
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9490150B2 (en) * 2012-07-03 2016-11-08 Applied Materials, Inc. Substrate support for substrate backside contamination control
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9604397B2 (en) 2012-09-13 2017-03-28 Husky Injection Molding Systems Ltd. Melt distribution device
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9100992B2 (en) 2012-10-08 2015-08-04 Minco Products, Inc. Heater assembly
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US10177014B2 (en) * 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20140202172A1 (en) * 2013-01-22 2014-07-24 Sunpower, Inc. Cold Finger For Cryocoolers
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
CZ201382A3 (cs) * 2013-02-07 2013-12-27 Vysoké Učení Technické V Brně Ohřevné zařízení s izotermickou topnou plochou
CN103987142A (zh) * 2013-02-08 2014-08-13 刘秋明 一种发热元件、电子烟以及形成发热元件的方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
RU2545852C1 (ru) * 2013-12-16 2015-04-10 Денис Анатольевич Романов Способ нанесения электроэрозионностойких покрытий на основе молибдена и меди на медные электрические контакты
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US20150194326A1 (en) * 2014-01-07 2015-07-09 Applied Materials, Inc. Pecvd ceramic heater with wide range of operating temperatures
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US11302520B2 (en) * 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10431435B2 (en) * 2014-08-01 2019-10-01 Applied Materials, Inc. Wafer carrier with independent isolated heater zones
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9738975B2 (en) 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10177024B2 (en) 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102612193B1 (ko) * 2016-06-03 2023-12-12 삼성전자주식회사 웨이퍼 처리 장치
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
JP6720033B2 (ja) * 2016-09-14 2020-07-08 株式会社Screenホールディングス 熱処理装置
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
JP6902382B2 (ja) * 2017-04-12 2021-07-14 日本発條株式会社 ヒータユニット
JP2018181586A (ja) * 2017-04-12 2018-11-15 日本発條株式会社 シースヒータ
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) * 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102024137B1 (ko) * 2017-09-20 2019-09-23 주식회사 조인솔루션 스퍼터용 석영 히터 및 이를 구비한 스퍼터링 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
WO2019117250A1 (ja) 2017-12-15 2019-06-20 芝浦メカトロニクス株式会社 有機膜形成装置
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
JP6935920B2 (ja) * 2018-01-18 2021-09-15 助川電気工業株式会社 基板ヒータ
US11560913B2 (en) 2018-01-19 2023-01-24 Applied Materials, Inc. Brazed joint and semiconductor processing chamber component having the same
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
DE202018100363U1 (de) * 2018-01-23 2019-04-24 Aixtron Se Vorrichtung zum Verbinden eines Suszeptors mit einer Antriebswelle
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
KR102143139B1 (ko) 2018-04-30 2020-08-12 세메스 주식회사 기판 처리 장치
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
JP2020064841A (ja) * 2018-10-11 2020-04-23 日本発條株式会社 ステージ、成膜装置、および膜加工装置
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR102495415B1 (ko) * 2019-04-16 2023-02-06 니뽄 도쿠슈 도교 가부시키가이샤 유지 장치의 제조 방법, 유지 장치용 구조체의 제조 방법 및 유지 장치
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US11610792B2 (en) * 2019-08-16 2023-03-21 Applied Materials, Inc. Heated substrate support with thermal baffles
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
JP7248607B2 (ja) * 2020-02-03 2023-03-29 日本碍子株式会社 セラミックヒータ
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US11339466B2 (en) * 2020-03-20 2022-05-24 Applied Materials, Inc. Heated shield for physical vapor deposition chamber
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
JP7499651B2 (ja) * 2020-09-02 2024-06-14 東京エレクトロン株式会社 載置台及びプラズマ処理装置
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
WO2022076740A1 (en) * 2020-10-09 2022-04-14 Applied Materials, Inc. Heated substrate support to minimize heat loss and improve uniformity
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20240004673A (ko) * 2021-05-04 2024-01-11 와틀로 일렉트릭 매뉴팩츄어링 컴파니 저항 히터가 설치된 금속 히터 조립체
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
KR102351319B1 (ko) * 2021-05-25 2022-01-17 주식회사 기가레인 히팅 어셈블리
CN115803869A (zh) * 2021-05-28 2023-03-14 朗姆研究公司 用于底座和腔室的热管理的设备
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5025733A (en) * 1989-12-12 1991-06-25 Elio Pierobon Railroad support tie replacement device with track locking device
US5606484A (en) * 1993-06-23 1997-02-25 Shin-Etsu Chemical Co., Ltd. Ceramic electrostatic chuck with built-in heater

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5484011A (en) * 1986-12-19 1996-01-16 Applied Materials, Inc. Method of heating and cooling a wafer during semiconductor processing
JPS63196033A (ja) * 1987-02-09 1988-08-15 Fujitsu Ltd 気相成長装置
JPS63278322A (ja) * 1987-05-11 1988-11-16 Fujitsu Ltd 気相成長装置
JPS645012A (en) * 1987-06-26 1989-01-10 Fujitsu Ltd Vapor growth equipment
JPS6477930A (en) * 1987-09-18 1989-03-23 Daido Oxygen Cvd device
JPH0227715A (ja) * 1988-07-15 1990-01-30 Mitsubishi Electric Corp 気相成長装置用加熱ステージ
JPH06103670B2 (ja) * 1989-04-04 1994-12-14 三菱電機株式会社 半導体ウェハ加熱装置
JP2737010B2 (ja) * 1989-08-01 1998-04-08 キヤノン株式会社 露光装置
US5059770A (en) * 1989-09-19 1991-10-22 Watkins-Johnson Company Multi-zone planar heater assembly and method of operation
DE69103915T2 (de) * 1990-01-25 1995-05-11 Applied Materials Inc Elektrostatische Klemmvorrichtung und Verfahren.
JPH03235325A (ja) * 1990-02-13 1991-10-21 Toshiba Corp 半導体気相成長装置
US5126533A (en) * 1990-03-19 1992-06-30 Conductus, Inc. Substrate heater utilizing protective heat sinking means
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
JPH0460552U (ko) * 1990-09-28 1992-05-25
DE4124295A1 (de) * 1991-07-22 1993-01-28 Krohne Ag Massendurchflussmessgeraet
US5343022A (en) * 1992-09-29 1994-08-30 Advanced Ceramics Corporation Pyrolytic boron nitride heating unit
US5589224A (en) * 1992-09-30 1996-12-31 Applied Materials, Inc. Apparatus for full wafer deposition
JP3103227B2 (ja) * 1992-12-09 2000-10-30 株式会社日立製作所 半導体装置の製造方法
US5343938A (en) * 1992-12-24 1994-09-06 Vlsi Technology, Inc. Method and apparatus for thermally insulating a wafer support
US5665167A (en) * 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
JP3125199B2 (ja) * 1993-03-18 2001-01-15 東京エレクトロン株式会社 縦型熱処理装置
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
JP3165938B2 (ja) * 1993-06-24 2001-05-14 東京エレクトロン株式会社 ガス処理装置
US5397396A (en) * 1993-12-27 1995-03-14 General Electric Company Apparatus for chemical vapor deposition of diamond including thermal spreader
DE69517248T2 (de) * 1994-07-15 2000-10-12 Mitsubishi Materials Corp Keramik-Gehäuse mit hoher Wärmeabstrahlung
US5595241A (en) * 1994-10-07 1997-01-21 Sony Corporation Wafer heating chuck with dual zone backplane heating and segmented clamping member
JP3052116B2 (ja) * 1994-10-26 2000-06-12 東京エレクトロン株式会社 熱処理装置
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH08191059A (ja) * 1995-01-09 1996-07-23 Hitachi Ltd プラズマ処理装置
JPH09237826A (ja) * 1996-02-29 1997-09-09 Kyocera Corp 静電チャック
US5886863A (en) * 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US5775416A (en) * 1995-11-17 1998-07-07 Cvc Products, Inc. Temperature controlled chuck for vacuum processing
US5796074A (en) * 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US5589003A (en) * 1996-02-09 1996-12-31 Applied Materials, Inc. Shielded substrate support for processing chamber
US5844205A (en) * 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5025733A (en) * 1989-12-12 1991-06-25 Elio Pierobon Railroad support tie replacement device with track locking device
US5606484A (en) * 1993-06-23 1997-02-25 Shin-Etsu Chemical Co., Ltd. Ceramic electrostatic chuck with built-in heater

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101094818B1 (ko) * 2008-12-31 2011-12-16 주식회사성심 히터 모듈
KR20140094475A (ko) * 2013-01-22 2014-07-30 도쿄엘렉트론가부시키가이샤 탑재대 및 플라즈마 처리 장치
KR102280571B1 (ko) * 2013-01-22 2021-07-21 도쿄엘렉트론가부시키가이샤 탑재대 및 플라즈마 처리 장치

Also Published As

Publication number Publication date
EP1074041A2 (en) 2001-02-07
US6035101A (en) 2000-03-07
JP4723086B2 (ja) 2011-07-13
WO1999049501A3 (en) 2000-01-13
KR20060114394A (ko) 2006-11-06
DE69927966D1 (de) 2005-12-01
KR100687378B1 (ko) 2007-02-26
EP1074041B1 (en) 2005-10-26
JP2002508587A (ja) 2002-03-19
KR20010042196A (ko) 2001-05-25
WO1999049501A2 (en) 1999-09-30
DE69927966T2 (de) 2006-07-27

Similar Documents

Publication Publication Date Title
KR100696028B1 (ko) 고온 다층 합금 히터 어셈블리 및 관련 방법
JP4485681B2 (ja) 高周波能力を有する高温セラミックヒータ組立体
JP2971847B2 (ja) 高温、腐食性、プラズマ環境下でのクリーニングプロセスのための方法及び装置
US5968379A (en) High temperature ceramic heater assembly with RF capability and related methods
US6051286A (en) High temperature, high deposition rate process and apparatus for depositing titanium layers
US5994678A (en) Apparatus for ceramic pedestal and metal shaft assembly
US6189482B1 (en) High temperature, high flow rate chemical vapor deposition apparatus and related methods
KR19980071011A (ko) 고온 및 고 흐름 속도의 화학적 기상 증착 장치 및 관련증착 방법
JP6563438B2 (ja) 真空プロセス・チャンバの構成部品及び製造方法
KR100640553B1 (ko) 텅스텐을 증착하기 위하여 기판 처리 장치에 이용되는 개선된 히터
US6853533B2 (en) Full area temperature controlled electrostatic chuck and method of fabricating same
US5456757A (en) Susceptor for vapor deposition
US6271148B1 (en) Method for improved remote microwave plasma source for use with substrate processing system
JP3004621B2 (ja) 高温、高堆積率で膜を堆積する方法及び装置
US10741368B2 (en) Plasma processing apparatus
JP2001508836A (ja) ガスおよびrf(無線周波数)出力を反応室に供給するための積重ねられたシャワヘッド組立体
KR19980071315A (ko) Cvd 챔버내의 과도한 알루미늄 축적을 최소화하기 위한 방법 및 장치
KR19980071012A (ko) 고온 및 고 증착율의 티타늄 막을 증착하기 위한 방법 및 장치
JPH07283292A (ja) シール機構並びにこのシール機構を用いた処理装置及び処理方法
US20230335377A1 (en) Showerhead assembly with heated showerhead
US20240068096A1 (en) Showerhead Assembly with Heated Showerhead

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130227

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140227

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee