JP4723086B2 - 高温多層合金ヒータアッセンブリ及び関連する方法 - Google Patents

高温多層合金ヒータアッセンブリ及び関連する方法 Download PDF

Info

Publication number
JP4723086B2
JP4723086B2 JP2000538375A JP2000538375A JP4723086B2 JP 4723086 B2 JP4723086 B2 JP 4723086B2 JP 2000538375 A JP2000538375 A JP 2000538375A JP 2000538375 A JP2000538375 A JP 2000538375A JP 4723086 B2 JP4723086 B2 JP 4723086B2
Authority
JP
Japan
Prior art keywords
chamber
heater
inner core
metal
heater assembly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000538375A
Other languages
English (en)
Other versions
JP2002508587A5 (ja
JP2002508587A (ja
Inventor
タレックス サジョト,
レオニッド セリューティン,
ジュン ツァオ,
ステファン ウォルフ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002508587A publication Critical patent/JP2002508587A/ja
Publication of JP2002508587A5 publication Critical patent/JP2002508587A5/ja
Application granted granted Critical
Publication of JP4723086B2 publication Critical patent/JP4723086B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Resistance Heating (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

【0001】
【関連出願のクロスリファレンス】
本出願は、1997年2月12日出願の発明の名称「セラミックペデスタル及びメタルシャフトアセンブリ」、連続番号08/798004(弁理士事件整理番号16301−17940/AM1870・04−P1)の一部継続出願である。その内容は本明細書に援用されている。
【0002】
【発明の背景】
本発明は半導体処理に関する。より詳細には、本発明は、約450℃より高い温度で膜を形成するための方法と装置に関する。幾つかの特定の実施態様において本発明は、チタン、窒化チタン、及び2珪化チタン等のチタン含有膜を約625℃以上までの温度で、化学気相堆積(CVD)プロセスを用いて形成するのに役立つ。上記の膜は、パターン加工された導電層、導電層間のプラグ、拡散バリヤ層、接着層、及び珪化層(silicide formation)に対する先駆層(precursor layer) として用いてもよい。更に、本発明の他の実施形態は、例えば、他のタイプの金属膜の堆積、基板材料の合金化、及び基板材料のアニーリングに用いてもよい。
【0003】
近年の半導体デバイスの製造における重要なステップの1つは、誘電層と金属層を含む様々な層を半導体基板上に形成することである。周知のように、これらの層は化学気相堆積(CVD)や物理気相堆積(PVD)によって堆積させることができる。従来の熱CVDプロセスでは、反応性ガスが基板表面に供給され、そこで熱誘導化学反応(均質又は不均質)が起こって所望の膜が作り出される。従来のプラズマCVDプロセスでは、制御されたプラズマが形成されて反応種(reactive species)が分解され及び/又は反応種にエネルギが与えられ、所望の膜が作り出される。一般に、熱及びプラズマプロセスの反応速度は下記の1つ以上を制御することによって制御される。すなわち、温度、圧力、プラズマ密度、反応性ガス流量、パワー周波数、パワーレベル、チャンバの物理的形状その他である。通常のPVD装置では、ターゲット(堆積材料の板)が負の電圧源(直流(DC)又は高周波(RF))に接続され、ターゲットに面する基板ホルダが接地、浮動、バイアス、加熱、冷却、又はそれらの何らかの組合せの状態にされる。アルゴン等のガスがPVD装置に導入され、通常は数ミリトール(mtorr)〜約100mtorrの圧力に保たれて、グロー放電を開始、維持できる媒体を提供する。グロー放電が開始されると、正イオンがターゲットを打ち、ターゲット原子が運動量移動によって除去される。これらのターゲット原子は次に、基板ホルダの上にある基板上に薄膜として凝結する。
【0004】
半導体デバイスの幾何学形状は、数十年前に上記デバイスが最初に導入されて以来、その寸法が劇的に縮小した。それ以来、集積回路は2年/ハーフサイズの法則(しばしば「ムーアの法則」と呼ばれる)に概ね従ってきたが、これは、チップに装備されるデバイスの数が2年毎に2倍になることを意味する。今日のウェーハ製造プラントは日常的に0.5μmの、そして0.35の特徴(feature) サイズのデバイスまでも生産しており、明日のプラントは、更に小さい特徴サイズを有するデバイスをも生産するだろう。デバイスの特徴サイズが小さくなって集積密度が増加するにつれて、以前は業界で重要と考えられなかった問題が大きい関心を呼んでいる。例えば、益々高い集積密度を有するデバイスは、高いアスペクト比(例えば約3:1又は4:1以上)の特徴部を有する。(アスペクト比は2つの隣接するステップの高さ対間隔の比として定義される)。ギャップ等の、高アスペクト比の特徴部は、多くの用途において堆積層で適切に埋込まれなければならない。
【0005】
これらの高集積デバイスを製造するために益々厳格な要件が、現代デバイスの製造に必要となり、従来の基板処理装置はこれらの要件を満たすには不充分になりつつある。その上、デバイスの設計の進展につれて、これらのデバイスの実装に必要な材料を含む膜の堆積のために使用される基板処理装置の、より進歩した能力が要求される。例えば、チタンの使用が集積回路製造プロセスの中で益々大きく取り入れられている。チタンは半導体デバイスにおける使用に対して多くの望ましい特性を有する。チタンは、例えば、原子種間の移動を防ぐための、金接合パッド(gold bonding pad)と半導体の間の拡散バリヤとして機能することができる。また、チタンを使って、例えばシリコンとアルミニウムのような2層間の接着を改善することもできる。更に、シリコンと合金化して2珪化(珪化)チタンを形成したチタンの使用は、例えばオームコンタクトの形成を可能にする。上記のチタン膜を堆積するために用いられる通常タイプの堆積装置は、チタンスパッタリング堆積装置であるが、より高い処理と製造の要件を伴うデバイスの形成には往々にして不充分である。特に、チタンスパッタリング装置は、スパッタリングに伴って発生するシャドウ(shadow)効果のために、高アスペクト比のギャップでは均一且つ形状一致な堆積をできない可能性がある。スパッタリング装置とは対照的に、プラズマ強化化学気相堆積(PECVD)装置は、高アスペクト比のギャップを有する基板上のチタン膜の形成に、より適しているであろう。周知のように、イオンとガス分子の混合体であるプラズマは、高周波数(RF)エネルギ等のエネルギを、例えばチャンバ圧力、温度、RFパワーその他の適切な条件下で堆積チャンバ内のプロセスガスに加えることによって形成される。プラズマはしきい密度に達して、グロー放電の形成として知られる自己持続状態を形成する(しばしばプラズマの「ストライキング」又は「イグナイティング」と称される)。このRFエネルギは、プロセスガス中の分子のエネルギ状態を高めて分子からイオン種を形成する。エネルギを与えられた分子とイオン種との両者は、通常、プロセスガスよりも反応性が高く、従って所望の膜を形成しやすい。有利なことに、プラズマは、チタン膜の形成に従って基板の表面を横切る反応性種の移動度も向上させ、良好なギャップ埋込み性能を示す膜を形成する。
【0006】
しかしながら、アルミニウムヒータを使用する従来のPECVD装置は、例えば四塩化チタン(TiCl4)の蒸気からチタン膜を形成するような、あるプロセスに使用された時に多少の制約を経験することがある。アルミニウム腐食、温度制限、望ましくない堆積、及び製造効率が、チタン等の膜の堆積に使用される上記の従来のPECVD装置に伴う問題の一部である。
【0007】
通常のプロセスでは、室温で液体の四塩化チタンと、この液体を通してバブリング(bubbled) されたヘリウム等のキャリヤガスは、堆積チャンバまで運ばれる蒸気を発生させる。基板温度約600℃で、このプロセスは約100オングストローム/分の堆積速度を達成する。これは堆積速度を増加するために望ましく、これを達成する1つの方法は、基板温度を増加することである。
【0008】
しかしながら、四塩化チタンが解離してチタン膜を形成すると、塩素がチャンバ内に放出される。特に、チタン膜の堆積を向上させるプラズマは、アルミニウムヒータを、これらの状態下で不本意に腐食させる傾向のある塩素原子とイオンとを形成する。アルミニウムの腐食は、ヒータにダメージを与えるだけでなく、デバイス中の金属汚染に関連する処理の性能低下及び粒子発生の問題にも繋がるだろう。
【0009】
アルミニウムヒータが敏感なのは塩素からの腐食だけでなく、約480度より低い操作温度に制限される。アルミニウムは高温で動作するヒータには不適切な材料だが、その理由は、約480℃以上の温度ではアルミニウムヒータが軟化を経験して、恐らくヒータの歪み及び/又は損傷をもたらすであろうからである。アルミニウムヒータが約480℃以上でプラズマの存在下で使用されると、更なる問題が発生する。そのような環境では、アルミニウムはバックスパッタ(backsputter) して、基板とチャンバ構成要素を汚染する。更に、アルミニウムヒータ(は、堆積プロセスに関する化学的種によっては、(チタン堆積プロセスで生じる塩素化合物等)低い温度でも適合しない傾向があるので、高温では非常に大きく攻撃される。ドライ洗浄プロセスで使用される塩素等の化学種によってもアルミニウムヒータは攻撃される。約480℃以上の温度でこれらの化学種は、低温の場合より活発にアルミニウムヒータを攻撃、腐食するので、ヒータの運転寿命が縮められて、望ましくない、より頻繁なヒータ交換が必要となる。ヒータを交換することは、ヒータのコストによるばかりでなく、ヒータを交換する間、堆積チャンバの生産的使用が失われるために高価なものとなる。
【0010】
アルミニウム腐食、ヒータの軟化、及び温度制限に加えて、PECVD処理装置における金属堆積に関する他の懸念は、望ましくない金属堆積、及び関連する製造効率の問題である。最大の膜堆積は一般に温度が最も高い場所で起こるが、中にはプラズマの存在しない場合でも低温で発生する堆積もある。望ましくない金属堆積は、不均一な堆積、アーク発生、チャンバ構成要素の性能低下、及び/又はデバイス欠陥等の多くの問題を引き起こす。望ましくない金属堆積は、チャンバ壁や底面での発生に加えて堆積チャンバ又はチャンバ排気通路内のセラミックスペーサやライナ等の非導電構成要素上に発生する可能性もあり、これらの構成要素が導電体となってしまう。この望ましくない導電性金属堆積はグロー放電の形を崩壊させ、基板全体に不均一な堆積をもたらす。それはアーク発生の原因にもなり、基板や面板等のチャンバ部品を破損させるだろう。更に、チタンはヒータの部品やガス又は真空開口部に堆積して、そこを通る流れを不都合に制限するか、或いは厳密な公差の機械部品に生成してそれらの運転を妨げる。下に重なるチャンバ構成要素に不完全に付着したか、或いはヒータに生成した望ましくない堆積は、基板上に落下して基板欠陥の原因となる薄片その他の粒子をもたらし、基板の歩留まりを低減する。これら及び他の理由のために、チャンバは、定期的に洗浄されなければならない。これら及び他の理由により、チャンバは周期的に洗浄されなければならない。この頻繁さは少なくとも部分的なチャンバの分解及びふき取りを要求する。続いて、チャンバは再度組立てられて、「シーズニング」、すなわち一様な層が得られるまで、何度も堆積サイクルが行われなければならない。いずれの手順も堆積装置を生産作業から外れさせるので、非効率且つ不経済である。
【0011】
多くの用途で、セラミックヒータがアルミニウムヒータの使用の代替として提案されているが、セラミックヒータの製作とその堆積プロセスでの使用は多くの難題を提供する。このようなセラミックヒータは、プラズマと腐食性プラズマ種(例えばチタンPECVDプロセス及び関連洗浄プロセスで発見される塩素含有物質)との存在下で有利に使用できる。セラミックヒータは通常、アルミナ(Al23 )又は窒化アルミニウム(AlN)等の材料から成るセラミックヒータ本体内に電気的ヒータ素子を備えており、その本体は、堆積チャンバの腐食性環境からヒータ素子を保護すると同時に、ヒータ素子からの熱を基板に伝達する。通常は金属より硬くて脆いので、セラミック材料は機械加工が難しく、従って単純な機械的設計が必要となる。若干脆いために、セラミックは、相当の熱勾配に繰り返し遭遇すると、熱衝撃によるクラックが生じるかもしれない。クラックは、セラミックヒータアセンブリから、異なる熱膨張係数を有する材料への移動時の熱膨張の差によって生じる場合もある。同一材料から製作されたセラミック部品を接合することも難題である。その理由は、金属部品の組立に用いられる溶接、ボルト締め、蝋付け、ねじ締め等の多くの組立方法や装置は、セラミック部品に対して試みられる場合に、不当に困難であるか或いは信頼性がないからである。
【0012】
温度均一性の達成は、ヒータの、基板処理システムの高温での操作での他の問題である。周知であるが、堆積及びエッチレートは基板の温度に影響される。故に、基板を保持したヒータ表面にわたる温度差は、異なる堆積及びエッチとなる。この問題は、熱勾配が大きく成るであろう高温でより顕著になる。
【0013】
上記に鑑みて、改善された方法、システム及び装置が、高温(少なくとも約400℃)、腐食性環境における膜の効率的なプラズマ強化堆積のために必要である。最適な場合、これらの改善された方法と装置はチャンバ洗浄の要求を低減させるので、基板のアウトプットが向上される。特に、これらの装置と方法は、高アスペクト比の特徴部を有するデバイスの形成に対する処理要件に適合するように設計しなければならない。
【0014】
【発明の概要】
本発明は、ヒータアセンブリの上面及び下面に対称的に配置された抵抗加熱部材を有するヒータアセンブリを備えた基板処理システムを提供する。ヒータアセンブリは処理の意図する温度及びプロセス環境と互換性のある第1金属の外皮、及び、加熱部材とヒータアセンブリとの間に良好な熱カップリングを提供する第2金属のインナコアを有する。ヒータの対称性は、熱カップリングと結合され、ヒータが、ヒータアセンブリの過度な変形なく400度以上で良好な均一な加熱を提供することができるようにしている。
【0015】
特定の実施形態で、加熱部材は、ヒータの表面にわたって温度プロファイルに整合するように独立して制御される内部ループ及び外部ループを含む。内部ループと外部ループの間のインナコアの熱ギャップは、熱絶縁を提供する。
【0016】
更なる実施形態では、ヒータアセンブリのサポートシャフトに絶縁体が提供され、ヒータアセンブリがプラズマプロセスの電極として使用されうる。セラミック絶縁体がカンチレバークランプ付のヒータアセンブリのシャフトに付けられており、使用中に起こる異なった熱膨張を補償するように対応している。他の実施形態で、セラミック絶縁体はグラスメタルシールを有するシャフトに付けられており、ガスプルーフシャフト内部を提供している。
【0017】
本発明のこれら及び他の実施態様を、その利点及び特徴と共に、以下の記載と添付図面に関連してより詳細に説明する。
【0018】
【発明の実施の形態】
I.CVDリアクタ装置
A.CVDリアクタの一例の概要
本発明の実施形態は、膜(チタン膜等)を堆積させるために使用される約400℃より高温のシステム、方法、装置である。勿論、上記システム、方法、及び装置は、下記のように、チタン膜に加えて、珪化チタン、窒化チタン、チタン酸バリウムストロンチウム(BST)、ジルコン酸チタン酸鉛(PZT)、ポリシリコン、金属珪化物、窒化タングステン等の金属窒化物バリヤ、その他の膜等の他の膜を堆積させるために使用することができる。上記膜を使って金属層、接着層、バイアプラグ、その他の層を形成することができる。
【0019】
図1Aによれば、CVD装置10は、ガスライン92A〜29C(他のラインも存在するが図示せず)を経由して、ガス送出装置89からガスを受け取るリアクタチャンバ30を含む。真空装置88は、チャンバ内の特定圧力を維持するために使用されると共に、ガス状副産物と使用済みガスとをチャンバから除去する。RFパワー源5は、プラズマ強化プロセスのためにチャンバに高周波パワーを提供する。熱交換装置6は、水又は水グリコール混合液体等の液体熱移動媒体を使用してリアクタチャンバから熱を除去し、必要な場合チャンバの一定部分を安定した処理温度に維持するために冷却するのに適切な温度に維持する。プロセッサ85は、制御ライン3、3A、3B、3C、3D(他の制御ラインは図示せず)経由でメモリ86にストアされた命令に従って、チャンバとサブ装置の動作を制御する。
【0020】
プロセッサ85は、プロセッサ85に連結されたメモリ86にストアされたコンピュータプログラムの装置制御ソフトを実行する。メモリ86はハードディスクドライブであることが望ましいが、勿論メモリ86は他の種類のメモリでもよい。ハードディスクドライブ(例えばメモリ86)に加えて、好ましい実施形態のCVD装置10はフロッピーディスクドライブとカードラックとを含む。プロセッサ85は装置制御ソフトの制御下で動作するが、そのソフトはタイミング、ガスの混合、ガスの流れ、チャンバ圧力、チャンバ温度、RFパワーレベル、ヒータペデスタル位置、ヒータ温度、及び特定プロセスのその他パラメータを指示する命令のセットを含む。ディスクドライブその他の適当なドライブに挿入された、例えばフロッピーディスクその他のコンピュータプログラム製品を含む、他のメモリ上にストアされたプログラムのような他のコンピュータプログラムも、プロセッサ85を作動させるために使用され得る。装置制御ソフトについては以下に詳しく説明する。カードラックはシングルボードコンピュータ、アナログディジタルインプット/アウトプットボード、インターフェースボード、及びステッパモータコントローラボードを含む。CVD装置10の各種部品は、ボード、カードケージ、及びコネクタの寸法とタイプを定義するベルサモジューラヨーロピアンVersa Modular European(VME)規格に適合している。VME規格は16ビットデータバスと24ビットアドレスバスを有するバス構造も定義する。
【0021】
ユーザーとプロセッサ85間のインターフェースは、装置モニタとCVD装置10の簡略線図である図2に示されるCRTモニタ93aとライトペン93bによって行われ、マルチチャンバ装置のチャンバの1つとして図示されている。CVD装置10は、電気、配管その他の装置10用の支援機能を備えたメインフレームユニット95に取り付けられることが望ましい。CVD装置10の図示実施形態に適合する通常のメインフレームユニットは、カリフォルニア州サンタクララのアプライド マテリアルズ インコーポレイテッド からPrecision 5000 (商標)及び Centura 5200(商標)として現在市販されている。マルチチャン バ装置は、真空を破壊することなく、またウェーハを、マルチチャンバ装置外の湿気その他の汚染源に曝す必要なしに移動させる能力がある。マルチチャンバ装置の利点は、その装置の異なるチャンバを全プロセス内の異なる目的のために使用できることである。例えば、あるチャンバを金属膜の堆積に使用し、別のチャンバを急速熱処理に使用し、更に別のチャンバをアンチレフレクティブ層の堆積のために使用することができる。プロセスはマルチチャンバ装置内で妨害なしに進行するので、プロセスの異なる部分のために様々な独立の個別チャンバ(マルチチャンバ装置の場合ではない)間でウェーハを移動させるときにしばしば発生するウェーハの汚染が防止される。
【0022】
好ましい実施形態では、2つのモニタ93aが使用されるが、1つはオペレータ用にクリーンルーム壁に取り付けられており、もう1つはサービス技術者用にその壁の後に取り付けられている。両モニタ93aは同一情報を同時に表示するが、1つのライトペン93bだけが有効である。ライトペン93bはCRTディスプレイによって放射される光をペン先の光センサで検知する。特定の画面、すなわち機能を選択するには、オペレータは表示画面の指定エリアに触れて、ペン93bのボタンを押す。触れられたエリアはその色が明るくなるか、又は新しいメニュー、すなわち画面が表示されて、ライトペンと表示画面との間のコミュニケーションが確認される。勿論、ユーザーがプロセッサ85とコミュニケーションできるように、他の装置、例えばキーボード、マウスその他の指示又はコミュニケーションデバイスをライトペン93bの代わりか、それに追加して使用することができる。
【0023】
図1に戻って説明すると、ガス送出装置89は、ガス供給パネル90と、特定用途に使用される所望のプロセスによって変化するガス又は液体又は固体が収容されたガス又は液体ソース91A〜C(必要なら更なるソースが追加される)とを含む。液体ソースは、室温の変化によりソース温度変化を最小にする室温より高い温度に維持される。ガス供給パネル90は、混合のために堆積プロセスガス及びキャリヤガス(又は気化液体)をソース91A〜91Cから受け入れて、供給ライン92A〜92C経由でガス供給カバー板45の中央ガスインレット44へ送付する混合装置を有する。液体ソースはチャンバ操作圧力以上で蒸気を提供するように加熱され、又はHe、Ar、又はN2等のキャリヤガスが蒸気を発生するように液体(又は加熱液体)を通してバブルにされる。一般に、各プロセスガス用の供給ラインは、プロセスガスの流れを自動又は手動で遮断するために使用される遮断バルブ(図示せず)と、各供給ラインを通るガスや液体の流れを測定する質量流量コントローラ(図示せず)とを含む。有毒ガス(例えばオゾン又はハロゲンガス)がプロセスで使用されるとき、多くのシャットオフバルブが各ガス供給ラインに従来構成で配置される。例えば、四塩化チタン(TiCl4 )蒸気、ヘリウム(He)、アルゴン、並びに窒素(N2 )及び/又はその他ドーパントや反応物質のソースを含む、プロセスガス及びキャリヤガスが反応チャンバ30に供給される速度も、温度ベースの液体又はガス質量流量コントローラ(MFCs)(図示せず)及び/又はバルブ(図示せず)によって制御される。他の実施形態では、ガス混合装置(図示せず)は、反応液体を気化するために液体噴射装置を含む。液体噴射装置は、バブラタイプソースと比較して、ガス混合システムに導入された反応液の体積を抑制御するので、幾つかの例において好ましい。気化されたガスは混合パネルで、供給ラインに配送される前にヘリウム等のキャリヤガスと次に混合される。無論他のコンポーネントも堆積源として使用されうる。
【0024】
熱交換システム6は冷却剤を、チャンバ30の種々のコンポーネントに配送し、高温処理中にこれらのコンポーネントを冷却する。
この装置6は、高温プロセスによる、それらの構成要素への望ましくない堆積を最小にするために、これらのチャンバ構成要素の一部分の温度を減少させる働きをする。液体熱交換装置6は、クーラントマニホルド(図示せず)を通って冷却水を供給して、面板40(以下に説明)を含むガス分配装置にクーラントを送るためのコネクション(図示せず)を含む。水流検出器は熱交換器(図示せず)からエンクロージャアセンブリへの水流を検出する。
【0025】
抵抗的ヒータペデスタル32がウェーハポケット34の中でウェーハ36を支持している。ペデスタル32は自己調節リフト機構を使って、処理位置(示す)と下部ローディング位置(図示せず)との間で垂直に移動される。自動調整機構を使用して、これは米国特許出願第08/892612号明細書(1997年7月14日出願、発明者 Lionid Selyutin、Talex Sajoto及び Jun Zhao )、名称「改良自動調心リフト機構」(Attorney Docket No. AM 2137) に詳記され、その開示内容は本明細書に援用されている。リフトピン38(1つのみ図示)はペデスタル32内でスライド可能だが、その上端の円錐ヘッドによって脱落が防止されている。リフトピン38の下端が垂直可動リフトリング39に係合して、ペデスタル表面の上方に持ち上げられる。ペデスタル32が下部ローディング位置のときに(開口部56よりわずかに下)、リフトピンとリフトリングとに共働するロボットブレード(図示せず)が、開口部56を通ってチャンバ30の内外にウェーハを移動させる。開口部は真空シールされて、開口部56を通ってチャンバに出入りするガスの流れが防止され得る。挿入されたウェーハ(図示せず)をリフトピン38がロボットブレードから持ち上げると、次にペデスタルが上昇して、ウェーハをリフトピンからペデスタル上面のウェーハポケットの上に持ち上げる。適当なロボット式移動アセンブリは、Maydanに対して発行され、通常的に譲渡された米国特許第4,951,601 号明細書に記載され、その開示内容は完全に本明細書に援用されている。
【0026】
ペデスタル32は次にウェーハ36を、ガス分配面板(以下「シャワーヘッド」とする)40に近接した処理位置に更に持ち上げる。40は、プロセスゾーン58にプロセスガスを噴射するために多くの孔又は通路42を含む。プロセスガスはリアクタ30内に噴射され、ガス供給カバー板45の中央ガスインレット44を通って第1の円板状スペース48に入り、そこからバッフル板(又はガスブロッカー板)52の通路50を通って第2の円板状マニホールド54に至る。
【0027】
矢印で示すように、プロセスガスはウェーハ36の表面で反応するために、シャワーヘッド40の孔42からシャワーヘッドとペデスタルとの間のプロセス領域58に噴出される。プロセスガス副産物は次に、ウェーハ36の端部を横切って半径方向外方に流れる。そこから、プロセスガスは、環状アイソレータ62の底部とチャンバ壁ライナアセンブリ53の上部との間に形成されたチョーク開口部61を通ってポンプチャネル60に流入する。ポンプチャネル60に入ると、排気ガスは処理チャンバの外周まわりを通って真空ポンプ82によって排気される。ポンプチャネル60は排気開口部74を介してポンププレナム76に接続される。以下に、より詳細に説明するように、排気開口部74はポンプチャネルとポンププレナムとの間の流れを制限する。バルブ78は排気通気口80を通して排気を真空ポンプ82に導く。スロットルバルブ83は、メモリ(図示せず)にストアされた圧力制御プログラムに従って、装置コントローラ(この図にはさず)によって制御される。プログラムは、圧力計等の圧力センサ(図示せず)からの測定された信号を、メモリにストアされているか或いは制御プログラム従って作成された所望の値と比較する。
【0028】
図2を参照する。環状ポンプチャネル60の側面はセラミックリング64、チャンバリッドライナ70、チャンバ壁ライナ72、及びアイソレータ62によって画成されている。セラミックチャンバライナそれ自体は周知であり、Robertson 他に付与され、通常的に譲渡された米国特許第5,366,585 号明細書に記載され、その開示内容は本明細書に援用されている。チャンバリッドライナ70は、リッドリム66に面したポンプチャネル60の側に置かれ、リッドの形状に一致している。チャンバ壁ライナ72は主チャンバ本体11に面するポンプチャネル60の側に置かれている。両ライナは、望ましくはアルミニウム等の金属から形成され、堆積される任意の膜の接着力を増すためにビード吹き付けが行われている。リッドライナ70と壁チャンバライナ72とは一対として寸法決めされている。チャンバリッドライナ70は、リッドライナをリッドリムに電気的にも接続している複数のピン75によって、リッドリム66に、取り外し可能に固定されている。しかしながら、チャンバ壁ライナ72はセラミックリング64の外部上面に形成された棚部65上に支持されて、チャンバ壁ライナ72と主チャンバ本体11との間に半径方向ギャップ73が形成されるような直径を有するように正確に形成されるので、リッドとチャンバライナとの間には軸方向ギャップ75が形成される。
【0029】
チョーク開口部61はシャワーヘッド40とウェーハ36との間のプロセス領域58の深さよりかなり幅が狭く、円周ポンプチャネル60の最小横方向寸法よりもかなり小さく、例えば少なくとも1/5である。運転圧力において充分な空力抵抗を生じるようにチョーク開口部61の幅は充分小さく、またその長さは充分長くされるので、チョーク開口部61前後の圧力低下はウェーハの半径方向にわたる圧力低下又は環状ポンプチャネルの外周まわりの圧力低下よりもかなり大きい。実際には、チョーク開口部61が充分な空力インピーダンスを導入するので、ウェーハの中央からポンプチャネル内までの圧力低下が、ポンプチャネル内の円周方向圧力低下の10%以下となることは珍しくない。収縮した排気開口部は、空力インピーダンスを発生させることによってチョーク開口部と同様の機能を果たし、円周ポンプチャネル60まわりにほぼ均一な圧力を作り出す。
【0030】
モータと光学センサ(図示せず)を用いて、スロットルバルブ83とペデスタル32等の可動機械アセンブリの位置を移動して決定する。ペデスタル32とチャンバ本体76の底部に取り付けられたベロー(図示せず)はペデスタルのまわりに可動ガス密シールを形成する。ペデスタルリフト装置、モータ、ゲートバルブ、任意の遠隔プラズマ装置(例えばマイクロ波ソースを用いて形成された遠隔プラズマを使用してチャンバ洗浄能力を高めるために使用される)を含むプラズマ装置、その他の装置構成要素は、制御ライン3と3A〜3D(一部だけが図示)により、プロセッサ85によって制御される。
【0031】
図2Aは代表的チャンバの更なる特徴を示す。ペデスタル32はヒータアセンブリ及びサポートシャフトを含む。多層加熱シールド31がヒータアセンブリの底部にボルト止めされ、ヒータアセンブリの底部及び底面からの熱の損失を低減している。ヒータアセンブリ33は、インナコア12及び外部シェル13を含む。インナコアは、シェルを製造する金属より高い熱伝導性を有する金属から製造されている。この構成は少なくとも2つの目的を提供する。初めに、インナコアがコアシェルインタフェースに沿って熱を広げ、もってシェルの表面の温度均一性を改良する。第2にインナコアが熱的に対向するシェル面をショートし、ヒータアセンブリの歪みを、以下に詳細に述べるように低減する。マルチ(例えば特定の実施形態では2)抵抗加熱部材、外部加熱部材14A、及び内部加熱部材14bはインナコアの中央平面に配置されている。しかし本発明の利点は、単一加熱部材が使用される場合にも実現されうる。リフトピン38がリフトピンホール20に置かれ、リフトピンホール37に並んでいる。特定の実施形態で、リフトピン38及びリフトピンホールライナ37はセラミックから作られており、金属部材と比較して、粒子発生を低減するのと同じように、高温での摩耗及び摩擦を低減している。
【0032】
図2Bに示すように、リフトピンホールライナはCリング21で所定の位置に保持され、シェルの溝にスナップされている。リフトピンホールギャップ22はリフトピンホールの回りに残され、インナコアとシェルとの間の異なる熱膨張の原因となっている。リフトピンホールスリーブ23は通常シェルと同じ金属から作られ、シェル内のインナコアをリフトピンホールでシールしている。ヒータアセンブリ33の更なる詳細は以下に示す。
【0033】
図2Cは、ヒータアセンブリの上及び横に置かれたシールド84を有するヒータアセンブリ33の概略断面図である。シールドプレートは、プラズマ処理又は洗浄中に、又は腐食プロセス環境からヒータシェルを保護するように、又はバックスパッタリングによる汚染を低減するようにカバープレートをシュミレートする。シールドは、ヒータシェルが作られている材料よりも通常異なった材料であり、もしシェル材料のものより異なった腐食が望ましい場合、例えば窒化アルミニウム又は石英シリカであり、シールド材料の選択がチャンバ又はウェーハ汚染のより少ない供給をもたらすのであれば、他の材料でもよい。
【0034】
上記のCVD装置の幾つかの見地は典型的なCVDチャンバの通常のもので、カリフォルニア州サンタクララのアプライド マテリアルズ社に通常的に譲渡された米国特許出願第08,348,273号明細書(1994年11月30日出願、発明者 Zhao 他)に詳細に記載されており、その開示内容は本明細書に援用されている。本発明によるCVD装置の他の見地を以下に更に詳しく説明する。
【0035】
B.装置制御
膜を堆積するプロセス及びチャンバをドライ洗浄するプロセスは、プロセッサ85で実行されるコンピュータプログラムプロダクトを用いて実施され得る(図1A)。コンピュータプログラムコードは、68000アセンブリ言語、C、C++、パスカル、フォートラン又は他のもの等の従来のどのコンピュータ読取り可能プログラミング言語によっても書かれることができる。プログラムコードとしては、単一のファイル又は複数のファイルに入力されており、従来のテキストエディタを使用して、コンピュータのメモリ装置等のコンピュータ使用可能媒体で具現化又はストアされているものが好適である。入力されたコードテキストが高級言語の場合、コードはコンパイルされ、その結果生じたコンパイラコードは次に、コンパイルされたウインドウズライブラリルーチンのオブジェクトコードとリンクする。装置使用者は、リンクされ且つコンパイルされたオブジェクトコードを実行するために、オブジェクトコードを呼び出し、そのコードをコンピュータ装置によってメモリにロードさせ、そのメモリからCPUにそのコードを読取らせてコードを実行させ、プログラムで識別されたタスクを行わせる。
【0036】
図3は、特定の実施形態に従った、装置コントロールソフトウェア、コンピュータプログラム160の階層的コントロール構造を示したブロック図である。使用者は、CRTモニタに表示されたメニュー又は表示面に応じてプロセスセット番号及びプロセスチャンバ番号を、ライトペンインターフェースを用いてプロセス選択サブルーチン161に入力する。プロセスセットは、特定のプロセスを実行するのに必要なプロセスパラメータの所定の組合わせであり、予め決められたセット番号で識別される。プロセス選択サブルーチン161は、(i)所望のプロセスチャンバと、(ii)所望のプロセスを実行するプロセスチャンバを操作するのに必要な所望のプロセスパラメータのセットとを識別する。特定のプロセスを行うためのプロセスパラメータは、例えば、プロセスガスの組成及び流量、温度、圧力、高周波RFパワーレベル及び低周波RFパワーレベル並びに高周波RF周波数及び低周波RF周波数等のプラズマ条件(更に、遠隔マイクロ波プラズマ装置を備えた実施形態のマイクロ波発生パワーレベル)、冷却ガス圧及びチャンバ壁温度等のプロセス条件と関係している。プロセス選択サブルーチン161は、チャンバ30内で、ある時間に、どのタイプのプロセス(堆積、水洗浄、チャンバ洗浄、チャンバゲッタリング、リフロー)が実行されるかを制御する。実施形態によっては、1以上のプロセス選択サブルーチンを有するであろう。プロセス条件は使用者にレシピの形で提供され、プロセスレシピによって特定されたパラメータは、ライトペン/CRTモニタインターフェースを用いて入力される。
【0037】
プロセスをモニタする信号は、装置コントローラのアナログインプットボード及びデジタルインプットボードによって提供され、プロセスを制御する信号は、CVD装置10のアナログアウトプットボード及びデジタルアウトプットボードにアウトプットされる。
【0038】
プロセスシーケンササブルーチン162は、識別されたプロセスチャンバ及びプロセスパラメータのセットをプロセス選択サブルーチン161から読み込むためと、多様なプロセスチャンバを制御操作するためとのプログラムコードを含んでいる。多数の使用者がプロセス組合せ番号及びプロセスチャンバ番号を入力することができ、或いは一人の使用者が多数のプロセス組合せ番号及びプロセスチャンバ番号を入力することができ、シーケンササブルーチン162によって、選択されたプロセスが所望のシーケンサにスケジュールされるように操作される。好ましくは、シーケンササブルーチン162は以下のステップを行うプログラムコードを含んでいる。すなわち、(i)プロセスチャンバの作動状況をモニタして チャンバが使用されているか否かを決定するステップ、(ii)何のプロセスが、使用されるチャンバ内で行わるかを決定するステップ、(iii)実行されるプロセス の型及びプロセスチャンバの使用可能度(availability)をベースにして所望の プロセスを実行するステップである。プロセスチャンバが使用可能かをモニタする従来の方法はポーリング(polloing)等であった。シーケンササブルーチン162は、どのプロセスが実行されるかをスケジュールするときに、どのプロセスを優先させるかといったスケジュールを決定するために、選択したプロセスに対する、所望のプロセス状況と対比した使用プロセスチャンバの現状況若しくは使用者が入力した各々の特定リクエストの「年代(age)」、又は装置プログラマが 含めることを望む他の関連あるファクタを考慮するように設計されることができる。
【0039】
シーケンササブルーチン162が、どのプロセスチャンバ及びプロセスセットの組合わせを次に実行するかを決定すると、シーケンササブルーチン162は、特定のプロセスセットパラメータをチャンバ管理サブルーチン163a〜cに渡してプロセスセットうを実行する。チャンバ管理サブルーチン163a〜cは、複数の処理タスクを、シーケンササブルーチン162によって決定されたプロセスセットに従ってプロセスチャンバ30内で制御するものである。例えば、チャンバ管理サブルーチン163bはプロセスチャンバ30内のスパッタ及びCVDプロセスの操作を制御するプログラムコードを含んでいる。チャンバ管理サブルーチン163bは、また多様なチャンバ構成要素サブルーチンの実行を制御し、それらのサブルーチンは、選択されたプロセスセットを実行するのに必要なチャンバ構成要素の操作を制御する。チャンバ構成要素サブルーチンの例としては、基板位置決めサブルーチン164、プロセスガス制御サブルーチン165、圧力制御サブルーチン166、ヒータ制御サブルーチン167及びプラズマ制御サブルーチン168がある。CVDチャンバの特定の形状によって、実施形態は上記サブルーチンの全てを含む場合もあるし、上記サブルーチンの幾つかのみを含む場合もあり得る。当業者は、処理チャンバ30内でどのようなプロセスの実行が望まれるかによって、他のチャンバ制御サブルーチンが含まれ得ることを容易に認識するであろう。操作中に、チャンバ管理サブルーチン163bは、実行される特定のプロセスセットに従って、プロセス構成要素サブルーチンを選択的にスケジュールするか又は呼び出す。チャンバ管理サブルーチン163bは、シーケンササブルーチン162がどのプロセスチャンバ及びプロセスセットが次に実行されるかをスケジュールしたのと同様にプロセス構成要素サブルーチンをスケジュールする。通常、チャンバ管理サブルーチン163bは、個々の構成要素をモニタするステップと、実行されるプロセスセットのプロセスパラメータをベースにしてどの構成要素に操作が必要かを決定するステップと、モニタステップ及び決定ステップに応答してチャンバ構成要素サブルーチンを実行するステップとを含んでいる。
【0040】
特定のチャンバ構成要素サブルーチンを図3を参照して説明する。基板位置決めサブルーチン164はチャンバ構成要素を制御するプログラムコードを含んでおり、そのプログラムコードは基板をペデスタル32上にロードするためと、基板と基板をチャンバ30内で望ましい高さに持ち上げて基板とシャワーヘッド40との間のスペースを制御するために用いられるものである。基板がプロセスチャンバ30内にロードされると、ヒータアセンブリ33は基板を受けるようにウェーハポケット34内に下げられ、その後所望の高さに持ち上げられる。操作中、チャンバ管理サブルーチン163bから転送されたサポート高さに関するプロセスセットパラメータに応じて、基板位置決めサブルーチン164はペデスタルの移動を制御する。
【0041】
プロセスガス制御サブルーチン165は、プロセスガス組成及び流量を制御するプログラムコードを有する。プロセスガス制御サブルーチン165は、安全遮断バルブの開閉位置を制御し、また所望のガス流量を得るために質量流量コントローラの流量をランプ増減(ramp up/down)する。プロセスガス制御サブルーチン165は、全てのチャンバ構成要素サブルーチンと同様にチャンバ管理サブルーチン163bによって呼び出され、チャンバ管理サブルーチンから所望のガス流量に関するプロセスパラメータを受け取る。基本的に、プロセスガス流量制御サブルーチン165は、ガス供給ラインを開けて、繰り返して(i)必要な質量流 量コントローラを読取ること、(ii)読取り値を、チャンバ管理サブルーチン163bから受け取った所望のガス流量と比較すること、(iii)必要に応じてガス供 給ラインの流量調整することの操作を行う。更に、プロセスガス制御サブルーチン163は、ガス流量を危険流量に対してモニタするステップと、危険な状態が検出されたら安全遮断バルブを動作させるステップとを含んでいる。選択された(清浄或いは堆積或いはその他の)所望のプロセスによって、プロセスガス制御サブルーチン165は、堆積ガスと同様に、清浄なガスのためのガス組成及び流量も制御する。他の実施形態も、各サブルーチンが特定のタイプのプロセス或いは特定のガスラインのセットを制御している、1以上のプロセスガス制御サブルーチンを有することができる。
【0042】
プロセスの中には、反応性プロセスガスがチャンバ内に導入される前にチャンバ内の圧力を安定させるために、窒素又はアルゴン等の不活性ガスがチャンバ30に流入されるものもある。プロセスガス制御サブルーチン165は、これらのプロセスに対してチャンバ内の圧力を安定するために必要な時間不活性ガスをチャンバ30に流入するステップを含むようにプログラムされており、そしてこのステップは実行されであろう。更に、プロセスガスが、例えばTiCl4といっ た液体前駆物質から気化される場合は、プロセスガス制御サブルーチン165は、ヘリウム等の送出しガスをバブラ(bubbler)アセンブリ内の液体前駆物質に通 してバブリングするステップ又はヘリウム等のキャリヤガスを液体噴射装置に導入するステップを含むように書込まれているであろう。このタイプのプロセスにバブラが使用された場合、プロセスガス制御サブルーチン165は送出しガスの流れ、バブラ内の圧力及びバブラ温度を、所望のプロセスガス流量を得るように調整する。上述したように、所望のプロセスガス流量はプロセスパラメータとしてプロセスガス制御サブルーチン165に転送される。更に、プロセスガス制御サブルーチン165は、所望のプロセスガス流量を得るために必要な送出しガス流量、バブラ圧及びバブラ温度を、所定のガス流量に対する必要な値を含む記憶された表にアクセスすることによって得るためのステップを含んでいる。必要な値が得られると、それに応じて送出しガス流量、バブラ圧及びバブラ温度がモニタされ、必要な値と比較され、調整される。
【0043】
圧力制御サブルーチン166は、チャンバの排気装置115に設けられたスロットルバルブの開口部の大きさを調整することによって、チャンバ30内の圧力を制御するためのプログラムコードを含んでいる。スロットルバルブの開口部の大きさは、全プロセスガス流、プロセスチャンバの大きさ及び排気装置のポンピングの設定圧力に対応して、チャンバ圧を所望のレベルに制御するように設定されている。圧力制御サブルーチン166が呼び出されると、所望の又は目標の圧力レベルが、チャンバ管理サブルーチン163bからパラメータとして受容される。圧力制御サブルーチン166は、チャンバに連結された1以上の従来圧力計を読むことによってチャンバ30内の圧力を測定し、測定値を目標圧力と比較し、PID(比例、積分及び微分)値を目標圧力に対応させて記憶圧力表から得て、スロットルバルブを圧力表から得られたPID値に従って調整するように作動する。また、圧力制御サブルーチン166は、開口部がチャンバ30を所望の圧力に調整する特定の大きさになるようにスロットルバルブを開閉するように書込まれることもできる。
【0044】
ヒータ制御サブルーチン167は、ペデスタル32(及びその上の基板)を抵抗的に加熱するのに用いられるヒータヒータ素子14A及び14Bの温度を制御するプログラムコードを含んでいる。ヒータ制御サブルーチン167はまたチャンバ管理サブルーチンによって呼び出され、目標値又は設定値の温度パラメータを受信する。ヒータ制御サブルーチン167は、ペデスタル32に配置された熱電対の電圧出力を測定することによって温度を測定し、測定温度を設定温度と比較し、ヒータユニットに加えられる電流を増減し、設定温度を得る。温度は、記憶換算表の対応する温度を測定電圧から調べることにより、又は4次の多項式(a fourth order polynomial)を用いて温度を計算することによって得られる。埋込み型ルー プ状体がペデスタル32を加熱するのに用いられる場合、ヒータ制御サブルーチン167は、ループ状体に加えられる電流のランプ増減を徐々に制御する。加えて、プロセス安全コンプライアンスを検出するように組込みフェールセーフモードを含めることができ、プロセスチャンバ30が適当に準備されていない場合、ヒータユニットの操作を停止することができる。ヒータ制御の代替え方法としては、ランプ制御アルゴリズムを使用するものがあるであろう。その方法は、同時継続され、通常通り譲渡され、”気相堆積装置の温度を制御するための装置及び方法”という名称であって、発明者としてJonathan Frankelが記載され、1996 年11月13日に出願された米国特許出願第08/746657号明細書(Attorney Document No.AM1680-8/T16301-170)に説明されており、その内容は本明細書に援 用されている。
【0045】
プラズマ制御サブルーチン168は、チャンバ30内のプロセス電極及びヒータアセンブリ32に印加される高低周波数RFパワーレベルをセットするためと、使用される高低RF周波数をセットするためのプログラムコードを含んでいる。エッチバックチャンバが使用される場合、プラズマ制御サブルーチン168は、エッチバックチャンバに対するRFパワーレベルもセットする。前に説明したチャンバ構成要素サブルーチンと同様に、プラズマ制御サブルーチン168はチャンバ管理サブルーチン163bによって呼び出されれる。遠隔プラズマ発生器を含む実施形態のために、プラズマ制御サブルーチン168遠隔プラズマ発生器の制御用のプログラムコードも含むであろう。
【0046】
C.合金加熱器アセンブリ
図4Aは、本発明によれば、加熱器軸受台32の実施例の簡略横断面図である。インナコアは、上部インナコア部材12A及び下部インナコア部材12Bから作られてもよい。特定の実施例で、上部インナコア部材12A及び下部インナコア部材12Bは銅から作られるが、良好な熱伝導率を有する他の材料が使われてもよい。上部インナコア部材12Aは、内部及び外部の加熱素子14A及び14Bを受け入れるように加熱素子溝401で機械加工される。下部インナコア部材12Bは、冷却管材料402を受け入れるように機械加工される。管材料内の冷却メディアが加熱器アセンブリから熱を抜き出せるように、冷却管材料402は下部コア部材に熱的に連結される。加熱器アセンブリを不自由なく扱えるように、冷却管材料内の冷却メディアの循環は、動作温度からより低い温度まで加熱器アセンブリを冷却するのに必要な時間を減らす。ガス又は液体が、冷却メディアとして使われてもよい。液体の沸点が最も高い予想温度に適するように、液体冷却媒体は選ばれなければならない。適当な液体は、パーフルオロエーテル、エチレングリコール、鉱油及び水又は水混合物を含む。若干の使用において、加熱器アセンブリが液体冷却媒体を使うのに十分に冷えるまで、冷却媒体としてガスを使うことは、有利かもしれない。特定の実施例で、管材料を冷却しているステンレス鋼は、下部インナコア部材12B内の冷却管材料溝403にろう付けされる。加熱器アセンブリが加熱器アセンブリに支持軸25を溶接する前に製造された後、冷却管材料延長部406は冷却管材料スタブ404に冷却管材料溶接継手405で溶接される。これは、加熱器アセンブリの全高が、それがより多くの加熱器が処理加工した運転の間、単一炉でスタックされるのを許すので、ろう付け動作の間、特にこのように費用をセーブして、加熱器アセンブリの製造に、短くしておかれるのを可能にする。
【0047】
特定の実施例で、熱ブレーク407は、上部インナコア部材12A及び下部インナコア部材12Bに製造される。熱ブレークは外部加熱エレメント14Aを内部加熱エレメント14Bから分離し、加熱器アセンブリ33の2つからなる帯加熱を提供する。内部の加熱エレメント14Bは、動力を供給されてもよく、外部加熱エレメントから別に制御されてもよく、加熱器アセンブリの面上に一様な加熱を進めるか、或いは、加熱器アセンブリの面を横切って制御可能な熱のこう配を確定する。以下に示すように、加熱器機素スタブ408(図4Aで示される4つのうちの1つだけ)は軸25に突き出る。熱電対アセンブリ409は、フィードバック信号を加熱エレメントへの電力を制御するために提供する。特定の実施例で、エレメントに電力を提供している別個の動力制御装置回路(図示せず)を有しており、1つのばね負荷された完全におおわれた熱電対は、外部加熱エレメント14A及び内部加熱エレメント14B用のフィードバック信号を提供する。
【0048】
加熱器アセンブリシェルは、インナコア材料の熱伝導率より小さい熱伝導率を有する金属合金から製造され、予期されたチャンバ状態に適している。高温で腐食環境を含むチャンバ状態のために、クロム、モリブデン及びニッケルを含んでいる合金は、しばしば適当なシェル材料である。これらの材料は、機械加工するのが難しいかもしれない。
【0049】
特定の実施例で、シェルは、同様の合金がHASTELLOYの名で売られている、3つの合金C-22(51.6%のニッケル、21.57%のクロム、13.5%のモリブデン、4%のタングステン5.5%の鉄、2.5%のコバルト及び1%のマンガン、少量のバナジウム、シリコン、炭素及び他のエレメント)から作られていて、合金C-276のような、他の合金を用いることも可能である。この合金からシェルを製造することは、少なくとも700℃の温度にするのに加熱器の使用を許す。これらの合金は塩素によって誘発された応力腐食クラックへの優れた抵抗を示すので、10%を超えるニッケル及び3%を超えるモリブデンを有する合金は、特に塩化物イオンを含んでいる環境にふさわしい。一般のステンレス鋼より約7倍硬い合金C-22は、いくぶん機械加工するのが難しい。従って、機械加工のための単純な形による加熱器アセンブリが好適である。
【0050】
頂部外板13Aは、特定の実施例によって、胴締め帯13Cで底外板13Bに接合される。このアセンブリは、機械加工の必要を単純化する。胴締め帯13Cは、好ましい実施例で溶接されている電子ビーム(Eビーム)を用いて、円周溶接部410で頂部外板13Aに溶接される。胴締め帯13Cは、頂部外板13Aの厚さを通して、おおよそ中途に切断される胴締め帯棚412に座している。この構成は、動作温度上でシェルそりを減らす。胴締め帯13Cは、好ましくは電子ビームで、垂直溶接部411で底部外板13Bに溶接される。特定の実施例で、銅(内部のコア材のために使われる)はシェルのために使われる合金C-22(例えば 12×10-6/℃)より高い熱膨張係数(例えば18x10-6/℃)を持つ。従って、加熱器アセンブリが加熱するにつれて、銅のインナコアは合金C-22のシェルより膨張する。
【0051】
頂部外板及び底外板の厚さは、適切なシェル強さにシェルが過度にそらないようなものを提供するように選ばれる。特定の実施例で、(上部インナコア部材12A及び下部インナコア部材12Bの)インナコアは厚さおよそ1インチであり、頂部及び底部外板はそれぞれ厚さ約0.35インチである。加熱器アセンブリのそりは、円周溶接部410及び垂直溶接部411の位置の選択によって、更に減らされる。(上部及び下部インナコア部材12A及び12Bの)インナコアの厚さへのこれらの溶接部(すなわち胴締め帯の高さ13C)の間の垂直スペーシングの比は、方程式に従うシェル材料の熱膨張係数と内部のコア材の熱膨張係数の比と好ましくは同等である:
胴締め帯溶接部間の垂直スペーシング≧(Tcコア)/(Tcシェル)xコアの厚さ
Tcコアはコア材の熱膨張係数であり、Tc シェルはシェル材料の熱膨張係数である。
【0052】
実際問題として、溶接部間の垂直スペーシングは、頂部及び底外板の熱膨張の原因であるインナコア厚さによって掛けた、コア及びシェル材料の熱膨張係数より大きくてもよい。約40-80ミルの端すき間413は、最も高い意図された動作温度によってインナコア及び胴締め帯の間の両側上に残される。以下に述べるように、銅板がステンレス鋼陽極プレートにボルトで締められた従来の若干の加熱器アセンブリ設計とは異なり、本発明の加熱器アセンブリは一緒にろう付けされる。従来のそのような加熱器アセンブリ設計で、銅は若干のステンレス鋼の熱膨張係数と類似した熱膨張係数を持ち、これらの2つの材料が限られた温度レンジ上で使用のために一緒にボルトで締められるのを可能にする。しかし、従来のそのような加熱器アセンブリの操作可能寿命(加熱サイクル数)は、熱のサイクリングのためにボルトをゆるめることによって、またボルト及び熱応力上のあまりにたくさんのトルクから起こるボルト孔のまわりでひび割れることによって、起因する非信頼性によって、たびたび制限される。以上で述べたように、本発明の加熱器アセンブリにおいて、ろう付けは分解及びボルト故障を取り除くだけでなくて、熱分布及び熱ショートを改良するように加熱器アセンブリ層間で優れた熱の連結を提供もする。一緒に加熱器アセンブリもろう付けするのに、ステンレス鋼及び銅の使用の他に、異種金属の使用も可能である。
【0053】
図4Bは、加熱器エレメント12A(内部又は外部)及び上部インナコア部材の一部の横断面図である。加熱エレメント14は、電気的絶縁コア502に囲まれているニクロム電熱線503をケースに入れるステンレス鋼外被501を含んでもよい。絶縁コア502は、例えば、酸化マグネシウムであってもよい。加熱エレメント14は、上部インナコア部材12Aの加熱エレメント溝401に、ALTAIR TECHNOLOGIES INC.によってA100905として売られているろう付け微粉、同様のろう付け微粉、又は均一に加熱エレメント溝を充てんする約95%の銅及び約5%の金を有するろう付け微粉といったろう付け微粉でろう付けされる前に、金メッキされる。ろう付けは、約1030'Cでなされ、加熱エレメント14をろう付け504でほとんど囲むことによって、上部インナコア部材12Aに加熱エレメント14を熱的に連結する。この熱の連結は加熱エレメントからインナコアへの熱伝達を改良して、そのうえ加熱エレメント外被501の面に沿ってホットスポットを減らし、従って加熱器寿命をのばす。
【0054】
上部インナコア部材に加熱エレメントを上部インナコア部材にろう付けした後に、上部インナコア部材及び加熱エレメントの上部インナコアサブアセンブリは、機械加工され滑らかな面を得る。サブアセンブリは、次いで下部インナコア板12B(図4A)にろう付けされる。同時に、冷却管402は下部のインナコア部材12Bの冷却管溝403にろう付けされる。ペースト形でコンパウンドをろう付けしている金の銅が、この動作に使われてもよい。上部インナコアサブアセンブリと下部インナコアのインナコアサブアセンブリ及び冷却管は、次いで、滑らかな表面仕上げを得て、ろう付け中に発生する可能性のある反りを取り除き、表面汚染物質を取り除き、要求されたサイズにインナコアサブアセンブリを縮小するように機械加工される。
【0055】
インナコアサブアセンブリは、次いで、インナコアアセンブリと頂部外板間の箔及びインナコアアセンブリと底部外板間のろう付け箔に巻かれた、約65%が銅で約35%が金のろう付け合金の層を有する頂部外板13A及び底部外板13Bでアレンジされる。リフトピンホールは、セラミックスペーサを使って一直線に並べられる。インナコアでのリフトピンホールは、追加のインナコア膨張を可能にする外板でのリフトピンホールよりわずかに大きい。ろう付けの後、外板は胴締め帯13Cを受けとるように機械加工される。上で示したように、胴締め帯の頂部13Cは頂部外板の中央13Aに溶接される。これは、残留の溶接によって誘発された応力を減らす。胴締め帯13Cは、例えば、電子ビーム溶接方法を使用している頂部外板及び底外板に溶接されてもよい。リフトピンホールスリーブ23(図2B)は、シェル内でインナコアを密封するために頂部及び底外板に溶接される。リフトピンホールスリーブは、頂部及び底部外板と同じ材料でできていてもよい。
【0056】
再び図4Aに言及して、軸が加熱器アセンブリに接合された後、冷却管材料が軸25を越えて延びるように、冷却管延長406は冷却管スタブ404に溶接される。同じように、加熱器線延長棒414は電熱線スタブ415(示される4つのうちの1つだけ)に溶接され、熱電対案内管418(加熱器シェルと同じであるか同様の材料でできている)は加熱器アセンブリに溶接される。加熱器軸受台軸25は、次いで加熱器アセンブリ33に溶接される。溶接部は、加熱器動作の間、及び次の製造プロセスの間、高温に加熱されてもよい安全な継手を生産する。そのような次の製造方法は、チタン、他の金属又はセラミックによる被覆の炎-スプレ塗装か他の手段であってもよい。意図された堆積プロセスで両立できる層で、加熱器アセンブリをおおうことは、堆積層の汚染を減らすことができ、微粒子の発生を減らすことができ、また耐食性を改良することができる。セラミック管416は、他の成分から加熱器線延長棒414を電気的に絶縁する。ガラス繊維又は炭素ファイバ補強されたPEEKを含むVESPEL(商標)(polyetheretherketone(PEEK))、TEFLON(商標)、DELRIN(商標)といった高温プラスチックでできていてもよい絶縁プラグ417は、例えば、加熱器軸受台軸25を出る様々な加熱器軸受台コンポーネントを支える。軸の内部が操作チャンバ圧力上にかかる周囲圧力にあるのを可能にするように、軸25及び加熱器アセンブリ33は接合される。
【0057】
図5は、頂部外板13A、上部インナコア部材12A、下部インナコア部材12B及び底部外板13Bの分解図を示す。加熱器アセンブリの他の特徴はまた、熱ギャップ407Aによって切り離される、外部加熱エレメント14A及び内部加熱エレメント14B(それぞれが二重のループを形づくる)のパターンを特に示す。全ての4つの加熱エレメント・スタブ408が、ここで見えるであろう。図6は、リフトピンホール20Bと同様に加熱エレメント溝401及び熱ギャップ407Aのパターンを更に示す、上部インナコア部材12Aの横断平面図である。
【0058】
図7は、特定の実施例によって熱シールド31の追加の詳細を示している部分的な横断面図である。特定の実施例で、熱シールドは加熱器アセンブリシェルと同じ合金から作られるが、これは必要ではない。風よけは、垂直溶接部707及び708で、マウンティングリング・スタンドオフ706に溶接される。マウンティングリング・スタンドオフ706は、熱シールド31を加熱器アセンブリ(図示せず)の底に付けるように、ボルト又はねじ穴700を含む。スタンドオフリップ710は、伝導伝熱を減らすように、予め定められた距離で加熱器アセンブリの底から離して上部風よけ704を保持し、従って熱シールドの効率を改善する。外部シールドリング701は、放射状の溶接部703の底部風よけ702に溶接されるか、或いは単に風よけに位置してもよい。外部シールドリングが溶接されないか、或いは風よけに取り付けられなかった場合、様々な外部シールドリングがガス流インピーダンスを調整するのに使われてもよく、又は、外部シールドリングは風よけに、容易に溶接可能でない材料(例えばセラミック材料)から作られてもよい。外部シールドリング701は、垂直溶接部705で上部風よけ704に溶接される。溶接部703及び705は、好ましい実施例での電子ビーム溶接である。
【0059】
熱シールド31の効率は、風よけの数を増やすことによって増すことが可能である。一列の風よけを通しての放射伝熱は、1/(1 +n)(nは風よけの数)の関係によっておおまかに変化する。風よけの数を増やすことは、シールドを通しての放射伝熱を減らし、加熱器アセンブリから失われる熱を減らす。従って、与えられた温度を達成する加熱器の所要電力は減り、加熱器アセンブリの側部間の温度差が減る。外部シールドリング701は加熱器アセンブリの端で失われる熱の縮小を助け、それによってウェーハ端の冷却を減らし、プロセスの均一性を改善する。
【0060】
D. RFアイソレータとカプラー
図8Aは、プラズマ電極としてヒーターアセンブリを用いるための本発明のもう1つの実施例の概略断面図である。ヒーターアセンブリ833は、上記のヒーターアセンブリと同様であるが、冷却チューブを含まない。その理由は、電極として用いられるヒーターアセンブリにパワーを供給するために用いられる高周波(RF)エネルギが、冷却剤を介してチャンバの他の部分に結合されて、チャンバを損傷し、チャンバ制御を妨害する電磁気雑音を生じさせ、又は、人員に安全危険を発生させる可能性があると共に、本実施例のシャフト内に、別々の隔離された電極のための十分な空間がないである。加熱ワイヤ815が、加熱素子コア(図示せず)のよってRF電磁場から隔離されているため、加熱素子は、上記のような問題を有しない。RF電極は、例えば、溶接する或いはボルトで締めることによってヒーターアセンブリに結合されてもよい。
【0061】
アイソレータ802は、操作の目的温度(intended temperature)で、十分な絶縁破壊強度を提供するアルミナ、窒化アルミニウム、TEFLON(登録商標)、VESPEL(登録商標)、PEEKのような材料、或いは溶融シリカから製造されてもよく、接地されてもよい金属支持シャフト、及び数キロボルトであってもよいヒーターアセンブリを隔離する。比較的低温の材料の使用を可能にするために、ヒートチョークが、ヒータとアイソレータとの間に置かれてもよい。特定の実施例において、アイソレータ802は、カンチレバーカプラー803によって、ヒータペデスタル832の短いシャフト825Aに結合されてもよい。カンチレバーカプラーは、アイソレータ人802の周りにフィットし、ボルト或いはネジで短いシャフト825Aに固定されうる2つのC形の部分を持つ。類似のカプラー804は、アイソレータを支持体シャフト825Bに結合する。シャフト825Bは、ヒーターアセンブリ833又は短いシャフト825Aと異なる金属からなってもよい。カンチレバーカプラー803は、アイソレータ又はフランジに亀裂を生じることなく、操作温度範囲にわたって、アイソレーターフランジ806に圧縮力を提供する薄いウェブ805を有する。この分野で知られているように、アイソレーションギャップ807は、、チャンバの操作圧力及び電圧で、カンチレバーカプラー803とカプラー8OCとの間にアーチング(arching)を防止するために十分に大きいでなければならない。支持体シャフトの内部は、シャフトの中に電気アーキングを抑制するためにセラミックプラグ又は他の誘電体材料で充填されることができる。
図8Bは、もう1つの実施例の概略断面図である。本実施例では、ヒーターアセンブリ833が、カプラー822によって、支持体シャフト821に取付けられている。ステンレス鋼又は他の類似の金属から形成されるカプラー822は、セラミックアイソレータ802にヒーターアセンブリを固定するために用いられる。この構成は、プラズマアプリケーションにおける使用のために、ヒーターアセンブリを支持体シャフトから電気的に分離させること可能にする。
【0062】
図8Cは、ヒートチョークカプラー823と2つの片からなる下部クランプ824Aとを含むカプラー822の概略断面図であり、Fig.8Dは、ヒートチョークカプラー823の概略断面図である。図8Bで示されるように、、ヒートチョークカプラー823の上部フランジ899が、ヒータースタッドシャフト898にボルトで締め付けられる。図8Cで示されるように、上部ポケット827は、上部クランプ824によって固定されているアイソレータ(この図で示されていない)の上のフランジを受け入れ、且つ、図8Dで示されるように、引張アーム829Aと829Bによって周方向で保持されている。また、図8Dは、上部ポケット827が、アイソレーターフランジの円周上のフラットに対応するアライメントフラット854を有することを示す。上部ポケット827は、アイソレーターフランジの形状に対応しなければならない、そして、他のアライメントメカニズムが用いられてもよい。上部クランプ824は、2つのC形状ハーフ部材824Aと824Bを含み、これらは、ヒートチョークカプラーに取付けられる前にアイソレーターフランジの周りで一緒に引き寄せられる。スリット830は、ポケット面828とほぼ同一平面に切られ、引張ネジ831の反対側の未カットコードを残し、よって、タイニングネジ831から引張力が、引張アーム829Aと829Bを一緒に引張って、アイソレーターフランジを保持する。スリット830に類似する形状ををもつスペーサ(図示せず)は、引張アーム829A と829Bを支持し、スリット830を通過するガス流を減少すために、スリット830の中に挿入されてもよい。数対の歪みリリーフスロット832が、引張アーム829に機械加工され(一対の歪みリリーフスロットの各スロットはそれぞれアーム829の対峙する側部から機械加工されて)、引張ネジ831によって印加された応力に起因する有効な歪みを増加すると共に、金属製のクランプがアイソレーターフランジよりも膨張するため、アセンブリが加熱する際、引張アームがフープ応力の印加を続けることを可能にする。この実施例では、4対の歪みリリーフスロットが示されているが、この数は、クランプの材料及びデザインによって調節されてもよい。特定の実施例にでは、歪みリリーフスロットが約40milの幅を有し、約0.3インチのアームに約0.1インチ以内に切られる。幾つの実施例では、スロットの頂点での応力集中を減らし、製造性を高めるために、歪みリリーフスロットを丸くしてもよい。
【0063】
図8Cで示されるように、ヒートチョークカプラー823は、下部ポケット827と上部フランジ899との間で薄いウェブ833を残すように製造される。このウェブは、20から100milまで、好ましくは40から60milまでの範囲にある厚さを有し、特定の実施例では、ヒーターアセンブリと支持シャフトとの間の高い熱抵抗のパスとして作用する。特定の実施例では、ウェブが、約0.2-0.5インチの範囲にある垂直ウェブ部分の高さで、約0.6-1.0インチの間の有効長さを有する。示される実施例では、、25ワットのパワーが、約625℃の温度で作動するヒーターアセンブリと、下端温度が約50℃である支持シャフトとの間に流れる。カプラー822の他の実施例では、与えられたウェブ厚さについてより高い温度アプリケーションのためにウェブ833の全長をより長くする、或いは与えられた長さのため厚さが減少されることのよって、より高い温度でも用いられることができる。ウェブは、十分に機械的に堅くなければならないが、また、ヒートチョークを提供するのに十分薄くなければならない。カプラー822の使用は、ヒーターアセンブリをシャフトの上に熱的に「浮かせ」、よって、シャフトに用いられる材料のより広い選択を可能にし、非補償ヒータ素子デザインにおける改善された温度均一性を得ると共に、ウェーハ温度を維持するために要求される加熱素子へ供給されるパワーを減少する。より少ない熱がペデスタルを通して、シャフトに流れるため、潜在的な熱導管の上に冷点を形成するチャンスが少なくなり、よって、ウェーハ温度整合性が改善される。また、カプラー822の使用は、ヒーターアセンブリにを横切って生成される熱勾配を減少し、ヒーターアセンブリカラッキングを減少する。従って、ヒーターアセンブリの操作寿命を増長する。
【0064】
上部クランプ824は、下部クランプ824にある穴851及び上部クランプ823にある穴852を通して配置されたクランプネジ(この図では示せず)によって、ヒートチョークカプラー823に接続される。穴851は、下部クランプ824にあるブラインド穴である。上部フランジ899は、クランプネジよい大きなアクセス穴834を有し、上からの組み立てを可能にする。このバージョンでは、、アクセス穴834が、上部フラン時899にあるネジ穴826からずれているが、ネジ穴が下部クランプネジへのアクセスを可能にするために十分に大きいならば、それらの穴が同軸でありうる。幾つの実施例によれば、下部クランプの外側アライメントリップ835は、ヒートチョークカプラーの外側アライメント突起836に位置して、クランプの外径に沿って比較的滑らかな表面を形成する。他の実施例では、ヒートチョークカプラー823の外径より下部クランプの外径の方が僅か大きくするように、下部クランプ上の外側リップ835が、ヒートチョークカプラー823の(突起136が形成されていない)固体上部エッジに位置しそれに掛けられている。カンチレバー座金837は、下部クランプ824の一部として機械加工されて、上部クランプ824にある穴851及び下部クランプ825にある穴852を通して配置されたネジが、ヒータースタッドフランジ(この図で示せず)に圧縮を印可して、それをヒートチョークの上部ポケット内に確実に保持する。特定の実施例では、カンチレバー座金は、約10-20milの厚さを有し、歪みリリーフスロット838が、座金の中にカットされ、よって、適切な圧力が、アイソレータを破壊することなく、アイソレータに維持することができる。歪みリリーフスロットは、(上記のように)引張アームにカットされたものの一般的な形状と類似するな形状を有してもよい。ヒートチョークカプラーが、ヒータースタッドシャフトの一部として機械加工されてもよいが、幾つかのヒータ材料が加工されにくいため、上述のように、別々の金属片(例えばステンレス鋼)からカプラーを製造するのが好ましいであろう。或いは、ヒートチョークカプラーが、ヒータープレートに溶接或いは取付けられることもできる。
【0065】
再び図8Bに参照すると、同様な構造を用いて、アイソレータ802を支持シャフト821に結合してもよい。支持体シャフト821は、ヒータースタッドシャフト898と同じ材料かるなる必要がなくてもよい。カプラー822とアイソレータ802は、支持体シャフトを通して下へ流れている熱を減少し、従って、支持シャフトは、ヒータスタッド898が耐えなければならないかもしらない高温に耐える必要がない。従って、下部ポケット897及び(1つのみが図示されている)下部クランプアームは、支持シャフト821の一部として機械加工されてもよく、或いは、ヒートチョークをの有無にかかわらず、第2のカプラーを用いて、アイソレータ802を支持シャフト82 1に結合させてもよい。ヒートチョークが用いられる場合、ヒータアセンブリからの熱損失を減少し、アイソレータの熱サイクリングを減少するために、ヒートチョークが、好ましくは、アイソレータとヒーターアセンブリの間に配置される。
【0066】
ヒーターシャフトが腐食性環境で使用される場合、ヒーターアセンブリと、ヒートチョークカプラーと、アイソレータと支持シャフトの間の接合部での真空シールは、シャフトの内部がチャンバ環境に曝されることを防ぐ。さらに或いは代りに、パージガスを、シャフトの内部に流されて、チャンバ圧力と較べてシャフト内にポジティブな圧力を生成することができる。ヒーターシャフトを非腐食性の環境で使用しょうとする場合、シャフトの内部をシールすることは重要ではない。
【0067】
更に別の実施例では、金属ヒータにRFアイソレーションを提供する密封された支持シャフトが、図11で示される。例えば、アルミニウムヒータ、ステンレス鋼ヒータのような金属ヒータ、又は、銅芯とステンレス鋼シェルを有する複合ヒータは、トランジションの組合せを用いて、支持体シャフトからRF的に隔離されてもよい。密封された支持シャフトによって、支持シャフトの内部ボニュームは、ポートを介して導入されるパージガスのよって、チャンバと異なる圧力で維持されうる。支持体シャフトの内部をチャンバより高い圧力で維持することは、例えば、支持シャフト内のアーキングを抑制するのを役に立つ可能性がある。代わりに又は更に、シャフト内部は、アーキングを抑制するために、セラミックプラグ又は他の誘電体材料で充填されることができる。また、チャンバから支持シャフトをシールすることは、圧力循環中に、シャフト内部とチャンバの間でガスの交換を減少させる。これは、ヒータ配線での、シャフト内の構成要素を、チャンバに存在する潜在的腐食性ガスから保護し、基板処理中に、シャフト内部からチャンバ内に流れる汚染を減少する。
【0068】
図11で、アルミニウムヒータ1101がアルミニウムスタッブ1102に溶接されており、それはステンレススタッブエクステンション1103に接続されている。アルミニウム−ステンレススチール移行部は、例えば、ろう付け又は爆発結合で達成される。爆発結合は、ヒータの意図する操作温度がろう付けを軟化又は溶融する場合に好ましい。ステンレススチールスタッブ拡張部1103が、次に、KOVER(登録商標)という名で販売されている金属合金製の第1スペーサ1105にEビーム溶接される。第1スペーサ1105はセラミックアイソレータ1106にKOVER(登録商標)セラミックシールを形成するための従来の方法を使用して接合される。セラミックアイソレータ1106はKOVER(登録商標)という名で販売されている金属合金製の第2スペーサ1105にも同様の技術を使用して溶接される。アイソレータと第1及び第2スペーサとの間にメタルセラミックシールを形成する前に、第2のスペーサが、ステンレススチール製の低部サポートシャフト1108にEビーム溶接される。メタル−セラミックシールは両方とも単一のプロセスステップで形成される。ヒータ電極インシュレータ1110を有するヒータ電極1109(1つのみ示す)、及びRF電極1112を有するRF電極1111は、低部サポートシャフト1108のベース1114を持ち上げる。ヒータ電極インシュレータ1110及びRF電極インシュレータ1112は例えば、アルミニウムチューブであろう。サポートシャフトの内部は周囲(部屋)圧力と繋がっているか、又はシールされても良い。ヒータアセンブリの意図された使用(温度)が許容するならば、Oリングが使用され、ヒータ電極及びRF電極の回りにガス密シールを形成する。代りに、ガス−金属又はセラミック−メタルシールを包含するフィードスルー1113がシャフトの内部をシールするために使用される。フィードスルーは密封されていないであろう。しかし、特に使用されるプロセスが現在の環境にない場合又は安全ハザードのために、密封されたフィードスルーが、1つのシャフトシールがリークした場合、追加の安全性を提供する。
【0069】
E.排気装置
図1Aについて説明すると、バルブアセンブリ(スロットルバルブ装置)はアイソレーションバルブ78、及び排出ライン178沿いに配置された、ポンプチャネル60を通るガスの温度を制御するためのスロットルバルブ83を含む。処理チャンバ30内の圧力は静電容量式圧力計(図示せず)によってモニタされて、コンジット178の流れの断面積をスロットルバルブ82で変化させることによって制御される。プロセッサ85は、チャンバ圧力を示す圧力計からの信号を受けることが望ましい。プロセッサ85は、測定された圧力値をオペレータ(図示せず)によって入力された設定点圧力値と比較して、チャンバ内の所望の圧力を維持するために要求されるスロットルバルブの必要な調節を決定する。プロセッサ85は、調節された信号をドライブモータ(図示せず)に中継し、そのモータがスロットルバルブを、設定点圧力値に対応するセッティングに調節する。本発明に使用される適当なスロットルバルブは、通常的に譲渡され、同時係属中で、「処理チャンバの圧力を制御するための改良された装置と方法」(Attorney Docket No. 891/DCVD-II/MBE )という名称の、1996年6月28日に出願された、米国特許出願第08/672,891号明細書に記載されており、その開示内容は全体として本明細書に援用されている。しかしながら、TiCl4 からのチタンの堆積のような高いガス流量が必要なプロセスでは、排気装置の能力を増さなければならない。これは排気ポート80の断面積を増加させること、及び排出ライン178とスロットルバルブ83の直径を増加させることを含む。一実施形態では、約5torrのチャンバ圧力で約15リットル/分のガス流を提供するために、排気ポート80は、5リットル/分のプロセスに適するであろう約1.5インチの直径から約2インチの直径に増加された。ある例では、スロットルバルブと排出ラインの直径が、同様に約1.5インチから約2インチに増加された。これらの直径は、ガス流によって他の実施形態では異なるだろう。
【0070】
アイソレーションバルブ78を使って処理チャンバ30を真空ポンプ82から隔離することによって、ポンプのポンプ作用によるチャンバ圧力の減少を最小にしてもよい。図1Aに見られるように、スロットルバルブ83と共にアイソレーションバルブ78を使って、CVD装置10の質量流量コントローラ(図示せず)を較正してもよい。プロセスによっては、液体ソースは、気化した後にキャリヤガスと共に処理チャンバ30に送出される。質量流量コントローラを使って、チャンバ30へのガス又は液体の流量がモニタされる。MFCの較正時に、アイソレーションバルブ78がスロットルバルブ83へのガス流を絞るか制限してチャンバ30内の圧力増加が最小にされるが、これによってMFCの較正が容易にされる。
【0071】
上に提示したCVD装置の記述は説明のためであって、必ずしも本発明の範囲を限定するものと見做してはならない。通常のCVD装置10は単一ウェーハ真空チャンバ装置である。しかしながら、マルチウェーハチャンバ装置である他のCVD装置が本発明の他の実施形態で使用されてもよい。しかしながら、本発明の特徴の幾つかがマルチチャンバ処理装置のCVDチャンバの一部として図示、説明される場合でも、本発明が、必ずしもこの方式に限定されないことは言うまでもない。すなわち、本発明の様々な側面はエッチングチャンバ、拡散チャンバ等の様々な処理チャンバで使用できる。上記装置のバリエーション、例えばデザイン、ヒータ設計、RFパワー接続の位置、ソフトウェアの動作と構造、あるソフトウェアサブルーチンに使用される特定のアルゴリズム、ガスインレットラインとバルブの構成のバリエーション、その他の変更が可能である。更に、上述の特定寸法は特定実施形態のために準備されたものだが、勿論、他の実施形態は異なる寸法を有することができる。その他、本発明の実施形態の中には電子サイクロトロン共鳴(ECR)プラズマCVD装置、誘導結合式RF高密度プラズマCVD装置等のCVD装置を含む他の基板処理装置に使用されるものもある。チタン膜等の層を形成するための方法は、必ずしも特定の装置や特定のプラズマ励起方法に限定されない。
【0072】
F.構造の一例と用途
図9は本発明による集積回路900の簡略断面図を示す。図のように、集積回路900はNMOSとPMOSトランジスタ903、905とを含み、それらはシリコンの局部酸化(LOCOS)その他の技術によって形成されたフィールド酸化物領域920によって互いに分離され、電気的に絶縁されている。その他に、トランジスタ903、906は、それらが共にNMOSか或いはPMOSのときは、浅いトレンチアイソレーション(trench isolation)(図示せず)によって互いに分離され、電気的に絶縁されてもよい。各トランジスタ903、906はソース領域912、ドレーン領域915、及びゲート領域918から成る。
【0073】
プリメタル誘電(PMD)層921は、金属層940とトランジスタ間の接続をコンタクト924で行った状態でトランジスタ903、906を金属層940から分離している。金属層940は、集積回路900に含まれる4つの金属層940、942、944、946の1つである。各金属層940、942、944、946は、それぞれの金属間誘電層927、928、929によって、隣接する金属層から分離されている。隣接する金属層はバイア926によって選ばれた開口部で接続されている。金属層946上に堆積しているのは平面化パッシベーション層(planaraized passivation layer) 930である。CVD装置10を使って、例えば金属層940、942、944、946として使用される膜を堆積させることができる。これらの層は、アルミニウムの下になるチタン層、金、プラチナ、又はタングステン層等の多数のサブレイヤーから構成されてもよい。CVD装置10は、デバイス構造のコンタクト924やプラグを堆積させるためにも使用できる。
【0074】
図9の簡略化された集積回路900は、単に例示のみの目的であることを理解されたい。当業界の普通の当業者であれば、他の集積回路、例えばマイクロプロセッサ、特定用途向けIC(ASIC)、記憶装置なども、集積回路と同様に本発明によって実施できる。更に本発明は、PMOS、NMOS、CMOS、バイポーラ又はBiCMOSデバイスに適用されてもよい。金属膜の堆積と関連する応用が上で議論されたが、本発明はまた、他の応用例えば金属間堆積又は金属堆積から金属間膜の自己形成に使用されてもよい。具体的には、プロセスは有利に金属酸化物例えばBST及びPZTのCVDに適用されることができる。本発明は、他の多くのタイプの金属CVDプロセスにも勿論適用でき、誘電体CVD及び他のプラズマアプリケーションでも有用である。
【0075】
II.試験結果及び測定
実験は、実質的に図4Aで示すように、ヒータアセンブリを使用してウェーハ表面上の温度均一性を評価するために行った。実験は、200mmウェーハのために構成されたTixZ堆積システム(アプライドマテリアルズ社によって製造された)内で行われた。実験条件は、一般にウェーハ上へチタン膜の堆積する間の条件に近づけるように選ばれた。
【0076】
図10は、200mmのシリコンウェハ1002を横切る温度均一性の測定結果を示し、5トルチャンバ圧力、シャワーヘッド及びウェーハ1002間の間隔400ミルにおいて、抵抗加熱された合金ヒータアッセンブリでの設定温度625℃に加熱された。図10から判るように、ウェーハ1002の異なる位置における温度値は、最小値539.7℃(参照番号1004)から最大値550.4℃(1006)の範囲であり、これにより、温度変動は10.7℃となる。
【0077】
温度均一性は、次の式で定義される:
温度均一性=±(Δ温度/(2×温度))×100%
ここで、温度は℃で表される。この定義によれば、ウェーハを横切る温度均一性は、±0.86%である。
【0078】
特にこのような高温で、この温度均一性は、多くの他のヒータより優れている。更に、より高温がウェーハの中心付近で生じ、ヒータのこの実施形態はデュアルゾーンキャパシティを有するため、この温度で、外側コイル電力に比べて、内側コイル電力を減少することによって良好な均一性が得られる。
【0079】
上述の記載は、例示のためであり限定のためではないことを理解されたい。上述の記載を参照することによって、当業者には多くの実施形態があきらかとなろう。例証として、本発明はチタンプロセスレシピに関して主に示したが、本発明はこれに限定されるものではない。例えば、他の実施形態によって形成される膜は、チタンシリサイド膜、チタン窒化膜、又は他の金属、又はチタン酸バリウム−ストロンチウム、チタン酸ジルコン酸鉛、及び酸化シリコン膜であってもよい。もちろん、上述と同様のCVD装置が使用されてもよく、温度約400℃未満、特に、高度な温度均一性が望ましい場合、625℃以上の温度を使用してもよいことが認められる。更に、本発明の種々の局面では、他の応用のために使用されてもよい。本発明の請求の範囲内で、他の同等な又は代替の膜の堆積方法が、当業者に認められるであろう。従って、本発明の範囲は、上述の記載によって決定されるものではなく、請求の範囲を参照することによって決定されるものである。
【図面の簡単な説明】
【図1A】 堆積チャンバの簡略断面図を含んだ、本発明による堆積装置の実施形態のブロック線図である。
【図1B】 本発明の堆積装置を制御できる、ユーザーとプロセッサと間のインターフェースを示した図である。
【図2A】 本発明の実施形態による、堆積チャンバの簡略断面図である。
【図2B】 リップピンホールの概略断面図である。
【図2C】 ヒータアセンブリの頂部及び側部のシールドの概略断面図である。
【図3】 本発明の実施形態による、システム制御ソフトウェアの階層的制御構造の図解ブロック線図である。
【図4A】 本発明の実施形態に従ったヒータアセンブリの概略断面図である。
【図4B】 溝内にロウ付けされたヒータアセンブリの概略断面図である。
【図5】 本発明の実施形態に従ったヒータアセンブリのコンポーネントの幾つかの展開図である。
【図6】 ヒータ部材の溝、リフトピンホール、熱チョークを有するインナコア部材の上から見た図である。
【図7】ヒータシールドの部分の概略断面図である。
【図8A】 ヒータアセンブリとヒータサポートシャフトとの間の高周波数アイソレータを含む本発明の概略断面図である。
【図8B】 ヒータアセンブリとセラミックFRアイソレータとの間のヒートチョークを含む本発明の概略断面図である。
【図8C】 本発明の実施形態による、ヒートチョークカップラとクランプとを含むカップラの簡略断面図である。
【図8D】 本発明の実施形態による、本発明によるヒートチョークカップラの概略断面図である。
【図9】 本発明の一態様によって製作されたデバイスの簡略断面図である。
【図10】 本発明の実施形態による、ヒータペデスタルの温度均一性に関する試験結果を示した図である。
【図11】 セラミック−メタルシールを含むペデスタルアセンブリ及びヒータの概略断面図である。

Claims (8)

  1. 基板処理装置であって、
    チャンバと、
    ヒータ電源システムと、
    第1金属のインナコア、このインナコアを囲む第2金属のアウターシェルであって基板を支持する表面を有する前記アウターシェル、及び前記アウターシェルの上面及び下面間で前記インナコア内に配置された抵抗加熱素子を有するヒータアセンブリと、を備え、
    前記アウターシェルの上面及び下面は、前記インナコアに熱的に連結されており、
    前記第1金属は、前記第2金属より高い熱電導性を有する、基板処理装置。
  2. 前記アウターシェルの前記上面及び前記下面は、ロウ付けによって、前記インナコアに熱的に連結されている、請求項1に記載の装置。
  3. 前記第1金属は銅を含み、前記第2金属は少なくとも3%のモリブデン及び少なくとも10%のクロムを含む、請求項2に記載の装置。
  4. 前記第1金属は銅を含み、前記第2金属は少なくとも3%のモリブデン及び少なくとも10%のニッケルを含む、請求項1に記載の装置。
  5. 前記ヒータアッセンブリに近接して配置された金属熱シールドを更に備え、
    前記熱シールドが前記ヒータアッセンブリの前記下面に平行な複数の層を有し、隣接する層は、それらの間に隙間を画成する、請求項1に記載の装置。
  6. 前記抵抗加熱素子は、第1加熱素子及び第2加熱素子を有し、
    前記第1加熱素子は、第1ヒータコントローラに制御され、
    前記第2加熱素子は、第2ヒータコントローラに制御され、
    前記インナコアは、前記インナコアの第1部分及び前記インナコアの第2部分の間に画成された熱的ギャップを有し、
    前記熱的ギャップは、前記インナコアの第1部分及び前記インナコアの第2部分の間に熱的隔離を提供する、請求項1に記載の装置。
  7. 前記ヒータアッセンブリは、冷媒を運搬する冷却管を有し、これにより、前記ヒータアッセンブリが冷却される、請求項1に記載の装置。
  8. 基板を少なくとも400℃の温度に加熱するヒータペデスタルであって、
    第1金属のインナコアと、
    前記第1金属を囲み、前記基板を支持する表面を有する第2金属のアウターシェルであって、前記第1金属が前記第2金属の第2熱伝導率より大きい第1熱伝導率を有する前記アウターシェルと、
    前記インナコアの溝内にロウ付けされた抵抗加熱素子と、
    前記アウターシェルの下部に結合されたスタブシャフトと、
    前記スタブシャフトの下部に結合された絶縁体と、
    前記絶縁体が前記スタブシャフトを支持シャフトから分離するように、前記絶縁体の下部に結合された支持シャフトと、
    前記インナコア、前記アウターシェル、又は前記スタブシャフトに電気的に接続された無線周波数電極と、
    を備えたヒータペデスタル。
JP2000538375A 1998-03-26 1999-03-19 高温多層合金ヒータアッセンブリ及び関連する方法 Expired - Fee Related JP4723086B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/056,703 1998-03-26
US09/056,703 US6035101A (en) 1997-02-12 1998-03-26 High temperature multi-layered alloy heater assembly and related methods
PCT/US1999/006172 WO1999049501A2 (en) 1998-03-26 1999-03-19 A high temperature multi-layered alloy heater assembly and related methods

Publications (3)

Publication Number Publication Date
JP2002508587A JP2002508587A (ja) 2002-03-19
JP2002508587A5 JP2002508587A5 (ja) 2009-11-05
JP4723086B2 true JP4723086B2 (ja) 2011-07-13

Family

ID=22006094

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000538375A Expired - Fee Related JP4723086B2 (ja) 1998-03-26 1999-03-19 高温多層合金ヒータアッセンブリ及び関連する方法

Country Status (6)

Country Link
US (1) US6035101A (ja)
EP (1) EP1074041B1 (ja)
JP (1) JP4723086B2 (ja)
KR (2) KR100696028B1 (ja)
DE (1) DE69927966T2 (ja)
WO (1) WO1999049501A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210050234A1 (en) * 2019-08-16 2021-02-18 Applied Materials, Inc. Heated substrate support with thermal baffles

Families Citing this family (625)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6433314B1 (en) 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
JP3758009B2 (ja) * 1998-07-01 2006-03-22 日本エー・エス・エム株式会社 半導体処理用の基板保持装置
JP2001007039A (ja) * 1999-06-18 2001-01-12 Hitachi Ltd 半導体集積回路装置の製造方法
EP1137321A1 (en) * 1999-11-30 2001-09-26 Ibiden Co., Ltd. Ceramic heater
US6494955B1 (en) 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6399926B2 (en) * 2000-04-03 2002-06-04 Sigmameltec Ltd. Heat-treating apparatus capable of high temperature uniformity
JP2001298020A (ja) * 2000-04-18 2001-10-26 Nhk Spring Co Ltd セラミックヒータ及びそれを用いた成膜処理装置
JP2002134484A (ja) * 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
JP4328009B2 (ja) * 2000-11-30 2009-09-09 日本碍子株式会社 加熱装置
US6623563B2 (en) * 2001-01-02 2003-09-23 Applied Materials, Inc. Susceptor with bi-metal effect
US6554907B2 (en) 2001-01-02 2003-04-29 Applied Materials, Inc. Susceptor with internal support
US6660095B2 (en) * 2001-01-15 2003-12-09 Jusung Engineering Co., Ltd. Single wafer LPCVD apparatus
JP2002270346A (ja) * 2001-03-09 2002-09-20 Mitsubishi Heavy Ind Ltd 加熱装置及びその製造方法並びに被膜形成装置
JP3931578B2 (ja) * 2001-03-30 2007-06-20 信越半導体株式会社 気相成長装置
JP4331901B2 (ja) * 2001-03-30 2009-09-16 日本碍子株式会社 セラミックサセプターの支持構造
US6962025B1 (en) 2001-05-29 2005-11-08 H.B. Fuller Licensing & Financing, Inc. Metal plasma surface-modified thermal barrier channel
JP3990881B2 (ja) * 2001-07-23 2007-10-17 株式会社日立製作所 半導体製造装置及びそのクリーニング方法
US7274015B2 (en) * 2001-08-08 2007-09-25 Sionex Corporation Capacitive discharge plasma ion source
US7091481B2 (en) * 2001-08-08 2006-08-15 Sionex Corporation Method and apparatus for plasma generation
KR20030026387A (ko) * 2001-09-12 2003-04-03 주식회사 아이앤에스 반도체 웨이퍼의 화학기상증착공정중에 사용되는받침히터와 그 제조방법
JP3897563B2 (ja) * 2001-10-24 2007-03-28 日本碍子株式会社 加熱装置
KR100588774B1 (ko) * 2001-11-26 2006-06-14 주성엔지니어링(주) 웨이퍼 서셉터
US6730175B2 (en) 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US6897411B2 (en) * 2002-02-11 2005-05-24 Applied Materials, Inc. Heated substrate support
US20060157472A1 (en) * 2002-08-20 2006-07-20 Kazutaka Mashima Metal heater
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
WO2004030411A1 (ja) * 2002-09-27 2004-04-08 Sumitomo Electric Industries, Ltd. ウエハー保持体及び半導体製造装置
US20040065656A1 (en) * 2002-10-04 2004-04-08 Makoto Inagawa Heated substrate support
KR20040035281A (ko) * 2002-10-19 2004-04-29 주성엔지니어링(주) 반도체 기판 가열용 몰딩 히터
FR2847714B1 (fr) * 2002-11-27 2005-02-18 Soitec Silicon On Insulator Procede et dispositif de recuit de tranche de semiconducteur
US7091453B2 (en) * 2003-02-27 2006-08-15 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus by means of light irradiation
US7718930B2 (en) 2003-04-07 2010-05-18 Tokyo Electron Limited Loading table and heat treating apparatus having the loading table
US6825448B2 (en) 2003-05-01 2004-11-30 Applied Materials, Inc. Low residual-stress brazed terminal for heater
US20040226513A1 (en) * 2003-05-12 2004-11-18 Applied Materials, Inc. Chamber for uniform heating of large area substrates
US6911093B2 (en) * 2003-06-02 2005-06-28 Lsi Logic Corporation Lid liner for chemical vapor deposition chamber
US20040250774A1 (en) * 2003-06-16 2004-12-16 Brent Elliot Wafer heater with protected heater element
KR100534209B1 (ko) * 2003-07-29 2005-12-08 삼성전자주식회사 반도체소자 제조용 화학기상증착 공정설비
FR2858715B1 (fr) 2003-08-04 2005-12-30 Soitec Silicon On Insulator Procede de detachement de couche de semiconducteur
KR100994073B1 (ko) * 2003-08-14 2010-11-12 주성엔지니어링(주) 반도체 웨이퍼 제조장비의 히터블록
JP2005166354A (ja) * 2003-12-01 2005-06-23 Ngk Insulators Ltd セラミックヒーター
JP2005243667A (ja) * 2004-02-24 2005-09-08 National Institute Of Advanced Industrial & Technology 熱処理装置
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4761723B2 (ja) * 2004-04-12 2011-08-31 日本碍子株式会社 基板加熱装置
JP2005340043A (ja) * 2004-05-28 2005-12-08 Sumitomo Electric Ind Ltd 加熱装置
US20060011139A1 (en) * 2004-07-16 2006-01-19 Applied Materials, Inc. Heated substrate support for chemical vapor deposition
JP4133958B2 (ja) * 2004-08-04 2008-08-13 日本発条株式会社 ワークを加熱または冷却するための装置と、その製造方法
US7436645B2 (en) * 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7648914B2 (en) 2004-10-07 2010-01-19 Applied Materials, Inc. Method for etching having a controlled distribution of process results
US20060075970A1 (en) * 2004-10-13 2006-04-13 Guenther Rolf A Heated substrate support and method of fabricating same
US20080314320A1 (en) * 2005-02-04 2008-12-25 Component Re-Engineering Company, Inc. Chamber Mount for High Temperature Application of AIN Heaters
KR100722047B1 (ko) * 2005-07-14 2007-05-25 엘지전자 주식회사 발열체의 구조
US7429718B2 (en) * 2005-08-02 2008-09-30 Applied Materials, Inc. Heating and cooling of substrate support
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
CN101243542B (zh) * 2005-08-17 2011-02-09 应用材料股份有限公司 具有焊接板和加热器的基材支撑件
JP3972944B2 (ja) 2005-09-12 2007-09-05 住友電気工業株式会社 セラミックスヒータ及びそれを備えた半導体製造装置
US20070158388A1 (en) * 2006-01-06 2007-07-12 Honeywell International, Inc. Apparatus and method for welding superalloys
US20070169703A1 (en) * 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
US20080011426A1 (en) * 2006-01-30 2008-01-17 Applied Materials, Inc. Plasma reactor with inductively coupled source power applicator and a high temperature heated workpiece support
US20070254494A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Faceplate with rapid temperature change
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
JP5245268B2 (ja) * 2006-06-16 2013-07-24 東京エレクトロン株式会社 載置台構造及び熱処理装置
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US20080035306A1 (en) * 2006-08-08 2008-02-14 White John M Heating and cooling of substrate support
US8123902B2 (en) * 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
JP4947712B2 (ja) * 2007-03-29 2012-06-06 コバレントマテリアル株式会社 面状ヒータ
US8563619B2 (en) * 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
KR100934403B1 (ko) * 2007-11-30 2009-12-29 (주)위지트 냉각 수단을 구비한 서셉터
KR20100103627A (ko) * 2007-12-21 2010-09-27 어플라이드 머티어리얼스, 인코포레이티드 기판의 온도를 제어하기 위한 방법 및 장치
US8876024B2 (en) * 2008-01-10 2014-11-04 Applied Materials, Inc. Heated showerhead assembly
US20090277388A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Heater with detachable shaft
CN102077331B (zh) * 2008-06-27 2014-05-07 株式会社半导体能源研究所 薄膜晶体管
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR101094818B1 (ko) * 2008-12-31 2011-12-16 주식회사성심 히터 모듈
US20100177454A1 (en) * 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9096930B2 (en) * 2010-03-29 2015-08-04 Stion Corporation Apparatus for manufacturing thin film photovoltaic devices
JP5666167B2 (ja) 2010-05-07 2015-02-12 日本発條株式会社 ステージヒータ及びシャフトの製造方法
US8597462B2 (en) * 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR101205433B1 (ko) 2010-07-28 2012-11-28 국제엘렉트릭코리아 주식회사 기판 서셉터 및 그것을 갖는 증착 장치
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
KR101202437B1 (ko) * 2010-12-21 2012-11-16 엘아이지에이디피 주식회사 화학기상 증착장치
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
WO2012148568A1 (en) 2011-03-01 2012-11-01 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
CN103403852B (zh) 2011-03-01 2016-06-08 应用材料公司 双负载闸配置的消除及剥离处理腔室
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
KR101970184B1 (ko) * 2011-03-01 2019-04-18 어플라이드 머티어리얼스, 인코포레이티드 얇은 피가열 기판 지지체
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
DE102011079815A1 (de) * 2011-07-26 2013-01-31 Robert Bosch Gmbh Schutzvorrichtung zur Laserbearbeitung von Löchern in Bauteilen
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9167625B2 (en) * 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
KR20130107001A (ko) * 2012-03-21 2013-10-01 엘지이노텍 주식회사 증착 장치
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9089007B2 (en) 2012-04-27 2015-07-21 Applied Materials, Inc. Method and apparatus for substrate support with multi-zone heating
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9490150B2 (en) * 2012-07-03 2016-11-08 Applied Materials, Inc. Substrate support for substrate backside contamination control
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
CA2883526A1 (en) * 2012-09-13 2014-03-20 Paul R. Blais A melt distribution device
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9100992B2 (en) * 2012-10-08 2015-08-04 Minco Products, Inc. Heater assembly
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US10177014B2 (en) * 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20140202172A1 (en) * 2013-01-22 2014-07-24 Sunpower, Inc. Cold Finger For Cryocoolers
JP6017328B2 (ja) * 2013-01-22 2016-10-26 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CZ304201B6 (cs) * 2013-02-07 2013-12-27 Vysoké Učení Technické V Brně Ohřevné zařízení s izotermickou topnou plochou
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN103987142A (zh) * 2013-02-08 2014-08-13 刘秋明 一种发热元件、电子烟以及形成发热元件的方法
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
RU2545852C1 (ru) * 2013-12-16 2015-04-10 Денис Анатольевич Романов Способ нанесения электроэрозионностойких покрытий на основе молибдена и меди на медные электрические контакты
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US20150194326A1 (en) * 2014-01-07 2015-07-09 Applied Materials, Inc. Pecvd ceramic heater with wide range of operating temperatures
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US11302520B2 (en) * 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US10431435B2 (en) 2014-08-01 2019-10-01 Applied Materials, Inc. Wafer carrier with independent isolated heater zones
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9738975B2 (en) 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10177024B2 (en) 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102612193B1 (ko) * 2016-06-03 2023-12-12 삼성전자주식회사 웨이퍼 처리 장치
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
JP6720033B2 (ja) * 2016-09-14 2020-07-08 株式会社Screenホールディングス 熱処理装置
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
JP2018181586A (ja) * 2017-04-12 2018-11-15 日本発條株式会社 シースヒータ
JP6902382B2 (ja) * 2017-04-12 2021-07-14 日本発條株式会社 ヒータユニット
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) * 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102024137B1 (ko) * 2017-09-20 2019-09-23 주식회사 조인솔루션 스퍼터용 석영 히터 및 이를 구비한 스퍼터링 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
KR102391759B1 (ko) * 2017-12-15 2022-04-28 시바우라 메카트로닉스 가부시끼가이샤 유기막 형성 장치
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
JP6935920B2 (ja) * 2018-01-18 2021-09-15 助川電気工業株式会社 基板ヒータ
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11560913B2 (en) 2018-01-19 2023-01-24 Applied Materials, Inc. Brazed joint and semiconductor processing chamber component having the same
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
DE202018100363U1 (de) * 2018-01-23 2019-04-24 Aixtron Se Vorrichtung zum Verbinden eines Suszeptors mit einer Antriebswelle
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
KR102143139B1 (ko) * 2018-04-30 2020-08-12 세메스 주식회사 기판 처리 장치
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
JP2020064841A (ja) * 2018-10-11 2020-04-23 日本発條株式会社 ステージ、成膜装置、および膜加工装置
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
JP6867556B2 (ja) * 2019-04-16 2021-04-28 日本特殊陶業株式会社 保持装置の製造方法、保持装置用の構造体の製造方法および保持装置
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
JP7248607B2 (ja) * 2020-02-03 2023-03-29 日本碍子株式会社 セラミックヒータ
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US11339466B2 (en) * 2020-03-20 2022-05-24 Applied Materials, Inc. Heated shield for physical vapor deposition chamber
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
JP2022042379A (ja) * 2020-09-02 2022-03-14 東京エレクトロン株式会社 載置台及びプラズマ処理装置
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
JP2023545067A (ja) * 2020-10-09 2023-10-26 アプライド マテリアルズ インコーポレイテッド 熱損失を最小化し、均一性を改善するための加熱式基板支持体
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
DE112022002440T5 (de) * 2021-05-04 2024-03-07 Watlow Electric Manufacturing Company Heizgeräteanordnung mit eingebetteten resistiven heizgeräten
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
KR102351319B1 (ko) * 2021-05-25 2022-01-17 주식회사 기가레인 히팅 어셈블리
KR20240011602A (ko) * 2021-05-28 2024-01-26 램 리써치 코포레이션 페데스탈 및 챔버의 열적 관리를 위한 장치들
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0460552U (ja) * 1990-09-28 1992-05-25

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5484011A (en) * 1986-12-19 1996-01-16 Applied Materials, Inc. Method of heating and cooling a wafer during semiconductor processing
JPS63196033A (ja) * 1987-02-09 1988-08-15 Fujitsu Ltd 気相成長装置
JPS63278322A (ja) * 1987-05-11 1988-11-16 Fujitsu Ltd 気相成長装置
JPS645012A (en) * 1987-06-26 1989-01-10 Fujitsu Ltd Vapor growth equipment
JPS6477930A (en) * 1987-09-18 1989-03-23 Daido Oxygen Cvd device
JPH0227715A (ja) * 1988-07-15 1990-01-30 Mitsubishi Electric Corp 気相成長装置用加熱ステージ
JPH06103670B2 (ja) * 1989-04-04 1994-12-14 三菱電機株式会社 半導体ウェハ加熱装置
JP2737010B2 (ja) * 1989-08-01 1998-04-08 キヤノン株式会社 露光装置
US5059770A (en) * 1989-09-19 1991-10-22 Watkins-Johnson Company Multi-zone planar heater assembly and method of operation
US5025733A (en) * 1989-12-12 1991-06-25 Elio Pierobon Railroad support tie replacement device with track locking device
DE69103915T2 (de) * 1990-01-25 1995-05-11 Applied Materials Inc Elektrostatische Klemmvorrichtung und Verfahren.
JPH03235325A (ja) * 1990-02-13 1991-10-21 Toshiba Corp 半導体気相成長装置
US5126533A (en) * 1990-03-19 1992-06-30 Conductus, Inc. Substrate heater utilizing protective heat sinking means
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
DE4124295A1 (de) * 1991-07-22 1993-01-28 Krohne Ag Massendurchflussmessgeraet
US5343022A (en) * 1992-09-29 1994-08-30 Advanced Ceramics Corporation Pyrolytic boron nitride heating unit
US5589224A (en) * 1992-09-30 1996-12-31 Applied Materials, Inc. Apparatus for full wafer deposition
JP3103227B2 (ja) * 1992-12-09 2000-10-30 株式会社日立製作所 半導体装置の製造方法
US5343938A (en) * 1992-12-24 1994-09-06 Vlsi Technology, Inc. Method and apparatus for thermally insulating a wafer support
US5665167A (en) * 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
JP3125199B2 (ja) * 1993-03-18 2001-01-15 東京エレクトロン株式会社 縦型熱処理装置
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
JP2749759B2 (ja) * 1993-06-23 1998-05-13 信越化学工業株式会社 静電チャック付セラミックスヒーター
JP3165938B2 (ja) * 1993-06-24 2001-05-14 東京エレクトロン株式会社 ガス処理装置
US5397396A (en) * 1993-12-27 1995-03-14 General Electric Company Apparatus for chemical vapor deposition of diamond including thermal spreader
EP0693776B1 (en) * 1994-07-15 2000-05-31 Mitsubishi Materials Corporation Highly heat-radiating ceramic package
US5595241A (en) * 1994-10-07 1997-01-21 Sony Corporation Wafer heating chuck with dual zone backplane heating and segmented clamping member
JP3052116B2 (ja) * 1994-10-26 2000-06-12 東京エレクトロン株式会社 熱処理装置
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH08191059A (ja) * 1995-01-09 1996-07-23 Hitachi Ltd プラズマ処理装置
US5886863A (en) * 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
JPH09237826A (ja) * 1996-02-29 1997-09-09 Kyocera Corp 静電チャック
US5775416A (en) * 1995-11-17 1998-07-07 Cvc Products, Inc. Temperature controlled chuck for vacuum processing
US5796074A (en) * 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US5589003A (en) * 1996-02-09 1996-12-31 Applied Materials, Inc. Shielded substrate support for processing chamber
US5844205A (en) * 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0460552U (ja) * 1990-09-28 1992-05-25

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210050234A1 (en) * 2019-08-16 2021-02-18 Applied Materials, Inc. Heated substrate support with thermal baffles
US11610792B2 (en) * 2019-08-16 2023-03-21 Applied Materials, Inc. Heated substrate support with thermal baffles

Also Published As

Publication number Publication date
DE69927966D1 (de) 2005-12-01
US6035101A (en) 2000-03-07
KR100687378B1 (ko) 2007-02-26
KR100696028B1 (ko) 2007-03-16
KR20060114394A (ko) 2006-11-06
WO1999049501A2 (en) 1999-09-30
EP1074041A2 (en) 2001-02-07
JP2002508587A (ja) 2002-03-19
EP1074041B1 (en) 2005-10-26
DE69927966T2 (de) 2006-07-27
WO1999049501A3 (en) 2000-01-13
KR20010042196A (ko) 2001-05-25

Similar Documents

Publication Publication Date Title
JP4723086B2 (ja) 高温多層合金ヒータアッセンブリ及び関連する方法
JP4485681B2 (ja) 高周波能力を有する高温セラミックヒータ組立体
JP2971847B2 (ja) 高温、腐食性、プラズマ環境下でのクリーニングプロセスのための方法及び装置
US6051286A (en) High temperature, high deposition rate process and apparatus for depositing titanium layers
US5994678A (en) Apparatus for ceramic pedestal and metal shaft assembly
JPH10298767A (ja) 高温、大流量化学気相堆積装置及び関連する方法
KR100776057B1 (ko) 가스 공급 장치 및 기판 처리 장치
US6271148B1 (en) Method for improved remote microwave plasma source for use with substrate processing system
JP3004621B2 (ja) 高温、高堆積率で膜を堆積する方法及び装置
US6129044A (en) Apparatus for substrate processing with improved throughput and yield
US6921556B2 (en) Method of film deposition using single-wafer-processing type CVD
US6230652B1 (en) Apparatus and methods for upgraded substrate processing system with microwave plasma source
US5456757A (en) Susceptor for vapor deposition
EP1041171B1 (en) CVD processing chamber
US20110253311A1 (en) Substrate processing apparatus for performing plasma process
WO1999002754A1 (en) Remote plasma cleaning apparatus
JP2001308079A (ja) プラズマ処理装置及びプラズマ処理方法
KR20010032726A (ko) 현장 예비세정 단계를 포함하는 웨이퍼상의 티타늄화학기상증착
KR20010034810A (ko) 가변 플라즈마 전력을 사용하여 고종횡비를 갖는 갭의프로파일을 변형시키는 방법 및 장치
JP4861208B2 (ja) 基板載置台および基板処理装置
KR19980071012A (ko) 고온 및 고 증착율의 티타늄 막을 증착하기 위한 방법 및 장치
US20010042513A1 (en) Apparatus for improved remote microwave plasma source for use with substrate processing systems
EP0629716A2 (en) Susceptor for vapor deposition

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060217

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090331

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090616

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20090915

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090915

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101109

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110207

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110322

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110407

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140415

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees