KR20010043049A - 텅스텐을 증착하기 위하여 기판 처리 장치에 이용되는개선된 히터 - Google Patents

텅스텐을 증착하기 위하여 기판 처리 장치에 이용되는개선된 히터 Download PDF

Info

Publication number
KR20010043049A
KR20010043049A KR1020007011915A KR20007011915A KR20010043049A KR 20010043049 A KR20010043049 A KR 20010043049A KR 1020007011915 A KR1020007011915 A KR 1020007011915A KR 20007011915 A KR20007011915 A KR 20007011915A KR 20010043049 A KR20010043049 A KR 20010043049A
Authority
KR
South Korea
Prior art keywords
purge gas
vacuum
metal pedestal
metal block
substrate
Prior art date
Application number
KR1020007011915A
Other languages
English (en)
Other versions
KR100640553B1 (ko
Inventor
전 짜오
탈렉스 사조토
리오니드 실려틴
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010043049A publication Critical patent/KR20010043049A/ko
Application granted granted Critical
Publication of KR100640553B1 publication Critical patent/KR100640553B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 상이한 직경의 기판을 처리하는 장치에 적용될 수 있고 고집적 디바이스를 제조하기 위한 필름 균일성과 같은 엄격한 필름 요구조건을 만족시키도록 기판을 효율적이고 경제적으로 처리하기 위한 간단한 히터 설계를 제공한다. 본 발명은 특히 12인치(또는 300mm)이상의 기판과 같은 큰 직경의 기판을 이용하는 집적 디바이스를 경제적이고 효율적으로 제조하기에 적합하다. 일 실시예에 따르면, 본 발명은 기판 처리 장치에 이용되는 히터 어셈블리를 제공한다. 히터 어셈블리는 기판을 지지하는 표면을 가진 금속 페데스털 및 상기 금속 페데스털에 배치된 저항성 히팅 엘리먼트를 포함한다. 히터 어셈블리는 또한 금속 페데스털에 배치된 정화 가스 채널 시스템을 포함한다. 중심 정화 가스 인입구는 정화 가스를 제공한다. 정화 가스 채널 시스템은 또한 중심 정화 가스 인입구로부터 금속 페데스털의 주변부쪽으로의 다수의 방사형 정화 가스 채널 및 주변부에서 금속 페데스털에 형성된 환형 정화 가스 채널을 포함한다. 정화 가스 채널은 대칭 패턴을 형성하며, 각각의 정화 가스 채널은 동일 길이를 가진다. 특정 실시예에서, 어셈블리는 주변부 근처의 다수의 홀을 통하여 표면에 연결된 환형 정화 가스 채널을 포함하며 금속 페데스털에 통합된 정화 가이드 링을 제공하도록 한다. 본 발명의 다른 실시예 역시 제공된다.

Description

텅스텐을 증착하기 위하여 기판 처리 장치에 이용되는 개선된 히터 {IMPROVED HEATER FOR USE IN SUBSTRATE PROCESSING APPARATUS TO DEPOSIT TUNGSTEN}
현대의 반도체 소자 제조의 주요 단계 중 하나는 반도체 기판 위에 필름을 형성하는 것이다. 공지된 바와 같이, 이들 필름은 화학 기상 증착(CVD)에 의하여 증착될 수 있다. 종래의 열적인 CVD 공정에서는, 반응성 가스가 열 유도 화학 반응(균질 또는 비균질)이 발생하는 기판 표면에 공급되어 원하는 박막을 형성한다. 종래의 플라즈마 공정에서는, 제어된 플라즈마가 형성되어 반응종(reactive species)을 분해하거나 그리고/또는 반응종에 에너지를 가하여 원하는 박막을 형성하도록 한다. 일반적으로, 열 공정 및 플라즈마 공정에서 반응 속도는 온도, 압력, 플라즈마 밀도, 반응가스 흐름속도 등에서 하나 이상을 제어함으로써 제어될 수 있다.
반도체 소자 형상은 소자가 수십년 전에 최초로 소개된 이후에 그 사이즈가 상당히 감소되었다. 이후부터는 집적 회로는 칩 위에 장착되는 소자의 수는 매 2년마다 배로 증가한다는 2년/절반 사이즈 법칙(일명 무어의 법칙)을 따른다. 현재의 웨이퍼 제조 장치는 0.35㎛ 및 심지어는 0.25μm 사이즈 소자를 제조하며, 미래의 장치는 곧 그보다 작은 사이즈를 가진 소자를 제조할 것이다. 처리 챔버에서 입자 발생이 회피되어 양품 수율을 감소시키는 기판 오염을 감소시키는 것이 중요하다. 증착된 박막 필름이 디바이스 균일성을 위하여 기판 전체에서 균일한 두께를 가지는 것이 상당히 중요하다.
기판 처리 장치에서, 필름은 기판의 상부뿐만 아니라 바람직하지 못하게 기판의 에지면 및 후면 상에 증착되는 문제가 발생할 수 있다. 증착된 필름 때문에, 예를 들어, 텅스텐은 실리콘 기판의 에지 및 후면에 부착될 수 없으며, 기판의 에지면 및 후면 상에 증착된 물질은 부서져서 처리 챔버를 오염시키는 경향이 있다. 또한, 에지면 및 후면상의 원치 않는 증착에 의한 기판의 균일하지 못한 표면은 예를 들어 화학 기계적 연마(CMP) 단계에서 박피되는 문제 또는 다음의 디바이스 제조 단계에서의 다른 문제를 발생시킨다. 예를 들어, 이들 문제는 텅스텐 헥사플루오르화물(WF6), 고휘발성 가스를 이용하여 텅스텐과 같은 금속을 증착하기 위하여 이용되는 CVD 챔버에서 발생된다. 에지 링은 증착 중에 기판의 주변부를 커버하거나 보호하기 위하여 이용되어 웨이퍼의 에지면 및 후면에 증착가스가 도달하지 못하도록 한다. 그러나, WF6의 휘발성 때문에, 예를 들어, 에지 링의 뒤의 기판의 에지 바로 뒤 또는 에지에서 정화 가스를 사용하는 것이 시도되었다. 정화 가스는 처리 가스가 이들 에지면 및 후면에 도달할 기회를 감소시키는 양호한 작용을 한다.
에지 링 및 정화 가스의 이용에도 불구하고, CVD에 의한 텅스텐과 같은 금속 필름을 포함한 박막 필름의 증착은 일부 이용분야에서 원하는 만큼 균일할 수 없다. 통상적인 CVD 장치에서, 증착 필름과 관련된 균일성 문제는 정화 가스 채널에서 균일하지 못한 압력 때문에 발생된다. 특히, 일부 종래 CVD 장치는 도 1a 및 1b에 도시된 바와 같은 히터 어셈블리(1)를 이용하는데, 이는 기판(16)을 지지하는 상부 금속 블록(2), 단일 턴 코일 저항 히터 엘리먼트(4)가 삽입된 하부 금속 블록(3) 및 금속 에지 링(5)을 포함한다. 에지 링(5)은 상부 금속 블록(2)상에 용접된(화살표"w" 로 표시된 바와 같이 주변을 따라) 별도 금속 링이다. 코일(4)은 대부분의 히터 어셈블리(1)와 접촉하여 상부 금속 블록(2) 및 그 위에 장착된 기판(16)에 균일한 열을 가한다. 또한, 상부 금속 블록(2)상에 진공 장착된 기판(16)은 히터 어셈블리(1)를 이용하여 균일하고 빠르게 가열될 수 있다. 종래 CVD 장치에서, 에지 링(5) 및 상부 금속 블록(2)은 환형 슬롯(6)을 형성하고, 상기 슬롯을 통하여 하부 금속 블록(3)으로부터의 정화 가스가 상부 금속 블록(2)의 에지를 따라 에지 링 및 기판의 주변부사이에서 흘러 기판(16)상의 원치 않는 에지 및 후면 증착을 방지하도록 한다. 복합 선형 패턴의 여러 가지 정화 채널(7)은 상부 금속 블록(2) 근처에서 하부 금속 블록(3)에 형성되는데, 이는 히터 어셈블리(1)의 상부도(기판(16)은 도시 안됨)를 도시하는 도 1b에 도시된다. 정화 가스는 하부 금속 블록(3)의 바닥을 통하는 수직 정화 인입 통로(8)를 경유하여 하부 금속 블록(3)의 직경을 따르는 직선의 메인 수평 정화 채널(7)의 중심으로 그리고 기타 정화 채널(7)로 유입된다. 특히, 메인 정화 채널에 수직인 다수의 수평 정화 브렌치 채널은 메인 정화 채널로부터 분기하여 하부 금속 블록(3)의 환형 정화 채널(9)로 유도된다. 환형 정화 채널(9)로부터, 정화 가스는 에지 링(5)사이의 슬롯(6)을 통하여 기판(16)의 에지를 따라 흘러 원치 않는 기판(16)상의 증착을 방지하도록 한다. 이들 여러 정화 채널(7)을 이동하는 정화 가스는 정화 가스가 이동되는 특정 채널(7)에 따라 상이한 위치에서 상이한 유효 압력을 받는다. 상이한 위치에서의 정화 가스의 상이한 유효 압력은 증착 필름을 균일하지 않게 한다. 또한, 종래 CVD 장치는 시간이 지날수록 균일성이 악화된다. 상기와 같은 장치에서, 에지 링(5)의 상부는 하부 금속 블록(3) 근처의 에지 링(5)의 좁게 용접된 하부보다 부피가 크다. 처리 온도가 약 400℃에 도달하면, 에지 링(5)의 무거운 상부는 상부 금속 블록(2)으로부터 빠르게 바깥쪽으로 뒤틀리는 경향이 있으며, 이는 슬롯(6)의 휨 때문에 정화 가스 흐름을 불균일하게 한다. 따라서, 에지 링 뒤틀림은 균일하지 못한 뒤틀린 에지 링을 따라 정화 가스가 잠재적으로 균일하지 못하게 흐르기 때문에 추가의 필름 균일성 문제를 발생시킨다. 에지 링이 뒤틀리기 시작하면, 원래의 형태로 복귀되지 않는 에지 링은 균일하지 못한 정화 가스 흐름 때문에 사용되지 못하고 교체되어야 한다.
디바이스를 경제적이고 효율적으로 제조하기 위하여, 제조자는 12인치(또는 300mm) 직경 또는 그이상의 기판과 같은 상당히 큰 직경의 기판을 이용하여 디바이스를 제조하고자 한다. 큰 직경의 기판을 처리하는 것은 상기와 같은 큰 기판을 물리적으로 수용할 뿐만 아니라 높은 품질의 디바이스를 제조하기 위한 엄격한 요구조건(예를 들어, 적합한 기판 가열 능력 및 균일한 필름 증착)을 만족시키는 기판 처리 장치를 요구한다. 그러나, 고성능을 수행하기 위한 상기와 같은 기판 처리 장치의 설계는 비용이 많이 든다. 예를 들어, 단일 턴 히터 엘리먼트(4)를 가진 상기 히터 어셈블리(1)는 균일한 필름을 증착하기 위하여 큰 직경의 기판을 가열하는데 필요한 가열 능력을 제공하는데 부적합하다. 복잡한 정화 채널(7)을 가진 하부 금속 블록(3)에 용접된 금속 에지 링(5)을 가진 상기 히터 어셈블리(1)는 고집적화된 디바이스에 대하여 요구되는 균일한 정화 가스 흐름 및 얇은 필름 증착에 대한 고비용의 실험 및 최적화 없이는 큰 직경의 기판에 이용하기가 곤란하다. 또한, 상기 히터 어셈블리(1)가 큰 직경의 기판에 적용되더라도, 히터의 큰 직경은 얇고 큰 균일한 큰 에지 링을 요구하는데, 이는 뒤틀리기가 더 쉽다. 큰 직경의 기판을 위한 히터에 대한 고비용 설계 문제 및 뒤틀림 문제를 극복하기 위하여, 상이한 직경의 기판을 처리할 수 있도록 설계되고 그리고/또는 처리된 기판의 직경에 관계없이 동작하는 기판 처리 장치가 요구된다. 상기와 같은 기판 처리 장치 설계에 있어서의 융통성에 의하여 특히 큰 직경의 기판과 관련된 처리 장치에 있어서 비용이 절감되고 기판 처리가 효율적이게 된다.
상기와 같은 측면에서, 여러 직경의 기판을 처리하는 장치에 적용될 수 있는 간편한 설계를 가진 개선된 히터 어셈블리가 필름 균일성과 같은 엄격한 필름 요구조건을 만족시키도록 효율적이고 경제적으로 기판을 처리하기 위하여 요구된다.
본 발명은 반도체 처리에 관한 것이다. 특히, 본 발명은 여러 종류의 박막을 균일하게 형성하는 방법 및 장치에 관한 것이다. 본 발명의 실시예는 특히 텅스텐(W) 필름 또는 텅스텐 규화물(WSix)과 같은 금속 함유 필름, 도핑되지 않은 실리케이트 유리(USG) 필름과 같은 도핑되지 않은 유전체 필름, 보로포스포실리케이트 유리(BPSG), 포스포실리케이트 유리(PSG) 또는 보로포스포실리케이트 유리(BSG) 필름과 같은 도핑된 유전체 필름 및 기타 필름을 포함하여 박막 필름을 증착하기에 유용하다. 또한, 본 발명의 다른 직경을 가진 처리 기판으로부터 반도체 디바이스를 경제적이고 효율적으로 제조하기 위하여 이용될 수 있다.
본 발명은 12인치(또는 300mm) 직경 또는 그이상의 크기의 기판과 같은 상당히 큰 직경의 기판을 이용하여 경제적이고 효율적으로 집적회로를 제조하는데 유용하다. 본 발명은 고집적 디바이스의 필름 균일성과 같은 엄격한 필름 요구조건에 만족하도록 효율적이고 경제적으로 기판을 처리할 수 있는 상이한 직경의 기판을 처리하는 장치에 적용되는 간단한 히터 설계를 제공한다.
일 실시예에 따르면, 본 발명은 기판 처리 장치에 이용되는 히터 어셈블리를 제공한다. 히터 어셈블리는 기판을 지지하는 표면을 포함하는 금속 페데스털 및 상기 금속 페데스털 상에 배치된 저항성 가열 엘리먼트를 포함한다. 히터 어셈블리는 또한 상기 금속 페데스털에 배치된 정화 가스 채널 시스템을 포함한다. 정화 가스 채널 시스템은 금속 페데스털의 중심에 배치된 중심 정화 가스 인입구를 포함한다. 중심 정화 가스 인입구는 정화 가스를 제공한다. 정화 가스 채널 시스템은 또한 중심 정화 가스 인입구로부터 금속 페데스털의 주변부로 방사되는 다중 방사상 정화 가스 채널 및 상기 주변부에서 금속 페데스털에 형성된 환형 정화 가스 채널을 포함한다. 정화 가스 채널은 대칭 패턴을 형성하며, 각각의 정화 가스 채널은 거의 동일한 길이를 가진다. 특정 실시예에서, 어셈블리는 주변부근처에 다중 홀을 통하여 표면에 연결된 환형 정화 가스 채널을 포함하여 금속 페데스털에 통합된 정화 유도 링을 제공하도록 한다. 특정 실시예에 따르면, 히터 어셈블리는 또한 금속 페데스털에 형성된 진공 척킹 시스템을 포함한다. 다른 특정 실시예에서, 금속 페데스털은 300mm 직경 이상의 기판을 지지하고 균일하게 가열할 수 있다.
다른 실시예에 따르면, 본 발명은 처리 챔버 및 가열 시스템을 포함하는 기판 처리 시스템을 제공한다. 가열 시스템은 기판을 지지할 수 있고 선택된 온도로 가열할 수 있는 히터 어셈블리를 포함한다. 히터 어셈블리는 기판을 지지하는 표면 및 표면을 균일하게 가열하는 저항성 히터 엘리먼트 및 정화 가스를 제공하는 중심 정화 가스 인입구를 가진 금속 페데스털을 포함한다. 중심 정화 가스 인입구는 금속 페데스털의 중심에 배치된다. 히터 어셈블리는 금속 페데스털에 형성된 다수의 방사상 정화 가스 채널을 포함하며, 방사상 정화 가스 채널은 중심 정화 가스 인입구로부터 금속 페데스털의 주변부로 방사되어 대칭 패턴을 형성하도록 한다. 히터 어셈블리는 또한 주변부에서 금속 페데스털에 형성된 환형 정화 가스 채널을 포함한다. 환형 정화 가스 채널은 주변부 근처의 다수의 홀을 통하여 표면에 연결되어 금속 페데스털에 통합된 에지 정화 가스 유도부를 제공한다.
본 발명의 실시예, 장점 및 특징은 첨부된 도면을 참조로 이하에서 설명된다.
[도면의 간단한 설명]
도 1a는 종래 히터 어셈블리(1)의 단면도이다.
도 1b는 도 1a의 히터 어셈블리(1)의 상부도이다.
도 2a는 본 발명에 따른 화학 기상 증착 장치의 일 실시예에 대한 수직 단면도이다.
도 2b는 챔버에서 처리되는 기판을 지지하기 위하여 도 2a의 챔버에 이용되는 저항성 가열 히터/서셉터의 일 실시예에 대한 수직 개략 단면도이다.
도 2c는 다중 챔버 시스템에서 시스템 모니터 및 CVD 시스템(10)의 개략도이다.
도 2d는 본 발명의 특정 실시예를 따르는 시스템 제어 소프트웨어, 컴퓨터 프로그램(70)의 계층적 제어 구조에 관한 블록도이다.
도 3a-3e는 본 발명의 여러 가지 특정 실시예에 따른 통합 에지링(37)과 관련된 기판(16)의 단면도를 도시한다.
도 4a 및 4b는 도 2b에 도시된 히터 어셈블리(18)의 상부 금속 블록(31)의 일 실시예에 대한 하부도 및 상부도를 도시한다.
도 5a 및 5b는 도 2b에 도시된 히터 어셈블리(18)의 하부 금속 블록(33)의 일 실시예에 대한 하부도 및 상부도를 도시한다.
도 6은 샤프트(65)가 없이 도시된 히터 어셈블리(18)의 특정 실시예의 3차원 부분 절단도이다.
도 7a 및 7b는 본 발명의 일 실시예에 따른 히터 어셈블리(18)의 샤프트(65)의 상부도 및 단면도이다.
도 8은 본 발명의 실시예에 따라 제조된 반도체 디바이스의 단면도이다.
Ⅰ. 도입부
본 발명의 장치는 텅스텐 필름과 같은 균일하고 얇은 필름의 증착이 가능하게 한다. 본 발명의 장치에 의해 증착된 필름은 작은 디바이스 형상을 가진 집적회로의 제조에 이용하기에 적합하다. 특히, 상기와 같은 장치는 금속 함유 필름, 도핑되지 않은 유전체 필름, 도핑된 유전체 필름 및 기타 필름을 증착하기 위하여 이용될 수 있다. 상기와 같은 필름은 금속 상호접속 라인, 초경박 도핑 영역, 프리메털 유전체층, 금속간 유전체층, 캡핑층, 산화물 충전층 또는 기타 층을 형성할 때 이용될 수 있다.
여러 종류의 균일한 박막 필름을 증착하기 위하여 이용되는 것 이외에, 본 발명에 따른 장치는 바람직하게 큰 직경의 기판에 쉽게 적용될 수 있거나 또는 어떠한 직경을 가진 기판이 배치된 CVD 장치에 이용될 수 있다. 또한, 본 발명의 장치는 원하는 필름 증착물로부터 오염 없이 필요에 따라 웨이퍼 표면상에 균일한 박막 필름을 증착할 수 있다. 상기 장치가 균일한 박막 텅스텐 필름을 기초로 설명되지만, 본 발명은 여기에 한정되지는 않는다.
Ⅱ. CVD 반응기 챔버 실시예
도 2a 및 2b는 진공 챔버(12)를 가진 평행 플레이트, 냉각 벽 챔버 진공 증착 시스템(10)의 일 실시예를 도시하는데, 여기서 본 발명에 따라 텅스텐 필름과 같은 균일한 박막 필름이 증착될 수 있다. CVD 시스템(10)은 홀(11) 패턴을 가진 가스 분배 분기관(14)을 포함하여 저항성으로 가열된 서셉터/히터(18)상에 안착된 기판 또는 웨이퍼(16)에 처리 가스를 분배하도록 한다.
챔버(12)는 중심 이송 챔버에 연결되고 로봇에 의하여 작동되는 다중 처리 챔버를 가진 진공 처리 시스템의 일부일 수 있다. 기판(16)은 챔버 측벽의 슬릿 밸브(15)를 통하여 로봇 블레이드에 의하여 챔버(12)로 이송된다. 샤프트(65)를 가진 히터(18)는 리프트 메커니즘에 연결된 모터(20)를 이용하여 처리 위치와 하부 로딩 위치사이에서 수직으로 이동될 수 있는데, 상기 리프트 메커니즘은 예를 들어 공동으로 양도된 미국출원 08/738,240(1996년 10월 25일 출원, 발명자 네오나드 세릴우틴 및 준자호), 발명의 명칭 "자기 정렬 리프트 메커니즘" 및 공동으로 양도된 미국출원 08/892,612(1997년 7월 14일 출원, 발명자 레오나드 세릴우틴, 타렉스 사조토 및 준자호), 발명의 명칭 "개선된 자기 정렬 리프트 메커니즘)에 개시되어 있으며, 상기 내용은 여기에 참고된다. 리프트 핀(22)은 히터(18)내에서 활주할 수 있지만 상부 단부상의 콘형 헤드에 의해 이탈되는 것이 방지된다. 리프트 핀(22)의 하부 단부는 수직으로 이동가능한 리프트 링(21)과 맞물려 있어 히터 표면상에서 리프팅될 수 있다. 히터(18)가 하부 로딩 위치(도 2a에 도시된 바와 같이 슬릿 밸브(15)보다 약간 아래에 위치)에 있을 경우, 리프트 핀과 리프트 링과 협동하는 로봇 블레이드(도시 안됨)는 슬릿 밸브(15)를 통하여 챔버(12)에 대하여 기판(16)을 이송시키는데, 상기 슬릿 밸브(15)는 슬릿 밸브(15)를 통하여 챔버에 대하여 가스가 흐르는 것을 방지하기 위하여 진공 밀봉될 수 있다. 슬릿 밸브 반대편의 제 1위치(13)의 기판(16)은 히터(18)가 하부 로딩 위치에 있을 때 챔버로 전달된다. 위치(13)에서, 기판(16)은 히터(18)의 대응하는 리프트 핀 홀(도 2a는 도시 안됨)을 통과하고 히터(18)에 연결되는 리프트 핀(22) 세트에 의하여 처음에 지지된다. 리프트 핀(22)은 로봇 블레이드 밖으로 삽입된 웨이퍼(도시 안됨)를 상승시키고 히터(18)는 히터의 상부 표면상의 웨이퍼 포켓으로 리프트 핀을 벗어나도록 웨이퍼를 상승시킨다. 적절한 로봇형 이송 어셈블리는 메이댄에게 허여되고 여기에서 참조로 사용되며 공동 양도된 미합중국 특허 제 4,951,601호에 개시된다.
히터(18)가 가스 분배 분기관(14)에 대향하는 처리 위치(32)(점선으로 도시됨)로 이송될 때, 핀(22)은 히터(18)쪽으로 들어가고 기판(16)은 히터(18)의 상부면으로 배치된다. 특히, 본 발명은 히터 어셈블리(18)를 제공하는데, 이는 도 2b에 상세히 도시되며 기판(16)을 지지하는 상부 금속 블록(31), 저항성 히터 엘리먼트(33)가 삽입된 다중 루프(특성 실시예에서 3개의 루프)를 가진 하부 금속 블록(33) 및 금속 에지지지 링(35)을 포함한다. 상부 금속 블록(31), 하부 금속 블록(33) 및 금속 에지지지 링(35)은 알루미늄 또는 기타 금속으로 만들어진다. 상부 금속 블록(31) 역시 상부 금속 블록(31)을 통하여 다중 에지 정화 가스 노즐(38)에 의하여 형성되는 통합된 에지링(37)을 포함한다. 노즐(38)은 상부 금속 블록(31)의 외경보다 작은 반경을 가진 원에 균일하게 배치되고 배열된다. 노즐(38)은 도 2b에 간략하게 도시되며 이하에 상세히 설명된다. 에지링(37)은 상부 금속 블록(31)과 일체화된 부분이다. 에지링(37)의 특정 실시예는 도 2a-2b에 간략하게 도시되며 도 3a-3e에서 기판(16)과 관련하여 상세히 설명된다. 바람직하게, 상부 금속 블록(31)의 일부로서 에지링(37)을 형성하는 것은 약 400℃이상의 처리 온도에서 도 1a 및 1b의 전술한 종래 히터 어셈블리에서 발생되는 에지링의 왜곡을 방지한다. 본 발명의 히터(18)는 상부 금속 블록(31)의 벌크 금속의 고정 위치에 형성된 노즐(38) 때문에 균일한 에지 정화 가스 흐름이 이루어진다. 히터 엘리먼트(34)는 히터(18)의 하부 금속 블록(33)에 삽입되어 상부 금속 블록(31)을 균일하게 가열하고 그 위에 배치된 기판(16)을 균일하게 가열하도록 한다.
도 3a-3e는 본 발명의 여러 가지 특정 실시예에 따른 일체형 에지링(37)과 관련하여 기판(16)의 단면도를 도시한다. 도 3a, 3b 및 3c는 히터 어셈블리(18)의 상부 금속 블록(31)의 상부면상에 안착되도록 기판(16)에 대하여 깊은 포켓, 편편한 포켓 및 얇은 포켓을 각각 형성하는 에지링(37)의 실시예를 도시한다. 도 3a-3c에서, 기판(16)은 상부 금속 블록(31)상에 배치되어, 상부 금속 블록(31)상의 일부 공간이 기판(16)의 에지에 의하여 노출되도록 한다. 도 3d에서, 기판(16)은 상부 금속 블록(31)상에 배치되어 상부 금속 블록(31)상에 어떠한 공간도 기판(16)의 에지에 의하여 노출되지 않도록 하는데, 이는 노즐(38)의 개구와 동일평면이다. 도 3e에서, 기판(16)은 상부 금속 블록(31)상에 배치되어 상부 금속 블록(31)상에 어떠한 공간도 기판(16)의 에지에 의하여 노출되지 않도록 하는데, 이는 노즐(38)의 개구의 일부에 돌출한다. 도 3d-3e는 또한 점선에서 에지링(37)의 여러 실시예(도 3a-3c와 유사함)를 도시한다. 물론, 특정 사이즈의 기판의 외부 직경은 에지링(37)의 내부 직경 보다 작아서 기판이 히터 어셈블리(18)에 의하여 적합하게 지지되도록 하여야 한다.
히터(18)상에 배치될 때, 기판(16)은 진공 클램핑 또는 척킹 시스템에 의하여 히터(18)의 상부에 고정된다. 도 2b에 도시된 바와 같이, 진공 클램핑 시스템은 상부 금속 블록(31)의 상부면에 형성된 다중 홈(29), 상부 금속 블록(31)을 통하여 홈(29)을 유도하는 다중 수직 진공 도관(45)(도 2b에서는 두 개만 도시됨), 수직 진공 도관(45)에 유도된 진공 통로(47) 및 진공 통로(47)에 유도된 수직 진공 인입구(49)를 포함한다. 클램핑 시스템은 하부 금속 블록(33)의 바닥을 통하여 히터 어셈블리(18)를 연결되는 수직 진공 인입구(49)를 챔버(12)로부터 멀리 배치된 펌핑 시스템에 연결함으로써 진공을 유지한다. 클램핑 시스템의 특정 실시예는 이하에 상세히 설명된다. 상기 상부 금속 블록(31)상에 진공 홈(29)을 통하여 진공 장착될 때, 기판(16)은 히터 어셈블리(18)를 이용하여 빠르고 균일하게 가열된다. 기판(16)의 진공 척킹은 열 접속을 향상시켜 온도 제어 및 균일성을 향상시킨다.
증착 및 캐리어 가스는 밸브 또는 대량 흐름 제어기(MFC)(17)의 제어에 따라 가스 라인(19)을 통하여 분기관(14)으로 공급된다. 처리 중에, 분기관(14)에 공급된 가스는 화살표(27)로 표시된 바와 같이 기판 표면 전체에 균일하게 분배된다. 소모된 처리 가스 및 부산물 가스는 배출 시스템(36)에 의하여 챔버로부터 배출된다. 가스가 배출 시스템(36)에서 배출 라인(21)으로 방출되는 속도는 드로틀 밸브(28)에 의하여 제어된다.
히터(18)가 처리 위치922)로 상부로 이동될 때, 기판(16)은 세도우 링(54)과 접촉하고, 상기 링은 원치 않은 증착으로부터 기판(16)의 상부 에지를 보호한다. 정화 가스(25)는 또한 히터(18) 주위의 증착을 최소화하기 위하여 히터(18) 주위에 흐를 수 있다. 이들 정화 가스(25)는 정화 라인(도 2a, 24)으로부터 공급되고 처리 중에 챔버로 유입되는 마모성 가스에 의한 손상으로부터 스테인리스 강철 벨로우즈(26)를 보호하기 위하여 이용된다. 증착 중에, 에지 정화 가스(23)는 기판(16)의 에지사이에 흘러서 증착 가스가 기판의 에지와 후면에 접촉하는 것을 방지한다. 에지 정화 가스(23)는 샤프트(65)내의 수직 정화 인입구 통로(39a)로 유입되고 하부 금속 블록(33)의 수직 정화 통로(39b)를 통하여 상부 금속 블록(31)의 하부면에 형성된 다중 정화 채널(40)의 중심 접합부(39c)에 전달된다. 에지 정화 가스(23)는 환형 정화 채널(53)로 유도되는 다중 정화 가스 채널(40)을 통하여 다중 정화 가스 노즐(38)로 흐른다. 환형 정화 채널(53)과 각각의 정화 가스 노즐(38)사이에는 이하에 설명되는 중간 노즐 채널(38a)이 있다. 에지 정화 가스(23)는 이하에 상세히 설명되는 바와 같이 웨이퍼(16)의 에지에 대하여 에지 정화 노즐(38)(도 2a)로부터 흐른다.
RF 전력 공급장치(48)는 챔버를 상부 전력 플라즈마 증강 CVD(PECVD) 세척을 제공하기 위하여 분기관(14)에 연결될 수 있다. 하부 전력 PECVD 세척은 또한 다른 실시예에 제공될 수 있다. 선택적으로, 원격 마이크로파 플라즈마 시스템(도시 안됨)은 분기관(14)에 연결되거나 또는 챔버의 원격 플라즈마 세척을 위하여 챔버에 연결될 수 있다.
A. 시스템 제어
CVD 시스템(10)의 드로틀 밸브(28), 가스 공급 밸브/MFC(17), 모터(20)와 리프트 메커니즘, 히터(18)내의 저항성 히터 엘리먼트, RF 전력공급장치(48) 및 기타부분은 제어 라인(44)(일부만 도시됨)을 통해 프로세서(42)에 의하여 제어된다. 프로세서(42)는 메모리(46)와 같은 컴퓨터 판독가능 매체에 저장된 컴퓨터 프로그램의 제어하에서 동작한다. 이 컴퓨터 프로그램은 온도, 챔버 압력, 타이밍, 가스 혼합, RF 전력 레벨, 히터 및 특정 처리의 기타 파라미터를 포함한다.
바람직한 실시예에서, 시스템 제어기는 하드디스크 드라이브(메모리(46), 플로피 디스크 및 프로세서(42)를 포함한다. 프로세서는 단일 보드 컴퓨터(SBC), 아날로그 디지털 입력/출력 보드, 인터페이스 보드 및 스텝모터 제어 보드를 포함한다. CVD 장치(10)의 여러 부분은 보드, 카드 케이지 및 커넥터 크기 및 형태를 한정하는 베사 모듈라 유럽(VME) 표준에 따른다. VME 표준은 또한 16-비트 데이터 버스 및 24-비트 어드레스 버스를 가진 버스 구조를 한정한다.
시스템 제어기(42)는 CVD 장치의 모든 동작을 제어한다. 시스템 제어기는 시스템 제어 소프트웨어를 실행하며, 상기 소프트웨어는 메모리(46)와 같은 컴퓨터 판독가능 매체에 저장된 컴퓨터 프로그램이다. 바람직하게, 메모리(46)는 하드디스크 드라이브이지만, 메모리(46)는 다른 종류의 메모리일 수 있다. 컴퓨터 프로그램은 타이밍, 가스 혼합, 챔버 압력, 챔버 온도, RF 전력 레벨, 히터 위치, 특정 처리의 다른 파라미터를 나타내는 명령 세트를 포함한다. 디스크 드라이브 및 다른 적절한 드라이브를 포함한 기타 메모리 디바이스에 저장된 다른 컴퓨터 프로그램은 제어기(42)를 동작시키기 위하여 사용될 수 있다.
사용자 및 프로세서(42)사이의 인터페이스는 기판 처리 시스템의 시스템 모니터 및 CVD 장치(10)를 간략하게 도시하는 도 2c에 도시된 CRT 모니터(60a) 및 라이트 펜(60b)을 통해서 이다. 바람직한 실시예에 있어서, 두 개의 모니터(60a)가 사용되는데, 두 개의 모니터중 한 모니터는 운용자용으로서 세척방 벽내에 장착되며 다른 모니터는 서비스 기술자를 위해 벽 뒤에 배치된다. 모니터(60a)는 동시에 동일한 정보를 디스플레이하나, 단지 하나의 라이트 펜(60b)만이 사용될 수 있다. 라이트 펜(60b)은 펜의 팁에 있는 광 센서에 의해 CRT 디스플레이에 의해 방사된 광을 검출한다. 특정 스크린 또는 기능을 선택하기 위하여, 오퍼레이터는 디스플레이 스크린의 지정된 영역을 터치한 다음 펜(60b)상의 버튼을 누른다. 터치된 영역은 밝게된 부분의 색을 변화시키거나, 새로운 메뉴 또는 스크린이 디스플레이되어 라이트 펜과 디스플레이 스크린 사이의 통신을 확인하도록 한다. 물론, 키보드, 마우스 또는 다른 지시 또는 통신 장치와 같은 다른 장치는 사용자가 프로세서(42)와 통신할 수 있도록 라이트 펜(60b) 대신에 사용될 수 있다.
박막을 증착하기 위한 방법은 프로세서(42)에 의하여 수행되는 컴퓨터 프로그램 제품을 이용하여 수행될 수 있다. 컴퓨터 프로그램 코드는 예를 들어 68000어셈블리 언어, C, C++, 파스칼, 포트란 등과 같은 종래 컴퓨터 판독가능 프로그래밍 언어로 기록될 수 있다. 적합한 프로그램 코드는 종래 텍스트 에디터를 이용하여 단일 파일 또는 다중 파일로 입력되며 컴퓨터 메모리 시스템과 같은 컴퓨터 사용가능 매체에 저장 또는 수록된다. 상기 기입된 코드 텍스트가 고급 언어라면, 상기 코드는 컴파일링되고, 다음에 얻어진 컴파일링 코드는 미리 컴파일링된 라이브러리 루틴의 목적 코드와 링크된다. 상기 링크된 목적 코드를 실행하기 위하여, 시스템 사용자는 상기 목적 코드를 호출하여 컴퓨터 시스템이 상기 코드를 메모리에 로딩하도록 하는데, 상기 CPU가 프로그램에서 식별된 태스크(task)를 수행하기 위기 위하여 코드를 판독하고 실행한다.
도 2d는 특별한 실시예에 따른 시스템 제어 소프트웨어 컴퓨터 프로그램(70)의 계층적 제어 구조의 블록도를 도시한다. 라이트 펜 인터페이스를 사용하여, 사용자는 CRT 모니터 상에 표시된 메뉴 또는 스크린에 응답하여 처리 세트 번호와 처리 챔버 번호를 처리 선택 서브루틴(73)에 기입한다. 기입된 처리를 수행하는데 필요한 소정 처리 파라미터 세트인 상기 처리 세트는 소정 세트 번호에 의해 식별된다. 처리 선택 서브루틴(73)은 (ⅰ) 요구된 처리 챔버, 및 (ⅱ) 상기 요구된 처리를 수행하기 위해 처리 챔버를 동작하는데 필요한 요구된 파라미터 세트를 식별한다. 특정 처리를 수행하기 위한 상기 처리 파라미터는 예를 들어 처리 가스 혼합과 흐름 속도, 온도, 고주파수 및 RF 전력 레벨과 저주파수 RF 주파수 같은 플라즈마 조건, 냉각 가스 압력, 및 챔버 벽 온도와 같은 조건 처리에 관련한다. 상기 처리 파라미터는 사용자에게 사용법의 형태로 제공되고 라이트펜/CRT 모니터 인터페이스를 사용하여 기입될 수 있다.
상기 처리를 모니터링하기 위한 신호는 상기 시스템 제어기의 아날로그 입력 보드와 디지털 입력 보드에 의해 제공되며, 상기 처리를 제어하기 위한 신호는 CVD 시스템(10)의 아날로그 출력 보드와 디지털 출력 보드 상의 출력이다.
처리 시퀀서 서브루틴(75)은 상기 식별된 처리 챔버와 처리 선택 서브루틴(73)으로부터의 처리 파라미터 세트를 수용하고 여러 처리 챔버의 동작을 제어하기 위한 프로그램 코드를 포함한다. 다중 사용자가 처리 세트 번호와 처리 챔버 번호를 기입할 수 있고, 또는 단일 사용자가 다중 처리 세트 번호와 처리 챔버 번호를 기입할 수 있어 시퀀서 서브루틴(75)은 요구된 시퀀스내의 선택된 처리를 스케줄링하도록 동작한다. 바람직하게, 시퀀스 서브루틴(75)은 (ⅰ) 챔버가 사용되고 있다면 처리 챔버의 동작을 모니터링하고, (ⅱ) 어떤 처리가 사용되고 있는 챔버에서 수행되는가를 결정하며, (ⅲ) 처리의 유용성과 수행될 처리의 타입에 기초한 요구된 처리를 실행하는 단계를 수행하는 프로그램 코드를 포함한다. 상기 처리 챔버를 모니터링하는 일반적 방법, 이를테면 폴링(polling)이 사용될 수 있다. 수행될 수 있는 처리를 스케줄링할 때, 시퀀서 서브루틴(75)은 선택된 처리에 대해 요구된 조건, 또는 각각의 특별한 사용자 기입 요구서의 "수명", 또는 스케줄링 우선 순위를 결정하기 위해 시스템 프로그래머가 포함하기를 요구하는 어떤 다른 관련 인자와 비교하여 사용되어지는 현재 처리 챔버의 조건을 고려한다.
시퀀서 서브루틴(75)이 다음에 실행되어야 하는 처리 챔버와 처리 세트 조합을 결정할 때, 상기 시퀀서 서브루틴(75)은 특별한 처리 세트 파라미터를 시퀀서 서브루틴(75)에 의해 결정된 처리 세트에 따라 처리 챔버(12)에서의 다중 프로세싱 작업을 제어하는 챔버 매니저 서브루틴(77a-c)에 전달함으로써 상기 처리 세트의 실행을 시작한다. 예를 들면, 상기 챔버 매니저 서브루틴(77a)은 처리 챔버(12)의 CVD 동작을 제어하기 위한 프로그램 코드를 포함한다. 또한 챔버 매니저 서브루틴(77)은 상기 선택된 처리 세트를 실행하는데 필요한 여러 챔버 부품의 실행을 제어한다. 챔버 부품 서브루틴의 예는 일부 실시예에서 기판 위치 설정 서브루틴(80), 처리 가스 제어 서브루틴(83), 압력 제어 서브루틴(85), 히터 제어 서브루틴(87), 및 플라즈마 제어 서브루틴(90)이다. 당업자는 어떤 처리가 처리 챔버(12)에서 실행될 수 있는가에 의존하여 다른 챔버 제어 서브루틴이 포함될 수 있다는 것을 쉽게 알 수 있을 것이다. 동작중, 챔버 매니저 서브루틴(77a)은 실행되는 특별한 처리에 따라 처리 부품 서브루틴을 스케줄링하거나 호출한다. 챔버 매니저 서브루틴(77a)은 시퀀서 서브루틴(75)이 다음에 실행될 수 있는 처리 챔버(12)와 처리 세트를 스케줄링하는 것과 매우 유사하게 처리 부품 서브루틴을 스케줄링한다. 전형적으로, 챔버 매니저 서브루틴(77a)은 여러 챔버 부품을 모니터링하고, 실행될 처리 세트를 위한 처리 파라미터에 기초하여 동작되는데 필요한 부품을 결정하며, 상기 모니터링과 결정 단계에 응답하여 챔버 부품 서브루틴의 실행을 시작하는 단계를 포함한다.
이제 특정 챔버 부품 서브루틴의 동작이 도 2d를 참조하여 기술될 것이다. 기판 위치 설정 서브루틴(80)은 기판을 히터(18) 상에 로딩하고, 선택적으로 기판과 가스 분배 분기관(14) 사이의 공간을 제어하기 위하여 챔버(12)의 요구된 높이로 기판을 리프팅하는데 사용되는 챔버 부품을 제어하기 위한 프로그램 코드를 포함한다. 기판이 처리 챔버(12)내로 로딩될 때, 히터(18)는 상기 기판을 수용하기 위하여 하강되고, 다음에 히터(18)는 챔버에서 상기 요구된 높이까지 상승되어 CVD 처리 중에 가스 분배 분기관(14)으로부터 제 1거리 및 간격에 기판을 유지하도록 한다. 동작중, 기판 위치설정 서브루틴(80)은 챔버 매니저 서브루틴(77a)으로부터 전달되는 지지 높이에 관련된 처리 세트 파라미터에 응답하여 히터(18)의 이동을 제어한다.
처리 가스 제어 서브루틴(83)은 처리 가스 구성과 흐름 속도를 제어하기 위한 프로그램 코드를 가진다. 처리 가스 제어 서브루틴(83)은 안전 차단 밸브의 개방/밀폐 위치를 제어하며, 또한 요구된 가스 흐름 속도를 달성하기 위하여 유량 제어기를 램핑 업/다운한다. 처리 가스 제어 서브루틴(83)은 모든 챔버 부품 서브루틴이 그렇듯이 상기 챔버 매니저 서브루틴(77a)에 의해 호출되며, 상기 챔버 매니저로부터 요구된 가스 흐름 속도에 관련된 서브루틴 처리 파라미터를 수신한다. 전형적으로, 처리 가스 제어 서브루틴(83)은 상기 가스 공급 라인을 개방하고 반복적으로 (ⅰ) 필요한 매스 흐름 제어기를 판독하고, (ⅱ) 챔버 매니저 서브루틴(77a)으로부터 수신된 요구된 흐름 속도와 판독값을 비교하며, (ⅲ) 필요에 따라 가스 공급 라인의 흐름 속도를 조절함으로써 동작한다. 더욱이, 처리 가스 제어 서브루틴(83)은 위험한 속도의 가스 흐름 속도를 모니터링하며, 위험한 조건이 검출될 때 안전 차단 밸브를 작동시키기 위한 단계를 포함한다.
일부 처리에서, 헬륨 또는 아르곤 같은 불활성 가스가 반응 처리 가스가 유입되기 이전에 챔버의 압력을 안정화하기 위하여 챔버(12)내로 흐르게 된다. 이런 처리를 위하여, 처리 가스 제어 서브루틴(83)은 챔버의 압력을 안정화하기 위해 필요한 시간의 양 동안 챔버(12)내로 불활성 가스를 흐르게 하는 단계를 포함하도록 프로그램되고, 다음에 이미 기술된 단계들이 수행될 것이다.
상기 압력 제어 서브루틴(85)은 챔버의 배기 시스템내의 드로틀 밸브의 개구 크기를 조정함으로써 상기 챔버(12)의 압력을 제어하기 위한 프로그램 코드를 포함한다. 상기 드로틀 밸브의 개구 크기는 전체 처리 가스 흐름, 처리 챔버의 크기, 및 배기 시스템에 대한 펌핑 설정 압력에 관련한 요구된 레벨로 챔버 압력을 제어하도록 설정된다. 압력 제어 서브루틴(85)이 호출될 때, 상기 요구된 또는 목표 압력 레벨은 챔버 매니저 서브루틴(77a)으로부터 파라미터로서 수신된다. 상기 압력 제어 서브루틴(85)은 상기 챔버에 접속된 하나 이상의 일반적 압력계를 판독함으로써 챔버(12)의 압력을 측정하고, 상기 측정값을 목표 압력과 비교하고, 상기 목표 압력과 대응하는 저장된 압력 테이블로부터 PID값(비례값, 적분값 및 미분값)을 얻으며, 상기 압력 테이블로부터 얻어진 상기 PID값에 따라 상기 드로틀 값을 조절한다. 선택적으로, 압력 제어 서브루틴(85)은 상기 요구된 레벨까지 챔버(12)의 펌핑 능력을 조정하기 위해 특별한 개구 크기로 상기 드로틀 밸브를 개방 또는 밀폐하도록 기입될 수 있다.
히터 제어 서브루틴(87)은 기판(16)을 가열하는데 사용되는 각각의 가열 유니트에 대한 전류를 제어하기 위한 프로그램 코드를 포함한다. 상기 히터 제어 서브루틴(87)은 또한 상기 챔버 매니저 서브루틴(77a)에 의해 호출되고 목표 또는 설정 온도 파라미터를 수신한다. 상기 히터 제어 서브루틴(87)은 히터(18)에 배치된 열전쌍의 전압 출력을 측정함으로써 온도를 측정하고, 상기 측정된 온도를 설정 온도와 비교하며, 상기 설정 온도를 얻기 위하여 상기 가열 유니트에 인가되는 전류를 증가 또는 감소시킨다. 상기 온도는 저장된 변환 테이블의 대응하는 온도를 룩업하거나, 또는 4차 다항식을 사용하여 상기 온도를 계산함으로써 상기 측정된 전압으로부터 얻어진다. 매립된 루프가 히터(18)를 가열하는데 사용될 때, 히터 제어 서브루틴(87)은 점차 상기 루프에 인가되는 전압의 램핑 업/다운을 제어한다. 부가적으로, 내장 결함-안전 모드가 처리 안전 컴플라이언스를 검출하도록 포함될 수 있으며, 처리 챔버(12)가 적정히 설정되지 않는다면 상기 가열 유니트의 동작을 차단할 수 있다.
일부 실시예에서, 챔버(12)는 챔버 세척 또는 다른 동작을 위하여 이용되는RF 전력공급장치와 함께 제공될 수 있다. 챔버 세척 플라즈마 처리가 이용될 때, 플라즈마 제어 서브루틴(90)은 챔버(12)에서 처리 전극에 가해진 주파수 RF 전력 레벨을 설정하기 위한 프로그램 코드를 포함한다. 전술한 챔버 부품 서브루틴과 유사하게, 플라즈마 제어 서브루틴(90)은 챔버 매니저 서브루틴(77a)에 의하여 호출된다.
상기 일반적인 CVD 시스템의 설명은 본 발명이 이용될 수 있는 기판 처리 시스템을 설명하기 위한 것이지 본 발명의 범위를 이에 한정하고자 하는 것은 아니다. RF 전력 접속 등과 같은 상기 시스템의 변형이 가능하다. 본 발명은 특정 처리 시스템에 한정되지 않는다.
B. 히터 어셈블리
도 2b는 챔버(12)에서 처리되는 기판(16)을 지지하기 위하여 도 2a의 기판 처리 시스템에 이용되는 저항성 가열 페데스털 어셈블리(18)의 일 실시예에 대한 개략도이다. 본 발명에 따르면, 히터 어셈블리(18)는 기판(16)을 지지하는 상부 금속 블록(31), 다중 루프 정항성 히터 엘리먼트(34)가 삽입된 히터 어셈블리(18) 및 금속 에지 지지 링(35)을 포함한다. 상부 금속 블록(31)의 바닥 표면은 바람직하게 상부 금속 블록(31) 및 하부 금속 블록(33)사이의 최대 열 전달을 위하여 하부 금속 블록(33)의 상부 표면에 납??된다. 일부 실시예에서, 상부 금속 블록(31) 및 하부 금속 블록(33)은 서로 납땜되고, 다른 실시예에서, 상부 금속 블록(31)과 하부 금속 블록(33) 및 샤프트(65)는 서로 납땜될 수 있다. 특정 실시예에서 상부 금속 블록(31)은 약 0.500-0.700인치의 두께를 가지며, 하부 금속 블록(33)은 약 1.30-1.55인치의 두께를 가진다. 금속 에지 지지 링(35)상부 금속 블록(31)의 외주 주위를 고정하고 하부 금속 블록(33)에 대하여 상부 금속 블록(31)의 에지 지지를 제공하도록 배치된다. 에지 지지 링(35)은 특정 실시예에서 0.15-0.25인치의 두께를 가진다. 물론, 히터(18)의 두 상부 금속 블록(31) 및 하부 금속 블록(33)에는 다수의(예를 들어, 3개) 리프트 핀 홀(49)이 배치되어 홀(49)에 고저오딘 세라믹 슬리브를 통하여 리프트 핀이 히터(18)에 대하여 기판을 리트팅시키도록 한다. 실시예에서, 상부 금속 블록(31)과 하부 금속 블록(33) 및 금속 에지 지지 링(35)은 알루미늄으로 만들어진다. 에지 지지 링(35)은 상부 금속 블록(31)과 하부 금속 블록(33)의 측면의 적어도 일부를 따라 연장하며 채널(53)에 정화 가스를 밀봉시키도록 환형 정화 채널(53)의 외부 측벽을 형성한다. 전술한 바와 같이, 히터 어셈블리(18)는 상부 금속 블록(31)에 형성된 다수의 노즐(38)과 형성된 통합된 에지링(37)을 포함한다. 에지 지지 링(35)은 또한 상부 금속 블록(31)에 대하여 에지링(37)에 추가의 안정성을 제공한다. 특정 실시예에서, 에지 지지 링(35)은 알루미늄 금속 블록(31, 33)에 용접되고 에지링(37)의 외부 외지에 용접된다. 바람직하게, 용접은 전자 빔 용접에 의하여 수행되며 이는 도 2b의 화살표 "w"로 표시된다. 물론, 다른 실시예에서, 블록(31, 33) 및 링(35)은 다른 물질로 만들어질 수 있으며 다른 방식(예를 들어, 납땜, 용접, 또는 그 외의 접속방식)으로 서로 접합될 수 있다.
도 2b, 4a-4b, 5a-5b 및 6과 관련하여 이하에서 설명되는 바와 같이, 히터 어셈블리(18)는 상부 및 하부 금속 블록(31, 33)이 정렬되고 에지 지지 링(35)과 서로 연결될 때 형성된다. 특히, 도 4a 및 4b는 도 2b에 도시된 히터 어셈블리(18)의 상부 금속 블록(31)의 일 실시예의 하부 및 상부도이다. 도 5a 및 5b는 도 2b의 히터 어셈블리(18)의 하부 금속 블록(33)의 일 실시예의 상부 및 하부도이다. 상부 금속 블록(31)의 바닥은 히터 어셈블리(18)를 형성할 때 하부 금속 블록(33)의 상부와 접한다. 도 6은 샤프트(65)가 없이 도시된 히터 어셈블리(18)의 특정 실시예의 3차원 부분 절개도(도 4a 및 5a에 도시된 바와 같이 라인 B-B'를 따라서)를 도시한다. 도 2a-2b, 4a-4b, 5a-5b, 6 및 7a-7b는 축척대로 도시된 것은 아니다.
특정 실시예에 따르면, 히터 어셈블리(18)는 히터 어셈블리(18)의 상부 금속 블록(31)에 삽입된 통상적인 물질로 만들어진 다중 루프 히팅 엘리먼트(예를 들어, 금속 덮개 내에 MgO 절연체로 감싸인 니크롬선)이다. 바람직한 실시예에서, 하부 금속 블록(33)에 주조되어 엘리먼트(34)(알루미늄 덮개 물질이 적당하지 않은 고온을 요구하는 주조/용접 실시예에 적합한 IncoloyTM, InconelTM또는 스테인리스 강철 덮개 또는 그 외의 덮개 물질을 이용함)는 히터 어셈블리(18)와 양호하게 접촉하여 상부 금속 블록(31)과 하부 금속 블록(33)을 균일하게 가열하고 그 위에 배치된 기판을 균일하게 가열하도록 한다. 다른 실시예에서, 히팅 엘리먼트(34)는 하부 금속 블록(33)의 상부 절반과 하부 절반(예를 들어, 도 2b에서 데쉬 라인으로 도시된 바와 같이)사이에서 납땜될 수 있으며, 히팅 엘리먼트(34)는 알루미늄 덮개 또는 그 외의 물질(히터 물질에 납땜하기에 적합한)을 가질 수 있다.
히터 어셈블리(18)는 기판(16)의 후면과 에지 상에 원치 않는 증착을 방지하기 위하여 에지 정화 가스를 제공한다. 특정 실시예에 따르면, 도 4a, 4b는 도 2b에 도시된 상부 금속 블록(31)의 하부도 및 상부도를 도시한다. 전술한 바와 같이, 에지 정화 가스(23)는 샤프트(65)의 수직 정화 인입 통로(39a)를 통하여 하부 금속 블록(33)의 수직 정화 인입 통로(39b)로 전달된 후에 상부 금속 블록(31)의 바닥 표면에 형성된 다수의 정화 채널(40)의 중심 접합부(39c)에 전달된다. 실시예에 따르면, 수직 정화 인입 통로(39b)는 약 0.250-0.500인치의 직경을 가지며, 중심 접합부(39c)는 약 0.500-1.00인치의 직경을 가진다. 상부 금속 블록(31)의 바닥 표면(41)에 생성된(도 2b에서 점선으로 도시됨) 정화 채널(40)은 상부 금속 블록(31)의 외주부 쪽으로 중심 접합부(39c)으로부터 바깥쪽으로 방사된다. 중심 접합부(39c)으로부터 각각의 정화 채널(40)로 연결되는 것은 도관(40a)인데, 상기 도관은 약 0.170-0.190인치의 직경 또는 폭(원형 또는 사각 형상이냐에 따라)을 가진다. 다른 실시예에서, 채널 도관(40a)은 중심 접합부(39c)에서 각 채널(40)의 개구로 점점 가늘어지는 형상을 가진다. 정화 채널(40)은 특정 실시예에서 약 0.250-.350인치의 폭과 약 2.5-3.75인치의 길이를 가진다. 채널 도관(40a)의 폭에 비하여 정화 채널(40)의 큰 폭은 에지 정화 가스에 의하여 형성되는 압력 하강을 감소시키고 가스 흐름의 컨덕턴스를 증가시켜, 난기류 흐름을 방지하도록 한다. 정화 채널(40)의 폭과 길이는 또한 중심 접합부(39c)를 통하여 수직 정화 인입 통로(39a-b)로부터 유입되는 압력 때문에 정화 채널(40)내의 에지 정화 가스(23)에 난기류가 형성되는 것을 방지하기 위하여 최적화된다. 도 6에 도시된 바와 같이, 정화 채널(40)의 상부면 및 측면은 바람직하게 상부 금속 블록(31)으로부터 밀링되고, 정화 채널(40)의 바닥면은 하부 금속 블록(33)의 상부 표면(61)에 의하여 제공된다. 바람직한 실시예에서, 정화 채널(40)의 에지는 도 4a에 도시된 바와 같이 난류를 고려하여 곡선으로 형성된다. 정화 채널(40)은 균일하게 배치되고 바람직하게 대칭이고 용이하게 적용되는 설계를 제공한다. 상부 금속 블록(31)의 적당한 위치는 다수의 정화 채널(40) 각각을 통하여 안쪽 주변부(51)로부터 드릴링된 홀(52)(도 4a에서 점선으로 도시됨)이다. 특정 실시예에서, 홀(52)은 약 0.200-0.300의 폭 또는 직경을 가질 수 있거나 또는 홀(52)은 콘형의 가는 형상과 같이 다른 구조일 수 있다. 따라서, 에지 정화 가스(23)는 홀(52)을 통하여 정화 채널(40)로부터 환형 정화 채널(53)로 흐른다.
본 발명의 히터(18)에서, 에지링(37)의 바닥 표면(43)은 도 2b에 도시된 바와 같이 환형 정화 채널(53)의 상부면을 형성한다. 도 2b, 4a 및 4b에 도시된 바와 같이, 상부 금속 블록(31)은 외주부(50) 및 내주부(51)를 포함한다. 상부 금속 블록(31)의 내주부, 에지링(37)의 바닥면(43), 하부 금속 블록(33)의 상부면(61) 및 에지 지지 링(35)의 내부면은 정화 채널(40)로부터 에지 정화 가스(23)가 흐르는 환형 정화 채널(53)을 형성한다. 채널(23)의 에지 정화 가스(23)는 에지링(37)의 하부면(43)상의 중간 노즐 채널(38a)에 유입되고 진공 홈(29)을 통하여 상부 금속 블록(31)상에 진공 척킹된 기판의 주변 근처에서 상부 금속 블록(31)의 상부면으로부터 노즐(38)로 배출된다. 따라서, 기판(16)상의 원치 않는 에지 및 후면 증착이 최소화된다.
에지 정화 가스는 본 발명이 특정 실시예에서 채널 도관(40a)을 통하여 정화 가스 인입구(39c)에서 다수의 방사방향으로 균일하게 배치된 정화 채널(40)로 이동하고 홀(52)을 통하여 환형 정화 채널(53)로 이동한다. 따라서 본 발명의 히터 어셈블리는 정화 가스가 이동되는 특정 채널(40)과 관계없이 여러 위치에서 균일하게 유효 압력을 가지는 에지 정화가스를 제공한다. 따라서, 중간 정화 가스 인입구(39b-c)로부터 방사상으로 연장되는 다수의 대칭형의 균일 간격을 가진 정화 채널(40)을 이용하는 것은 환형 정화 채널(53)의 여러 위치에서 정화 가스의 유효 압력의 차이를 최소화하기 때문에 필름의 균일성을 보장한다.
환형 정화 채널(53)로부터, 에지 정화 가스는 각각의 중간 노즐 채널(38a)을 통하여, 기판(16)의 에지 표면에 균일하게 에지 정화 가스가 분배되는 대응하는 노즐(38)로 유입된다. 전술한 바와 같이, 상부 금속 블록(31)은 도 4b에 도시된 바와 같이 상부 금속 블록(31)을 통하여 다수의 에지 정화 가스 노즐(38)에 의하여 형성되는 통합형 에지링(37)을 포함한다. 특정 실시예에서 바람직하게 약 0.015-0.060인치, 더 바람직하게 0.020-0.025인치의 직경 및 약 0.060-0.24인치, 바람직하게 0.08-0.10인치의 길이를 가지는 노즐(38)은 상부 금속 블록(31)의 외부 직경보다 작은 직경(특정 실시예에서 바람직하게 약 11.72인치)의 원에 균일하게 배치되고 배열된다. 노즐(38)의 수는 특정 실시예에서 약 180-220, 바람직하게 약 240-480이다. 노즐(38)은 바람직하게 약 0.200-0.052인치, 더 바람직하게 약 0.155-0.076인치, 더욱 바람직하게 약 0.100인치 범위의 중심 대 중심 간격으로 분리된다. 각각의 노즐(38) 및 환형 정화 채널(53)상의 중간에는 도 4a에 도시된 바와 같이 상부 금속 블록(31)의 바닥으로부터 형성된 중간 노즐 채널(38a)이 있다. 중간 노즐 채널(38a)은 노즐(38)보다 큰 직경을 가진다. 특정 실시예에서, 바람직하게 0.030-0.125, 가장 바람직하게 약 0.040-0.050인치의 직경 및 약 0.040-0.100, 가장 바람직하게 약 0.060-0.080인치의 깊이를 가지는 중간 노즐 채널(38a)은 또한 상부 금속 블록(31)의 외부 직경 보다 작지만 상부 금속 블록(31)의 상부면의 노즐(38)에 의하여 형성된 직경보다는 약간 큰 직경(바람직하게 특정 실시예에서 약 11.85인치)을 가진 원에 균일하게 배치되고 정렬된다. 노즐(38)에 비하여 큰 직경의 중간 노즐 채널(38a)은 에지 정화 가스(23)를 위한 중간 챔버를 제공하여 환형 정화 채널(53)으로부터 배출되게 하고 흐름과 압력이 보다 안정되게 하고 그리고 균일한 노즐 속도 및 가스 분배로 노즐(38)에 유입되도록 한다. 바람직한 노즐(38)의 수 및 노즐 분리 간격은 몇 개의 노즐 간격사이에 에지 정화 가스가 균일하게 흐르도록 여러 처리 조건에 대하여 최적화될 수 있어 노즐 링으로부터 전체적으로 균일한 정화 가스가 흐르도록 한다. 노즐(38) 및 중간 노즐 채널(38a)에 의하여 형성된 원의 직경은 도 3a-3e에 도시된 바와 같이 여러 특정 실시예에 따라 기판 직경 사이즈 및 에지링(37)에 대한 기판 에지의 관계에 의하여 부분적으로 결정된다. 노즐(38)의 원은 효과적으로 슬롯으로서의 역할을 하며 또한 상부 금속 블록(31)에 대하여 에지링(37)의 구조적 보전 및 강도를 유지하여 약 400℃이상의 처리 온도에서도 에지링이 휘지 않도록 한다.
전술한 바와 같이, 히터 어셈블리(18)는 상부 금속 블록(31)의 상부면에 형성된 다수의 홈(29)을 가지는 진공 클램핑 시스템을 포함한다. 도 4b에 도시된 바와 같이, 홈(29)은 일반적으로 상부 금속 블록(31)의 수직 진공 도관(45)의 위치에 대응하는 방사형 홈에 의하여 연결된 동심형의 원형 홈이다. 물론, 진공 홈(29)은 히터 어셈블리(18)에서 리프트 핀 홀(49)을 생략하기 위하여 형성된다. 진공 클램핑 시스템이 하부 금속 블록(33)의 바닥을 통하여 히터 어셈블리(18)에 유입되는 수직 진공 인입구(49)를 챔버(12)로부터 멀리 위치한 펌핑 시스템에 결합시킴으로서 진공을 유지할 때, 홈(29)이 균일한 패턴은 히터 어셈블리(18)의 상부 금속 블록(31)상에 기판을 양호하게 클램핑(보다 개선되고 신뢰성 있는 열 접촉을 위한)하여 히터(18)에 의하여 기판(16)을 빠르고 균일하게 가열시키도록 한다. 물론, 다른 홈 패턴 및 홈(29) 형상이 다른 실시예에 이용될 수 있다.
상부 금속 블록(31)이외에, 히터 어셈블리(18) 역시 하부 금속 블록(33)을 포함한다. 도 5a 및 5b는 도 2b의 히터 어셈블리(18)의 하부 금속 블록(33)의 일 실시예에의 상부도 및 하부도이다. 하부 금속 블록(33)내에 있는 것은 히터 엘리먼트(34)이다(도 2b에 도시되고 도 5b에서 점선으로 도시됨). 히터 엘리먼트(34)는 하부 금속 블록(33)의 상부면 및 하부면(61, 62)로부터 거의 등거리로 하부 금속 블록(33)내에 배치된다. 전술한 바와 같이, 히터 엘리먼트(34)는 3개의 루프 히터 엘리먼트이다. 히터(18)의 중심을 중심으로 3개의 "단속적인" 동심 반원을 형성하는 반원형 아크주위에 루핑되는 히터 엘리먼트(34)는 하부 금속 블록(33)의 중심 바닥 부분(63)으로부터 돌출한 각각의 단부에서 전극(61a, 61b)을 가진 단일 저항성 가열 엘리먼트이다. 히터 엘리먼트(34)의 다수의 반원형 아크의 배치는 넓은 직경의 히터(18)를 커버하는 양호한 가열을 제공한다. 물론, 히터 엘리먼트(34)의 루프는 리프트 핀 홀(49)을 생략한다. 중간 바닥 부분(63)은 납땜 또는 그 외의 수단에 의하여 연결되고, 홀(66)을 통하여 배치된 스터브(도 5b에 도시 안됨)와 정렬되는 샤프트(65)와 유사한 형상을 가진다.
하부 금속 블록(33)의 히터 엘리먼트(34)상에는 도 2b 및 5a에 도시된 바와 같이 진공 통로(47)가 배치된다. 특정 실시예에서, 진공 통로(47)는 하부 금속 블록(33)의 상부면(61)으로부터 밀링된다. 진공 통로(47)의 측면 및 하부면은 하부 금속 블록(33)에 형성되며, 진공 통로(47)의 상부면은 상부 금속 블록(31)의 바닥면(41)에 의하여 제공되는데, 이는 도 6에 도시된다. 특정 실시예에 따른 하부 금속 블록(33)의 상부면인 도 5a에 도시된 바와 같이, 진공 통로(47)는 하부 금속 블록(33)의 중심쪽으로 방사방향으로 향하지만 완전하게 중심까지 연장되지는 않는 끝이 절단된 스포크를 가지는 환형 진공 통로를 포함한다. 중심에서 하부 금속 블록(33)의 두께를 완전하게 통하는 것은 정화 가스 인입구(39b)이다. 진공 통로(47)는 다수의(여러 실시예에서 3개 내지 9개 이상 , 특정 실시예에서 7개) 끝이 절단된 스포크를 포함할 수 있다. 상기 스포크중 하나는 다른 스포크보다 약간 크며, 이는 수직 진공 인입구(49)에 유도되어 수직 진공 도관945)를 통하여 상부 금속 블록(31)의 상부면에 대하여 기판(16)을 진공 클램핑시키기 위하여 이용되는 진공 펌프를 진공 홈(29)으로 유도한다. 진공 통로(47)는 특정 실시예에서 일반적으로 약 0.250-0.500인치의 폭을 가지며, 진공 통로(47)의 스포크는 약 2.5-3.5인치의 길이를 가진다. 바람직한 실시예에서, 진공 통로(47)의 에지는 곡면이다. 수직 진공 도관(45)(상부 금속 블록(31)의 두께를 통하여 형성됨)은 하부 금속 블록(33)의 진공 통로(47)의 절단된 스포크의 위치와 대응하도록 배치된다. 입력 스포크로서 수직 진공 인입구(49)를 유도하는 진공 통로(47)의 특정 스포크는 특정 실시예에서 수직 진공 도관(45)의 위치에 대응하지 않는다.
특정 실시예에 따르면, 상부 금속 블록(31)의 바닥면(41)상에 배치된 정렬 스터브(63)는 하부 금속 블록(33)의 바닥면(61)에 배치된 정렬 리세스(64)에 고정되어 상부 금속 블록(31) 및 하부 금속 블록(33)이 히터 어셈블리(18)를 형성하도록 에지지지 링(35)과 서로 납땜(또는 그 외의 접속 수단)하기 위하여 정렬된다. 정렬 스터브 및 리세스(63, 64)는 특정 실시예에 따라 히터 어셈블리(18)를 제조하는 동안 정렬을 위해 리프트 핀 홀(49)외에 이용된다. 물론, 다른 정렬 기술이 다른 실시예의 제조에서 이용될 수 있다. 특정 실시예에서, 상부 금속 블록(31) 및 하부 금속 블록(33)은 정렬되어 상부 금속 블록(31)에 형성된 다수의 정화 채널(40)의 배치가 하부 금속 블록(33)에 형성된 다수의 절단된 스포크 진공 통로(47)의 배치와 오프셋되고 일치하지 않도록 한다. 정화 채널(40)의 바닥면은 하부 금속 블록(33)의 상부면(61)을 이용하여 형성되며, 진공 통로(47)의 상부면은 상부 금속 블록(31)의 바닥면(41)을 이용하여 형성된다. 따라서, 정화 채널(40)은 절단된 스포크 진공 통로(47)로부터 분리되어, 정화 채널로부터의 가스는 진공 통로에 중심이 맞지 않고 진공 통로로부터의 가스는 정화 채널에 유입될 수 없도록 한다. 물론, 정화 채널(40)은 하부 금속 블록(33)에 형성될 수 있으며, 진공 통로(47)는 상부 금속 블록(31)에 형성될 수 있거나, 또는 특정 실시예를 적당히 변형시킴으로써 본 발명의 다른 실시예에서, 각각의 정화 및 진공 시스템이 서로로부터 분리된다면, 정화 채널(40) 및 진공 통로(47) 모두가 상부 금속 블록(31) 또는 하부 금속 블록(33)상에 형성될 수 있다.
특정 실시예에서, 히터 어셈블리(18)에는 열전쌍이 장착될 수 있으며, 열전쌍은 하부 금속 블록(33)을 통하여 형성된 샤프트(65) 및 홀(69)을 통하여 그리고 상부 금속 블록의 바닥면(61)을 통하여 삽입될 수 있다. 따라서 열전쌍은 상부 금속 블록(31)의 상부면 근처, 상부 금속 블록(31)상에 지지된 기판 근처에서 히터(18)의 온도를 측정할 수 있다. 도 5b에 도시된 바와 같이, 수직 정화 인입구(39b)는 특정 실시예에 따라 하부 금속 블록(33)의 중심 바닥 부분(63)의 슬롯(68)에 결합된다. 특정 실시예에서, 슬롯(68)은 히터 어셈블리(18)의 중심으로부터 오프셋되어, 슬롯(68)이 샤프트(65)의 중심과 오프셋되는 수직 정화 인입구(39a)와 일치하도록 한다. 슬롯(68)은 샤프트(65)내의 공간 및 형상적 제한 문제를 일차적으로 해결하도록 중심으로부터 오프셋된다.
도 7a 및 7b는 본 발명의 실시예에 따른 도 2b의 히터 어셈블리(18)의 샤프트(65)의 상부도 및 단면도이다. 샤프트(65)는 특정 실시예에서 알루미늄으로 만들어지지만, 다른 실시예에서 다른 재료로 만들어질 수 있다. 바람직한 실시예에서, 샤프트(65)는 중공이어서 샤프트(65)의 길이로 적은 열이 전달되도록 하고 이에 의하여 히터의 히팅 엘리먼트(34)로부터의 열 손실을 최소화하고 히터 어셈블리(18)의 온도 균일성을 향상시키도록 한다. 도 7a는 샤프트(65)의 상부도를 도시하며, 이는 히터 어셈블리(18)의 하부 금속 블록(33)의 중심 바닥 부분(63)에 부착하기 위하여 적당한 통로 및 스터브를 가진 상부면(91)을 포함한다. 특히, 상부면(91)은 홀(66a, 66b)(도 5b)에 배치하기 위한 정렬 스터브(93a, 93b)를 포함한다. 샤프트(65)는 또한 히터 엘리먼트(34)의 각각의 전극(61a, 61b)의 단부가 전력 공급 및 가열 제어 시스템에 연결되도록 배치된 스터브 홀(95a, 95b)을 포함한다. 전극(61a, 61b)의 단부에 연결하는 배선은 예를 들어 세라믹 슬리브(105)와 같은 세라믹 슬리브에 의하여 샤프트(65)내에서 격리되고, 상기 세라믹 슬리브를 통하여 전극(61b)(홀(95b)을 통하여 샤프트(65)에 유입되는)에 연결되는 배선이 도 7b에 도시된 바와 같이 배치된다. 또한, 샤프트(65)의 상부면(91)은 하부 금속 블록(33)의 홀(69)로 열전쌍이 삽입될 수 있도록 하는 홀(99)을 포함한다. 선택적으로, 통로는 열전쌍을 위한 샤프트(65)내의 가스로서 작용하도록 홀(99)에 연결되어 제공될 수 있다. 통로(39a)(특정 실시예에서 통합된 샤프트(65) 부분 또는 샤프트(65)에 용접 또는 납땜되고 알루미늄으로 만들어짐)는 수직 정화 인입구(39a)로서 작용하고 중심 바닥 부분(63)의 슬롯(68)을 통하여 수직 정화 인입구(39b)에 연결된다. 통로(101)는 샤프트(65)내의 통로(39a)와 유사하게 형성되어 통로(101)와 통로(39a)사이, 통로(101)와 외부 샤프트(65)사이, 그리고 통로(39a)와 외부 샤프트(65)사이에 누설 경로가 발생되지 않도록 한다. 진공 척킹 시스템에 인입구를 제공하는 통로(101)는 상부면(91)에 형성된 오프셋 슬롯(103)을 통하여 연결되어 중심 바닥 부분(63)의 진공 인입구(49)에 유도되도록 한다. 샤프트(65)는 또한 이전에 설명한 미국출원 08/738,240에 설명되는 바와 같은 리프팅 메커니즘에 의하여 이용되는 선택적인 어깨부(109)를 포함할 수 있다. 어깨부(109)가 없는 샤프트(65)의 실시예는 이전에 설명한 미국출원 08/892,612에 개시된 바와 같은 리프트 메커니즘으로 이용될 수 있다. 도 7b에 도시되지 않았지만, 샤프트(65)의 바닥에서 수직 정화 인입구(39a)의 베이스는 O-링과 같은 밀봉 부재를 포함하여 가스 밀봉을 유지하도록 한다 유사하게, 샤프트(65)의 바닥에서 진공 통로(101)의 베이스는 또한 진공 밀봉을 유지하도록 밀봉 부재를 포함한다. 전극(61a, 61b)로부터의 배선을 위한 적당한 전기 접속이 샤프트(65)의 베이스에서 이루어져 필요에 따라 밀봉 부재를 사용하도록 한다.
상기 CVD 시스템 설명은 주로 설명을 위한 것일 뿐 본 발명을 제한하고자 하는 것은 아니다. 서셉터 설계, 히터 설계, RF 전력 접속부의 위치 등 상기 설명된 시스템에 대한 변형이 가능하다. 본 발명의 히터의 설계는 특정 처리 장치에만 이용되는 것으로 한정되는 것은 아니다.
Ⅲ. CVD 반응기 시스템을 이용하는 고온 다중 단계 처리
A. 구조 및 이용예
구조예
도 8은 본 발명의 실시예에 따라 증착된 텅스텐 필름의 예를 이용할 수 있는 집적회로의 개략적인 단면도이다. 도시된 바와 같이, 집적회로(200)는 NMOS 및 PMOS 트랜지스터(203, 206)를 포함한다. 트랜지스터는 실리콘 국부 산화(LOCOS) 또는 기타 기술을 이용하여 형성된 필드 산화물 영역(220)에 의하여 서로 분리된다. 선택적으로, 트렌치 절연 구조가 트랜지스터를 절연시키기 위하여 이용될 수 있다.
프리메털 유전체(PMD) 층(221)은 금속층(240)으로부터 트랜지스터(203, 206)를 분리시키며, 금속층(240) 및 콘택(224)으로 트랜지스터사이의 접속은 콘택(224)에 의하여 이루어진다. 금속층(240)은 집적회로(200)에 포함된 4개의 금속층(240, 242, 244, 246)중 하나이다. 각각의 금속층은 통합된 유전체층(227, 228, 229)에 의하여 서로 분리된다. 인접한 금속층은 비아(226)에 의하여 선택된 개구에서 접속되며, 상기 비아는 일반적으로 텅스텐 플러그이다. 금속층(246)상에는 평탄화된 패시베이션층(230)이 증착된다.
개략적인 집적회로(200)는 단지 설명을 위한 것이라는 것을 이해하여야 한다. 당업자는 마이크로프로세서, 주문형 집적회로(ASIC), 메모리장치 등과 같은 집적회로에 이용하기 위한 기타 필름을 제조하기 위하여 본 발명을 실시할 수 있다.
처리 예
본 발명은 예를 들어 CVD 장치(10) 또는 기타 기판 처리 장치에서 텅스텐과 같은 박막 필름을 증착하기 위하여 이용될 수 있다. 기판에 텅스텐 필름의 CVD 증착을 위한 처리는 본 발명이 이용될 수 있는 CVD 처리의 예로서 이하에 설명된다. 이하의 설명은 설명을 위한 것일 뿐이며 본 발명을 이에 한정하고자 하는 것은 아니다. 이 처리는 CVD 시스템(10)의 메모리(46)에 저장된 컴퓨터 프로그램을 이용하여 수행되고 제어된다.
처리 예에서, 텅스텐 필름은 처리 챔버(12)에 위치한 웨이퍼(16)상에 증착된다. 증착 시퀀스는 두 가지 메인 단계를 포함하는데, 이는 핵형성 및 벌크 증착 단계이다. 핵 성장 단계는 다음 필름의 성장 사이트로서 작용하는 텅스텐의 얇은 층이 성장한다. 핵 성장 단계에서, 텅스텐 헥사플루오라이드(WF6), 실란(SiH4), 질소(N2), 수소(H2) 및 아르곤(Ar)을 포함하는 처리 가스는 챔버에 유입되고, 챔버는 추가의 증착을 위하여 초기 텅스텐 시드층을 증착하기 위하여 선택된 레벨까지 가열되고 가압된다.
핵성장 단계 후에, 벌크 증착 단계는 텅스텐 필름의 잔류물을 증착하기 위하여 수행된다. 벌크 증착 단계에서, WF6, N2, H2및 Ar을 포함하는 처리 가스 혼합물은 챔버로 유입된다. 이 처리 가스는 핵성장 단계에서 보다 WF6의 퍼센트가 높아 기판에[지와 후면 및 챔버와 관련되어 설명되는 서셉터상의 증착을 방지하도록 한다. 그러나, 정화 가스는 챔버의 모든 원치 않은 영역의 증착을 방지하지 못하며, 따라서 건식 세척 단계의 필요성을 완화시키지 못한다.
바람직한 처리에서, WF6은 약 30-50분당 표준 입방 센티메터(sccm)의 속도로 챔버에 유입되고, SiH4는 약 15-25sccm의 속도로 유입되며, N2는 약 450-750sccm의 속도로 유입된다. 핵성장 단계의 증착 중에 H2는 약 1500-2500sccm의 속도로 유입되며, Ar는 약 1500-2500sccm의 속도로 유입된다. 핵성장층 증착 중에 웨이퍼는 약 450℃로 가열되고, 압력은 약 1-10토르, 바람직하게 4.5토르로 유지된다. 다음, 벌크층의 증착 중에, WF6은 약 140-240sccm의 속도로 챔버에 유입되고, N2는 약 450-750sccm의 속도로 유입되고, H2는 약 1050-1750sccm의 속도로 유입되고, Ar은 약 1500-2500sccm의 속도로 유입된다.
바람직한 처리에서, 정화 가스는 핵성장 단계 중에 약 750-1250sccm의 속도로 유입되는 Ar만을 포함하고 벌크 증착 단계 중에 약 2700-4000sccm 및 495-825sccm이 속도로 유입되는 Ar 및 H2를 포함할 수 있다. 수소는 기판의 에지에서 증착을 향상시키기 위하여 정화 가스에 추가될 수 있다.
상기 설명된 텅스텐 증착 처리가 본 발명의 실시예이지만, 다른 조건이 이용될 수 있다. 상기 설명은300mm 웨이퍼에 적합하고 전체 약 15리터 체적을 가진 어플라이드 머티어리얼스사로부터 구입가능한 WxZ 챔버에서 가능한 기판 처리의 예로서 텅스텐의 증착을 주로 설명하지만, 다른 여러 가지 기판 처리가 이용가능하다. 상기 증착에 대한 설명은 소정 온도(예를 들어, 약 450℃)에서 발생되는 것을 개시되었지만, 본 발명의 이보다 낮거나 높은 온도에서 이용될 수 있다.
Ⅳ. 결론
상술한 설명은 설명을 위한 것이고 여기에 제한적이지 않다는 것이 이해될 것이다. 많은 실시예가 상기 설명을 통해 당업자에게 명백하게 될 것이다. 실시예에 의해, 본 출원에서의 본 발명은 티타늄 처리 방법과 관련하여 앞서 설명되었으나, 본 발명은 그렇게 한정되지는 않는다. 히터(18)는 약 400℃의 처리 온도에서 텅스텐 필름과 같은 얇고 균일한 필름을 증착하기 위하여 이용될 수 있다. 그러나, 히터(18)는 다른 이용분야에서 주로 알루미늄으로 만들어진 히터에 대하여 200-450℃의 온도 범위에서 다른 종류의 얇고 균일한 필름을 증착하기 위하여 이용될 수 있다. 히터(18)가 고온으로 가열할 수 있는 HastelloyTM또는 HaynesTM(242) 또는 기타 합금으로 만들어질 수 있는 특정 실시예에서, 히터(18)는 약 450℃이상의 온도에서 얇고 균일한 필름을 증착하기 위하여 이용될 수 있다. 특정 실시예에 대한 상기 여러 치수는 이보다 크거나 작은 직경을 가진 히터 어셈블리에 한정될 필요는 없다. 물론, 상기 설명은 본 발명의 범위를 한정하고자 하는 것은 아니다. 다른 실시예에 따라 형성된 필름은 금속 함유 필름, 도핑되지 않은 유전체 필름, 도핑된 유전체 필름, 폴리실리콘 필름 또는 기타 필름으로 이루어진 다른 종류일 수 있다. 물론 상술한 CVD 장치는 약 500℃ 뿐만 아니라 약 400℃보다 낮은 온도에서, 유전체층을 증착하기 위하여 사용된다. 부가적으로, 본 발명의 다양한 측면이 또한 다른 적용분야에 사용될 수 있다. 당업자는 본 발명의 청구 범위내에 속하는 대안적 또는 등가적인 층 증착 방법을 알 수 있을 것이다. 따라서 본 발명의 범위는 상기 설명을 참조로 하여 결정되지 않고, 대신에 부가된 청구항과 등가인 모든 범위와 함께 이같은 청구항을 참조하여 결정될 것이다.

Claims (21)

  1. 기판 처리 장치에 이용되는 히터 어셈블리에 있어서,
    기판을 지지하는 표면을 포함하는 금속 페데스털;
    상기 금속 페데스털에 배치된 저항성 히팅 엘리먼트; 및
    상기 금속 페데스털에 배치된 정화 가스 채널 시스템을 포함하며,
    상기 정화 가스 채널 시스템은:
    상기 금속 페데스털의 중심에 배치되어 정화 가스를 제공하는 중심 정화 가스 인입구; 및
    상기 금속 페데스털의 주변부쪽으로 상기 중심 정화 가스 인입구을 방사하는 다수의 방사형 정화 가스 채널을 포함하며,
    상기 다수의 방사형 정화 가스 채널은 대칭 패턴을 형성하며, 각각의 상기 방사형 정화 가스 채널은 동일한 길이를 가지는 것을 특징으로 하는 히터 어셈블리.
  2. 제 1항에 있어서, 상기 주변부에서 상기 금속 페데스털에 형성되는 환형 정화 가스 채널을 더 포함하며, 상기 환형 정화 가스 채널은 상기 주변부 근처의 다수의 홀을 통하여 상기 표면에 연결되어 상기 금속 페데스털에 통합된 정화 유도링을 제공하는 것을 특징으로 하는 히터 어셈블리.
  3. 제 1항에 있어서, 상기 금속 페데스털은 상부 금속 블록 및 상기 상부 금속 블록에 연결된 하부 금속 블록을 포함하는 것을 특징으로 하는 히터 어셈블리.
  4. 제 3항에 있어서, 상기 다수의 방사형 정화 가스 채널은 상기 상부 금속 블록에 형성되는 것을 특징으로 하는 히터 어셈블리.
  5. 제 4항에 있어서, 상기 다수의 방사형 정화 가스 채널의 상부 부분 및 측면 부분은 상기 상부 금속 블록의 바닥면에 형성되며, 상기 다수의 방사형 정화 가스 채널의 바닥부분은 상기 하부 금속 블록의 상부면과 형성되는 것을 특징으로 하는 히터 어셈블리.
  6. 제 5항에 있어서, 상기 금속 페데스털은 상기 상부 금속 블록주위에 배치된 에지 지지링을 더 포함하며, 상기 환형 정화 채널의 내부벽 및 상부면은 상기 상부 금속 블록에 형성되며, 상기 환형 정화 채널의 외벽은 상기지지 링과 형성되고, 상기 환형 정화 채널의 하부벽은 상기 하부 금속 블록의 상부면과 형성되는 것을 특징으로 하는 히터 어셈블리.
  7. 제 1항에 있어서, 상기 저항성 히팅 엘리먼트는 상기 하부 금속 블록으로 주조되고, 상기 저항성 히팅 엘리먼트는 상기 하부 금속 블록내의 3개의 "단속적인" 동심 반원을 형성하는 것을 특징으로 하는 히터 어셈블리.
  8. 제 6항에 있어서,
    상기 금속 페데스털에 형성된 진공 척킹 시스템을 더 포함하며,
    상기 진공 척킹 시스템은
    상기 금속 페데스털의 표면에 배치되고 상기 금속 페데스털에 기판을 척킹시키는 다수의 진공 홈;
    다수의 절단된 스포크 진공 통로와 연결된 환형 진공 통로를 포함하는 다수의 진공 통로; 및
    상기 진공 홈중 적어도 하나에 상기 다수의 진공 통로중 적어도 하나를 연결하는 다수의 진공 도관을 포함하는 것을 특징으로 하는 히터 어셈블리.
  9. 제 8항에 있어서, 상기 다수의 진공 통로는 상기 하부 금속 블록에 형성되며, 상기 상부 금속 블록 및 상기 하부 금속 블록은 상기 다수의 방사형 정화 채널이 오프셋되고 상기 다수의 절단된 스포크 진공 통로로부터 격리되도록 정렬되는 것을 특징으로 하는 히터 어셈블리.
  10. 제 1항에 있어서, 상기 금속 페데스털에 형성된 진공 척킹 시스템을 더 포함하며, 상기 진공 척킹 시스템은:
    상기 금속 페데스털에 배치되고 상기 금속 페데스털에 기판을 척킹시키는 다수의 진공 홈;
    상기 금속 페데스털의 제 1부분에 형성되며 다수의 절단된 스포크 진공 통로가 연결되는 환형 진공 통로를 포함하는 다수의 진공 통로; 및
    상기 진공 홈중 적어도 하나와 상기 다수의 진공 통로중 적어도 하나를 결합시키는 다수의 진공 도관을 포함하며,
    상기 다수의 방사형 정화 가스 채널은 상기 금속 페데스털의 제 2부분에 형성되며, 상기 금속 페데스털의 제 1 및 제 2부분은 상기 다수의 진공 통로 및 상기 다수의 방사형 정화 가스 채널을 형성하도록 서로 연결되어 상기 다수의 방사형 정화 가스 채널이 오프셋되고 상기 다수의 절단된 스포크 진공 통로로부터 격리되도록 하는 것을 특징으로 하는 히터 어셈블리.
  11. 제 6항에 있어서, 상기 상부 금속 블록과 하부 금속 블록 및 상기 에지 지지링은 알루미늄으로 만들어지는 것을 특징으로 하는 히터 어셈블리.
  12. 제 11항에 있어서, 상기 상부 금속 블록 및 하부 금속 블록은 서로 납땜되는 것을 특징으로 하는 히터 어셈블리.
  13. 제 12항에 있어서, 상기 상부 금속 블록 및 하부 금속 블록과 함께 납땜되는 알루미늄 샤프트를 더 포함하는 것을 특징으로 하는 히터 어셈블리.
  14. 제 1항에 있어서, 상기 금속 페데스털은 약 300mm 이상의 직경을 가지는 기판을 지지하고 균일하게 가열할 수 있는 것을 특징으로 하는 히터 어셈블리.
  15. 기판 처리 시스템에 있어서,
    처리 챔버; 및
    기판을 지지할 수 있고 선택된 온도로 가열할 수 있는 히터 어셈블리를 포함하는 히팅 시스템을 포함하며,
    상기 히팅 시스템은:
    기판을 지지하는 표면을 포함하는 금속 페데스털;
    상기 표면을 균일하게 가열하는 저항성 히터 엘리먼트;
    정화 가스를 제공하며 상기 금속 페데스털의 중심에 배치된중심 정화 가스 인입구;
    상기 금속 페데스털에 형성되며, 대칭 패턴을 형성하도록 상기 중심 정화 가스 인입구로부터 상기 금속 페데스털의 주변부쪽으로 방사하는 다수의 방사형 정화 채널; 및
    상기 주변부에서 상기 금속 페데스털에 형성되는 환형 정화 가스 채널을 포함하며,
    상기 환형 정화 가스 채널은 상기 주변부 근처의 다수의 홀을 통하여 상기 표면에 연결되어 상기 금속 페데스털에 통합된 에지 정화 가이드를 제공하는 것을 특징으로 하는 기판 처리 시스템.
  16. 제 15항에 있어서, 상기 히팅 시스템은 히터 전력 시스템을 더 포함하며, 상기 히터 전력 시스템은 상기 히팅 시스템을 제어하는 제어기를 포함하는 것을 특징으로 하는 기판 처리 시스템.
  17. 제 16항에 있어서,
    상기 중심 정화 가스 인입구에 상기 정화 가스를 전달하는 가스 전달 시스템; 및
    상기 처리 챔버내에 선택된 압력을 유지하는 진공 시스템을 포함하며,
    상기 제어기는 상기 진공 시스템 및 상기 가스 전달 시스템을 제어하는 것을 특징으로 하는 기판 처리 시스템.
  18. 제 16항에 있어서,
    상기 제어기에 연결되며, 상기 기판 처리 시스템의 동작을 지시하도록 포함된 컴퓨터 판독가능 프로그램을 가지는 컴퓨터 판독가능 매체를 포함하는 메모리를 더 포함하며, 상기 컴퓨터 판독가능 프로그램은:
    상기 정화 가스를 상기 중심 정화 가스 인입구로 유입시키는 상기 가스 전달 시스템을 제어하는 제 1컴퓨터 명령 세트;
    상기 처리 챔버내에 선택된 압력을 유지하도록 상기 진공 시스템을 제어하는 제 2컴퓨터 명령 세트; 및
    약 100-550℃의 온도범위에서 상기 기판을 균일하게 가열하도록 상기 히팅 시스템을 제어하는 제 3컴퓨터 명령 세트를 포함하는 것을 특징으로 하는 기판 처리 시스템.
  19. 제 16항에 있어서, 상기 금속 페데스털에 형성된 진공 척킹 시스템을 더 포함하며, 상기 진공 척킹 시스템은:
    상기 금속 페데스털의 상기 표면에 배치되고 상기 금속 페데스털에 기판을 척킹시키는 다수의 진공 홈;
    상기 금속 페데스털에 형성되며, 다수의 절단된 스포크 진공 통로가 연결되는 환형 진공 통로를 포함하는 다수의 진공 통로; 및
    상기 진공 홈중 적어도 하나와 상기 다수의 진공 통로중 적어도 하나를 결합시키는 다수의 진공 도관을 포함하며,
    상기 다수의 방사형 정화 가스 채널 및 상기 다수의 진공 통로는 상기 금속 페데스털에 형성되어 상기 다수의 방사형 정화 채널이 오프셋되고 상기 다수의 절단된 스포크 진공 통로로부터 격리되도록 하는 것을 특징으로 하는 기판 처리 시스템.
  20. 제 19항에 있어서, 상기 가스 전달 시스템은 상기 진공 척킹 시스템에 제 2진공 가스를 전달하며, 상기 제어기는 상기 진공 척킹 시스템을 제어하는 것을 특징으로 하는 기판 처리 시스템.
  21. 제 15항에 있어서, 상기 금속 페데스털은 알루미늄 하부 금속 블록에 납땜된 알루미늄 상부 금속 블록 및 상기 상부 금속 블록주위에 배치된 알루미늄 에지 지지링을 포함하는 것을 특징으로 하는 기판 처리 시스템.
KR1020007011915A 1998-04-28 1999-04-06 텅스텐을 증착하기 위하여 기판 처리 장치에 이용되는 개선된 히터 KR100640553B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/067,618 US6179924B1 (en) 1998-04-28 1998-04-28 Heater for use in substrate processing apparatus to deposit tungsten
US09/067,618 1998-04-28

Publications (2)

Publication Number Publication Date
KR20010043049A true KR20010043049A (ko) 2001-05-25
KR100640553B1 KR100640553B1 (ko) 2006-11-06

Family

ID=22077239

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007011915A KR100640553B1 (ko) 1998-04-28 1999-04-06 텅스텐을 증착하기 위하여 기판 처리 장치에 이용되는 개선된 히터

Country Status (5)

Country Link
US (1) US6179924B1 (ko)
EP (1) EP1080485A1 (ko)
JP (1) JP2002513091A (ko)
KR (1) KR100640553B1 (ko)
WO (1) WO1999056307A1 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101126389B1 (ko) * 2009-12-29 2012-03-28 주식회사 케이씨텍 원자층 증착장치의 서셉터 유닛
WO2012016084A3 (en) * 2010-07-29 2012-05-31 Lawrence Advanced Semiconductor Technologies, Llc Substrate processing apparatuses and systems
KR101332125B1 (ko) * 2007-01-22 2013-11-21 도쿄엘렉트론가부시키가이샤 가열 장치, 가열 방법 및 기억 매체
KR20180108423A (ko) * 2017-03-24 2018-10-04 가부시키가이샤 스크린 홀딩스 기판 처리 장치
US10138551B2 (en) 2010-07-29 2018-11-27 GES Associates LLC Substrate processing apparatuses and systems

Families Citing this family (209)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW524873B (en) * 1997-07-11 2003-03-21 Applied Materials Inc Improved substrate supporting apparatus and processing chamber
US6223447B1 (en) * 2000-02-15 2001-05-01 Applied Materials, Inc. Fastening device for a purge ring
US6494955B1 (en) * 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
US6506291B2 (en) * 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US6676760B2 (en) * 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US20030047138A1 (en) * 2001-09-11 2003-03-13 Ceramoptec Industries, Inc. Spiral gas flow plasma reactor
US6868800B2 (en) * 2001-09-28 2005-03-22 Tokyo Electron Limited Branching RF antennas and plasma processing apparatus
US7427329B2 (en) * 2002-05-08 2008-09-23 Asm International N.V. Temperature control for single substrate semiconductor processing reactor
JP2004146567A (ja) * 2002-10-24 2004-05-20 Sumitomo Electric Ind Ltd 半導体製造装置用セラミックスヒーター
DE10320597A1 (de) * 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
US20040250774A1 (en) * 2003-06-16 2004-12-16 Brent Elliot Wafer heater with protected heater element
KR100541447B1 (ko) * 2003-07-23 2006-01-11 삼성전자주식회사 웨이퍼용 정전척
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
KR101185794B1 (ko) * 2004-06-28 2012-10-02 쿄세라 코포레이션 웨이퍼 가열장치와 반도체 제조장치
JP4133958B2 (ja) * 2004-08-04 2008-08-13 日本発条株式会社 ワークを加熱または冷却するための装置と、その製造方法
US20080314320A1 (en) * 2005-02-04 2008-12-25 Component Re-Engineering Company, Inc. Chamber Mount for High Temperature Application of AIN Heaters
TWI297908B (en) * 2005-03-16 2008-06-11 Ngk Insulators Ltd Processing device
JP4590363B2 (ja) * 2005-03-16 2010-12-01 日本碍子株式会社 ガス供給部材及びそれを用いた処理装置
US20070169703A1 (en) * 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
US8057633B2 (en) * 2006-03-28 2011-11-15 Tokyo Electron Limited Post-etch treatment system for removing residue on a substrate
US8377207B2 (en) * 2007-05-09 2013-02-19 Ulvac, Inc. Purge gas assembly
US20090031955A1 (en) * 2007-07-30 2009-02-05 Applied Materials, Inc. Vacuum chucking heater of axisymmetrical and uniform thermal profile
US7832354B2 (en) * 2007-09-05 2010-11-16 Applied Materials, Inc. Cathode liner with wafer edge gas injection in a plasma reactor chamber
US7879250B2 (en) 2007-09-05 2011-02-01 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection
KR101437522B1 (ko) * 2007-09-05 2014-09-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 반응기 챔버에서 웨이퍼 에지 가스 주입부를 갖는캐소드 라이너
JP5743895B2 (ja) * 2008-10-31 2015-07-01 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバの下側電極アセンブリ
US20100177454A1 (en) * 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
KR101359070B1 (ko) * 2009-03-03 2014-02-05 도쿄엘렉트론가부시키가이샤 탑재대 구조, 성막 장치 및 원료 회수 방법
JP5698950B2 (ja) * 2009-10-23 2015-04-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP4676567B1 (ja) * 2010-07-20 2011-04-27 三井造船株式会社 半導体基板熱処理装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
WO2013162000A1 (ja) * 2012-04-27 2013-10-31 日本発條株式会社 基板支持装置及び基板支持装置に熱電対を配設する方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9633889B2 (en) 2013-03-06 2017-04-25 Applied Materials, Inc. Substrate support with integrated vacuum and edge purge conduits
US20140251214A1 (en) * 2013-03-06 2014-09-11 Applied Materials, Inc. Heated substrate support with flatness control
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US20150162169A1 (en) * 2013-12-05 2015-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Etching apparatus and method
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10832931B2 (en) * 2014-05-30 2020-11-10 Applied Materials, Inc. Electrostatic chuck with embossed top plate and cooling channels
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US20160002778A1 (en) * 2014-07-03 2016-01-07 Applied Materials, Inc. Substrate support with more uniform edge purge
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
DE102014117228B4 (de) * 2014-11-25 2022-10-20 Suss Microtec Lithography Gmbh Backvorrichtung für einen Wafer, der mit einer ein Lösungsmittel enthaltenden Beschichtung beschichtet ist
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9888528B2 (en) * 2014-12-31 2018-02-06 Applied Materials, Inc. Substrate support with multiple heating zones
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10704160B2 (en) * 2016-05-10 2020-07-07 Arizona Board Of Regents On Behalf Of Arizona State University Sample stage/holder for improved thermal and gas flow control at elevated growth temperatures
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
JP6847610B2 (ja) * 2016-09-14 2021-03-24 株式会社Screenホールディングス 熱処理装置
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US20180102247A1 (en) * 2016-10-06 2018-04-12 Asm Ip Holding B.V. Substrate processing apparatus and method of manufacturing semiconductor device
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
KR102347123B1 (ko) * 2017-03-24 2022-01-05 주식회사 미코세라믹스 본딩 헤드 및 이를 갖는 본딩 장치
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
JP6837911B2 (ja) * 2017-05-17 2021-03-03 株式会社Screenホールディングス 熱処理装置
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
KR102329167B1 (ko) * 2017-11-20 2021-11-22 주식회사 원익아이피에스 기판 지지 어셈블리 및 이를 포함하는 기판 처리 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
KR102336497B1 (ko) * 2017-12-08 2021-12-08 주식회사 원익아이피에스 기판 지지 어셈블리 및 이를 포함하는 기판 처리 장치
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
JP6935920B2 (ja) * 2018-01-18 2021-09-15 助川電気工業株式会社 基板ヒータ
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11515130B2 (en) * 2018-03-05 2022-11-29 Applied Materials, Inc. Fast response pedestal assembly for selective preclean
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
CN111448647B (zh) * 2018-03-26 2023-08-01 日本碍子株式会社 静电卡盘加热器
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2022544221A (ja) 2019-08-16 2022-10-17 ラム リサーチ コーポレーション ウエハ内の様々な反りを補償するために空間を調整する堆積
KR20210030074A (ko) * 2019-09-09 2021-03-17 삼성전자주식회사 진공 척 및 상기 진공 척을 포함하는 기판 처리 장치
KR102253957B1 (ko) * 2020-01-31 2021-05-20 정홍흔 반도체 플라즈마 물리기상증착 장치 및 볼록형 서스 히터
US11854839B2 (en) 2020-04-15 2023-12-26 Mks Instruments, Inc. Valve apparatuses and related methods for reactive process gas isolation and facilitating purge during isolation
CN112501579B (zh) * 2020-09-16 2022-08-16 北京北方华创微电子装备有限公司 一种半导体反应腔室
US11976363B2 (en) * 2021-08-19 2024-05-07 Applied Materials, Inc. Purge ring for pedestal assembly
CN116313878A (zh) * 2021-12-20 2023-06-23 中微半导体设备(上海)股份有限公司 一种基座、基座的制造方法及等离子体处理设备

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3695928A (en) 1970-12-07 1972-10-03 Western Electric Co Selective coating
WO1982001482A1 (en) 1980-11-06 1982-05-13 Patent Versuch Censor Method and installation for the processing of the upper side of a flat part by means of a liquid
JPH0444216Y2 (ko) 1985-10-07 1992-10-19
NL8602356A (nl) 1985-10-07 1987-05-04 Epsilon Ltd Partnership Inrichting en werkwijze voor een axiaal symmetrische reactor voor het chemische uit damp neerslaan.
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4990374A (en) 1989-11-28 1991-02-05 Cvd Incorporated Selective area chemical vapor deposition
US5447570A (en) * 1990-04-23 1995-09-05 Genus, Inc. Purge gas in wafer coating area selection
US5094885A (en) 1990-10-12 1992-03-10 Genus, Inc. Differential pressure cvd chuck
US5252807A (en) * 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5230741A (en) 1990-07-16 1993-07-27 Novellus Systems, Inc. Gas-based backside protection during substrate processing
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
DE69432383D1 (de) * 1993-05-27 2003-05-08 Applied Materials Inc Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5766365A (en) 1994-02-23 1998-06-16 Applied Materials, Inc. Removable ring for controlling edge deposition in substrate processing apparatus
JP3601153B2 (ja) * 1995-12-27 2004-12-15 東京エレクトロン株式会社 処理ガス供給装置のクリーニング方法
JPH1041251A (ja) 1996-07-26 1998-02-13 Sony Corp Cvd装置およびcvd方法
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
DE19781631T1 (de) 1997-01-02 1999-04-01 Cvc Products Inc Wärmeleitendes Spannfutter für Vakuumbearbeitungsvorrichtung
US5994678A (en) * 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101332125B1 (ko) * 2007-01-22 2013-11-21 도쿄엘렉트론가부시키가이샤 가열 장치, 가열 방법 및 기억 매체
KR101126389B1 (ko) * 2009-12-29 2012-03-28 주식회사 케이씨텍 원자층 증착장치의 서셉터 유닛
WO2012016084A3 (en) * 2010-07-29 2012-05-31 Lawrence Advanced Semiconductor Technologies, Llc Substrate processing apparatuses and systems
US10138551B2 (en) 2010-07-29 2018-11-27 GES Associates LLC Substrate processing apparatuses and systems
KR20180108423A (ko) * 2017-03-24 2018-10-04 가부시키가이샤 스크린 홀딩스 기판 처리 장치
US11465167B2 (en) 2017-03-24 2022-10-11 SCREEN Holdings Co., Ltd. Substrate treatment apparatus

Also Published As

Publication number Publication date
JP2002513091A (ja) 2002-05-08
EP1080485A1 (en) 2001-03-07
KR100640553B1 (ko) 2006-11-06
WO1999056307A1 (en) 1999-11-04
US6179924B1 (en) 2001-01-30

Similar Documents

Publication Publication Date Title
KR100640553B1 (ko) 텅스텐을 증착하기 위하여 기판 처리 장치에 이용되는 개선된 히터
KR100797929B1 (ko) 반도체 웨이퍼에 실리콘 질화물층을 형성하는 방법
US6303501B1 (en) Gas mixing apparatus and method
US6586343B1 (en) Method and apparatus for directing constituents through a processing chamber
US5895530A (en) Method and apparatus for directing fluid through a semiconductor processing chamber
US6068703A (en) Gas mixing apparatus and method
JP4485681B2 (ja) 高周波能力を有する高温セラミックヒータ組立体
KR102556016B1 (ko) 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
US6051286A (en) High temperature, high deposition rate process and apparatus for depositing titanium layers
US5968379A (en) High temperature ceramic heater assembly with RF capability and related methods
US5994678A (en) Apparatus for ceramic pedestal and metal shaft assembly
US6189482B1 (en) High temperature, high flow rate chemical vapor deposition apparatus and related methods
US5983906A (en) Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
KR100696028B1 (ko) 고온 다층 합금 히터 어셈블리 및 관련 방법
US7024105B2 (en) Substrate heater assembly
EP0855735A2 (en) A high temperature, high flow rate chemical vapor deposition apparatus and related methods
US20030019428A1 (en) Chemical vapor deposition chamber
CN101437981A (zh) 用于消除来自化学蒸汽刻蚀腔的副产品沉积的原位腔清洁制程
EP0855452B1 (en) Process and apparatus for depositing titanium layers
KR20230172578A (ko) 기판들 상의 후면 증착 방지
KR19980071012A (ko) 고온 및 고 증착율의 티타늄 막을 증착하기 위한 방법 및 장치
WO2024076477A1 (en) Showerhead for diffusion bonded, multi-zone gas dispersion
CN117352444A (zh) 具有清扫机构的主轴和升降销驱动组件
CN117063269A (zh) 在高温沉积序列中操作的低温基座的传导冷却

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110929

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee