KR100797929B1 - 반도체 웨이퍼에 실리콘 질화물층을 형성하는 방법 - Google Patents

반도체 웨이퍼에 실리콘 질화물층을 형성하는 방법 Download PDF

Info

Publication number
KR100797929B1
KR100797929B1 KR1020027000322A KR20027000322A KR100797929B1 KR 100797929 B1 KR100797929 B1 KR 100797929B1 KR 1020027000322 A KR1020027000322 A KR 1020027000322A KR 20027000322 A KR20027000322 A KR 20027000322A KR 100797929 B1 KR100797929 B1 KR 100797929B1
Authority
KR
South Korea
Prior art keywords
gas
chamber
wafer
pressure
silicon
Prior art date
Application number
KR1020027000322A
Other languages
English (en)
Other versions
KR20020031384A (ko
Inventor
마이클 엑스. 양
치엔-테 카오
칼 리타우
스티븐 에이. 첸
헨리 호
잉 유
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20020031384A publication Critical patent/KR20020031384A/ko
Application granted granted Critical
Publication of KR100797929B1 publication Critical patent/KR100797929B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 반도체 웨이퍼상에 실리콘 질화물층을 형성하는 방법 및 장치를 제공한다. 반도체 처리 챔버 내부의 서셉터 상에 반도체 웨이퍼가 위치된다. 캐리어 가스, 질소 공급원 가스, 및 실리콘 공급원 가스가 반도체 처리 챔버내로 주입되고 대락 100 내지 500 Torr 범위의 챔버 압력에서 반도체 웨이퍼가 혼합 가스에 노출된다.

Description

반도체 웨이퍼에 실리콘 질화물층을 형성하는 방법{A METHOD OF FORMING A SILICON NITRIDE LAYER ON A SEMICONDUCTOR WAFER}
본 발명은 전반적으로 집적 회로 칩 처리에서의 증착 기술에 관한 것으로서, 특히 실리콘 질화물막의 증착에 관한 것이다.
일반적으로 반도체 집적 회로의 제조에는 반도체(예를 들어 실리콘) 웨이퍼 상에 다수의 물질 층을 형성시키는 것이 포함되며, 각각의 층은 일반적으로 특정 신호의 연결 및 절연(routing and isolating)과 관련된 특정 기능을 제공한다. 이러한 층들 중 하나 이상은 절연체 또는 마스크로서의 실리콘 질화물(Si3N4)을 포함할 것이다. 웨이퍼 상에 실리콘 질화물층을 형성하는 종래 방법은, 처리 챔버 내의 서셉터(suscepter) 상에 웨이퍼를 위치시키는 단계와, 실리콘 공급원 가스, 질소 공급원 가스, 및 캐리어 가스와 같은 가스 혼합물을 처리 챔버 내로 도입하는 단계를 포함한다. 이 가스들은 일반적으로 약 300 밀리토르(mTorr) 압력의 처리 챔버 내에서 조합되어 실리콘 질화물층 또는 실리콘 질화물막을 형성한다.
처리 챔버는 반도체 처리 챔버의 투명한 벽을 통해 빛을 조사하여 챔버를 가열하는 외부의 가열 램프와 같은 열 공급원에 의해 가열된다. 서셉터 상의 소정 지점에서의 온도 감지를 위해, 열전쌍(thermocouple), 고온계(pyrometer), 또는 열 카메라(thermal camera)와 같은 온도 측정 장치를 이용할 수 있다.
실리콘 질화물층의 증착 속도, 두께, 및 균일성은, 챔버 내의 온도나 압력, 또는 챔버 내로 도입되는 가스의 양, 종류 및 웨이퍼에 대한 가스 유량과 같은 다양한 파라미터들에 따라 달라진다. 또한 온도와 같은 파라미터의 증가가 압력과 같은 다른 파라미터에 영향을 미칠 수도 있다. 예를 들어 고온 조건에서는 일반적으로 저압(예를 들어 300 mTorr) 조건을 이용하여야 한다. 비록 온도가 높을수록 웨이퍼 상에서의 실리콘 질화물층의 증착 속도는 커지지만, 고온의 증착에는 문제점들이 있다. 문제점들 중 하나는, 고온 처리로 인해, 예를 들어 반도체 웨이퍼의 P형 전도 영역 또는 N형 전도 영역(P- 또는 N- 도핑 영역)으로부터 도판트(dopant)가 외부로 확산(outdiffusion)될 수 있다는 것이다. 외부확산은 도핑된 영역에 형성된 전기적 소자(예를 들어 트랜지스터, 커패시터, 다이오드 등)의 고장을 초래할 수 있다. 이러한 외부확산의 방지는 반도체 장치의 크기가 0.25 ㎛ 이하로 작아질 때 특히 중요하다.
종래 기술에서 볼 수 있는 부정적인 결과를 방지하면서도 웨이퍼상에서 실리콘 질화물층의 증착을 강화시키는 방법을 제공할 필요가 있다.
반도체 웨이퍼 상에 실리콘 질화물층을 형성하는 방법 및 장치는 공지되어 있다. 본 발명의 일 실시예에서, 캐리어 가스, 질소 공급원 가스 및 실리콘 공급원 가스를 포함하는 가스 혼합물은 약 100 내지 500 Torr 압력에서 처리 챔버 내로 도입되어, 처리 챔버내의 웨이퍼 상에 Si3N4 막이 형성된다. 본 발명의 또 다른 실시예에서, 실리콘 질화물막은 펌핑 채널과 연통되어 반응물을 챔버 내로 도입하는 다수의 가스 구멍들을 가지는 측벽을 구비한 환형 펌핑 판을 이용하여 형성된다. 본 발명의 다른 면들, 방법 및 그 방법을 이용하여 구성되는 장치를 첨부 도면과 관련하여 하기에 보다 상세히 설명한다.
도 1 은 본 발명의 일 실시예에 따라 '웨이퍼 처리' 위치에 있는 저항성 히터를 포함하는 처리 챔버의 측단면도(챔버의 절반부는 각각 제 1 단면 및 제 2 단면).
도 2 는 도 1 과 유사한 측단면도로서, 웨이퍼가 분리된 위치에서 도시한 측단면도.
도 3 은 도 1 과 유사한 측단면도로서, 웨이퍼가 적재된 위치에서 도시한 측단면도.
도 4 는 본 발명의 실시예에 따른 처리 챔버 위치의 일부의 다른 측단면도.
도 5 는 본 발명의 실시예에 따른 처리 챔버 위치의 일부의 또 다른 측단면도.
도 6 은 본 발명의 실시예에 따라 챔버 리드가 제거된 상태로 처리 챔버의 일부를 도시한 사시도.
도 7 은 본 발명의 실시예에 따른 펌핑 판의 사시도.
도 8 은 본 발명의 실시예에 따른 펌핑 판의 측면도이다.
도 9 는 본 발명의 실시예에 따른 펌핑 판의 평면도이다.
도 10 은 본 발명의 실시예에 따른 펌핑 판의 저면도이다.
도 11 은 본 발명의 실시예에 따른 펌핑 판의 일부의 측단면도.
도 12 는 본 발명의 실시예에 따라 단일 가스 구멍 판을 가지는 펌핑 판의 일부의 측면도.
도 13 은 본 발명의 실시예에 따른 블로커 판의 평면도.
도 14 는 본 발명의 실시예에 따른 페이스 판의 평면도.
도 15 는 본 발명의 실시예에 따른 페이스 판의 측단면도.
도 16 은 본 발명의 실시예에 따른 페이스 판의 일부의 측단면도.
도 17 은 단일 기판 복사 가열 증착 챔버의 개략적인 단면도.
도 18 은 본 발명의 실시예에 따른 방법을 실시하는데 이용되는 시스템을 나타내는 개략적인 블록도.
도 19 는 본 발명 처리의 실시예에 따라 증착된 막의 두께 측정치를 도시한 도면.
도 20 은 본 발명 처리의 실시예에 따라 증착된 막의 두께 측정치를 도시한 도면.
도 21 은 챔버내의 압력, 실란 가스의 유량, 실란 가스 대 암모니아 가스의 비율에 대해 실리콘 질화물이 웨이퍼상에 증착되는 속도를 나타낸 본 발명의 실시예의 결과를 도시한 그래프.
도 22 는 압력이 약 100 Torr 일 때 온도에 대한 실리콘 질화물의 증착속도를 나타낸 본 발명의 실시예의 결과를 도시한 그래프.
이하에서는 첨부 도면을 참조하여 본 발명을 예를 들어서 보다 상세히 설명한다.
본 발명을 완전히 이해할 수 있게 설명하기 위해, 하기에 여러가지 특정한 상세사항을 설명한다. 그러나 당업자에게는 이러한 특정 상세 설명없이도 본 발명을 실시할수 있음이 명확할 것이다. 몇몇 경우에는, 본 발명이 불명확하게 되는 것을 피하기 위해, 특정 장치, 구조 및 방법에 대하여 설명하지 않았다.
본 발명은 반도체 웨이퍼와 같은 기판 상에 실리콘 질화물층을 형성하는 방법 및 장치에 관한 것이다. 일 실시예에서, 막 또는 층은 복사 가열 또는 저항성 가열에 의해 가열되는 단일 웨이퍼 처리 챔버 내의 서셉터 상에 위치된 반도체 웨이퍼 상에 형성된다. 질소 공급원 가스, 실리콘 공급원 가스, 및 캐리어 가스를 포함하는 가스 혼합물이 챔버 내로 도입되어 Si3N4 막 또는 층이 형성된다. 600 내지 800℃의 웨이퍼 온도에서 웨이퍼가 상기 혼합물에 노출된다. 적절한 Si3N4 막 또는 층을 형성하기 위해, 처리 챔버 내의 압력은 약 100-500 Torr에서 유지된다. 본 발명의 실시예에서, 실리콘 질화물층은 제 1 계단부, 제 2 계단부, 및 제 3 계단부를 가지는 펌핑 판을 이용하여 형성된다. 실리콘 공급원 가스 및 질소 공급원 가스는 챔버내로 도입되어 Si3N4 층 또는 막을 형성한다. 일 실시예의 챔버 내에서, 실란과 같은 실리콘 공급원 가스의 부분 압력은 약 0.05 내지 5 Torr이고, 암모니아와 같은 질소 공급원 가스의 부분 압력은 300 Torr이하이다. 사용되는 특정 가스에 따라, 실리콘 및 질소 공급원 가스들에 대하여 이와 다른 부분 압력들을 취할 수 있다.
본 발명은 개선된 증착 속도 및 Si3N4 층의 균일성을 제공하는 처리 조건을 위한 것이다. 종래 기술에 비해 반응 압력을 증대시킴으로써, 본 발명의 처리는 웨이퍼 또는 Si3N4 증착에 악영향을 미치지 않고 저온에서 수행될 것이다. Si3N4 층은 스페이서, 에칭 정지부, 하드 마스크, 또는 유전체 소자를 형성하는데 이용될 수 있다.
비록, 보호범위로서 청구된 본 발명이 저항식 가열 처리 챔버(도 1 내지 도 3 참조) 및 복사 가열 처리 챔버(도 10 및 도 11)와 관련하여 기재되었지만, 본 명세서에서 설명된 기술과 결합하여 다른 형태의 처리 챔버를 이용할 수도 있음이 이해될 수 있을 것이다.
도면에 대해 설명하면, 저압 화학적 기상 증착(LPCVD) 챔버가 도시되어 있다. 도 1 내지 도 3은 본 발명을 실시하기 위해 사용된 저항성 반응기와 같은 형태의 반응기의 단면도이다. 도 1 내지 도 3은 각각 챔버의 대략적인 절반부를 나타내는 2개의 상이한 단면들을 통한 챔버의 단면을 도시한다.
본 실시예의 경우, 도 1 내지 도 3에 도시된 LPCVD 챔버는 100 Torr 이상의 압력을 견딜 수 있는 물질로 제조된다. 예시적으로, 약 8 리터 정도의 챔버가 도시된다. 도 1 은 "웨이퍼 처리" 위치에 있는 처리 챔버 본체(45)의 내부를 도시한다. 도 2 는 "웨이퍼 분리" 위치에 있는 챔버의 내부를 도시한다. 도 3 은 "웨이퍼 적재" 위치에서 챔버의 단면을 도시한다. 각각의 경우에, 챔버 내의 위치를 나타내기 위해, 웨이퍼를 점선으로 표시하였다.
도 1 내지 도 3은 처리 가스 또는 가스들과 웨이퍼 간의 반응(예를 들어 CVD 반응)이 일어나는 반응 챔버(90)를 형성하는 챔버 본체(45)를 나타낸다.
일 실시예에서, 챔버 본체(45)는 알루미늄으로 구성되고, 챔버 본체(45)(예를 들어 "냉각-벽" 반응 챔버)를 냉각시키기 위해 펌핑되는 물을 위한 통로(55)를 구비한다. 샤프트(65)에 의해 지지되는 서셉터(5)를 포함하는 저항식 히터(80)가 챔버(90) 내에 내장된다. 서셉터(5)는 반도체 웨이퍼(점선 참조)와 같은 기판을 지지하기에 충분한 표면적을 가진다.
한편 처리 가스는 챔버 본체(45)의 챔버 리드(30) 상단면에 있는 가스 분배구(20)를 통해 밀봉된 챔버(90) 내로 들어간다. 그후 처리 가스는 블로커 판(24)을 통과하여 웨이퍼의 표면적에 상응하는 면적 주위로 분배된다. 그후 도면을 기준으로 저항식 히터(80) 위쪽에 위치하고 챔버(90) 내부의 챔버 리드(30)에 결합되는 천공된 페이스 판(25)을 통해 처리 가스가 분배된다. 본 실시예에서 블로커 판(24)과 페이스 판(25)을 조합하는 목적은 웨이퍼와 같은 기판에서 처리 가스가 균일하게 분포되도록 하기 위한 것이다.
웨이퍼와 같은 기판은 챔버 본체(45) 측부에 있는 입구(40)를 통해 챔버(90)내 히터(80)의 서셉터(5) 상에 위치된다. 처리될 웨이퍼를 수용하기 위해, 도 3 에 도시된 바와 같이 서셉터(5) 표면이 입구(40) 아래쪽에 오도록 히터(80)가 낮춰진다. 웨이퍼는 통상 로봇 이송 기구에 의해, 예를 들어 이송 블레이드에 의해 챔버(90) 내의 서셉터(5)의 상부(superior) 표면에 적재된다. 일단 적재되면, 입구(40)는 밀봉되고 히터(80)는 스텝 모터와 같은 승강기 어셈블리(60)에 의해 페이스 판(25)을 향해 위쪽 방향(예를 들어 상향)으로 진행된다. 그러한 진행은, 웨이퍼가 페이스 판(25)으로부터 짧은 거리(예를 들어 400-700밀(0.4-0.7 인치))에 도달했을 때, 정지된다(도 1 참조). 웨이퍼 처리 위치에서, 챔버(90)는 실질적으로 2개 지역으로 분할되며, 상기 2개 지역은 서셉터(5)의 상부 표면 위쪽의 제 1 지역 및 서셉터(5)의 하부(inferior) 표면 아래쪽의 제 2 지역이다. 막 형성을 제 1 지역으로 한정하는 것이 일반적으로 바람직하다.
이러한 관점에서, 가스 패널에 의해 조절되는 처리 가스는 가스 분배구(20)를 통하고, 블로커 판(24) 및 천공된 페이스 판(25)을 통해 챔버(90)로 흐른다. 처리 가스는 통상적으로 웨이퍼와 반응하거나 접촉하여 웨이퍼에 막을 형성한다. 동시에, 예를 들어 질소와 같은 불활성 기저부(bottom)-퍼지 가스가 제 2 챔버 지역으로 도입되어, 그 지역에 막이 형성되는 것을 방지한다. 압력 제어 시스템에서, 챔버(90) 내의 압력은 챔버(90)와 연결된 압력 조절기(들)에 의해 설정되고 유지된다. 일 실시예에서, 예를 들어, 공지된 바와 같이 챔버 본체(45)에 연결된 베어톤(baretone) 압력 조절기(들)에 의해 압력이 설정되고 유지된다. 이러한 일 실시예에서, 베어톤 압력 조절기(들)는 압력을 100 Torr 이상으로 유지한다. 적절한 중간 정도의 압력 범위는 약 100-300 Torr이다.
잔류 처리 가스는 펌핑 판(85)을 통해 챔버(90)로부터 챔버 본체(45)의 한쪽에 있는 수집 용기(진공 펌프아웃 31)로 펌핑된다. 펌핑 판(85)은 기판 상에 균일한 Si3N4 층을 생성하는 가스 흐름 패턴을 초래하는 2개의 흐름 영역을 발생시킨다.
장치(2) 외부에 배치된 펌프(32)는 펌핑 채널(4140)(도 1 내지 도 3의 채널(414) 하부 참조) 내에 진공 압력을 제공하여, 진공 펌프 아웃(31)을 통해 처리 가스 및 퍼지 가스 모두를 인출한다. 그 가스는 배출 도관(33)을 따라 챔버(90)로부터 배출된다. 채널(4140)을 통해 배출되는 가스의 유량은 도관(33)을 따라 설치된 스로틀 밸브(34)에 의해 제어되는 것이 바람직하다. 처리 챔버(90) 내부의 압력은 센서(미도시)에 의해 모니터링되며, 스로틀 밸브(34)에 의해 도관(33)의 단면적을 변경시킴으로써 제어된다. 제어기 또는 프로세서는 센서로부터 챔버 압력을 나타내는 신호들을 수신하고 스로틀 밸브(34)를 조절하여, 챔버(90) 내부를 원하는 압력으로 유지시키는 것이 바람직하다. 머독(Murdoch)에게 허여되어 어플라이드 머티어리얼스사(Applied Materials, Inc.)로 양도된 USP 5,000,225에는 본 발명에 이용되기에 적합한 스로틀 밸브가 개시되어 있다.
웨이퍼 처리가 일단 완료되면, 챔버(90)는 예컨대 질소와 같은 불활성 가스에 의해 퍼징될 수도 있다. 처리 및 퍼징 후에, 승강기 어셈블리(60)에 의해 히터(80)가 도 2에 도시한 위치까지 아래 방향으로 전진한다. 히터(80)가 이동하면, 서셉터(5)의 표면에서 개구부 또는 관통 보어를 통해 연장되는 일단부와 서셉터(5)의 아래방향(예컨대 하부)으로부터 외팔보 형태로 연장되는 타단부를 가지는 리프트 핀(95)이 챔버(90)의 기부에 위치한 리프트 판(75)과 접촉한다. 도 2에 도시한 바와 같이, 일 실시예에서, 리프트 판(75)은 이러한 지점에서 웨이퍼 처리 위치(즉 도 1의 판과 동일한 위치)로 유지된다. 히터(80)가 승강기 어셈블리(60)의 작동을 통해 아래 방향으로 계속해서 이동하면, 리프트 핀(95)은 정지 상태이기 때문에 궁극적으로 서셉터(5)의 상부 또는 정상면 위로 연장되어, 처리된 웨이퍼를 서셉터(5)의 표면으로부터 분리시킨다. 서셉터(5)의 표면은 개구부(40) 아래 위치로 이동한다.
처리된 웨이퍼가 서셉터(5)의 표면으로부터 일단 분리되면, 로봇 메카니즘의 이송 블레이드(41)는 리프트 핀에 의해 지지된 웨이퍼와 리프트 핀(95)의 헤드 아래의 개구부(40)를 통해 삽입된다. 다음에, 승강기 어셈블리(60)는 "웨이퍼 로드" 위치로 히터(80) 및 리프트 판(75)를 아래로 이동시킨다. 아래 방향으로 리프트 판(75)를 이동시킴으로써, 처리된 웨이퍼의 표면이 이송 블레이드와 접촉할 때까지 리프트 핀(95)도 아래 방향으로 이동된다. 이후, 이러한 처리된 웨이퍼는 예컨대, 웨이퍼를 제거시키고 다음 처리 단계로 웨이퍼를 이송시키는 로봇 이송 메카니즘에 의해 입구 포트(40)를 통해 제거된다. 이후, 제 2 웨이퍼가 챔버(90) 안으로 적재될 수 있다. 상술한 공정들은 대개 처리 위치 안으로 웨이퍼를 가져오도록 반대로 실시될 수 있다. 캘리포니아 산타클라라의 어플라이드 머티어리얼스사에 양도된 USP 5,772,773에는 하나의 적합한 승강기 어셈블리(60)의 상세한 설명이 개시되어 있다.
Si3N4 막을 형성하기 위한 LPCVD와 같은 고온의 작동에서, 챔버(90) 내부의 반응 온도는 750℃ 이상으로 높을 수 있다. 따라서 챔버(90) 내에서 노출된 부품들은 이러한 온도 처리에서 견딜 수 있어야 한다. 이러한 물질들은 챔버(90) 안으로 유도될 수도 있는 세정 화학물질(예를 들어 NF3)과 같은 처리 가스 및 다른 화학물질에도 견딜 수 있어야 한다. 히터(80)의 노출면은, 처리시에 견딜 수 있는 물질이라면 여러 물질들을 포함할 수도 있다. 예를 들어 히터(80)의 샤프트(65) 및 서셉터(5)는 유사한 알루미늄 질화물 물질을 포함할 수 있다. 이와 달리, 서셉터(5)의 표면은 높은 열전도성 알루미늄 질화물 물질(140W/mK 내지 200 W/mK의 열 전도성을 가지는 순도 95% 정도의 물질)을 포함할 수 있지만, 샤프트(65)는 낮은 열전도성 알루미늄 질화물 물질을 포함할 수 있다. 히터(80)의 서셉터(5)는 전형적으로 확산 접합 또는 브레이징을 통해 샤프트(65)와 접합되며, 이러한 연결은 챔버(90)의 환경을 견딜 수 있을 것이다.
도 1은 또한 서셉터(5) 바디의 단면과 샤프트(65)의 단면을 포함하는, 히터(80)의 일부분의 단면을 도시한다. 이러한 도시에서, 도 1은 내부에 2개의 가열 부재 즉, 제 1 가열 부재(50) 및 제 2 가열 부재(57)가 형성된 서셉터(5)의 바디를 도시한다. 각각의 가열 부재(예컨대, 가열 부재(50) 및 가열 부재(57))는 서셉터의 물질과 유사한 열팽창 특성을 가지는 물질로 이루어진다. 적합한 물질에는 몰리브덴(Mo)이 포함된다. 각 가열 부재는 코일 형상의 몰리브덴 물질의 얇은 층을 포함한다.
도 1에서, 제 2 가열 부재(57)는 제 1 가열 부재(50)의 아래(도면에서 서셉터 표면의 아래)에 위치하는 서셉터(5) 바디의 평면에 형성된다. 제 1 가열 부재(50) 및 제 2 가열 부재(57)는 독립적으로 전력 단자에 연결되어 있다. 이러한 전력 단자는, 전도성 리드(leads)로서, 서셉터(5)의 표면을 가열시키는데 필수적인 에너지를 공급하는 전원까지 종축방향으로 연장하는 관통 샤프트(65)를 통해 아래 방향으로 연장된다. 챔버 리드(lid)의 관통 개구부를 통해 두 개의 고온계, 즉 제 1 고온계(10) 및 제 2 고온계(15)가 연장된다. 각각의 고온계는 서셉터(5)의 표면에서의(또는 서셉터(5) 상의 웨이퍼의 표면에서의) 온도에 대한 데이터를 제공한다. 도 1에 도시된 바와 같은 히터(80)의 단면에서 또한 주지할 것은 열전쌍(70)의 존재이다. 열전쌍(70)은 종축방향으로 연장하는 관통 샤프트(65)를 통해 서셉터(5)의 상부 또는 정상면 바로 아래 지점까지 연장된다.
웨이퍼 상에 Si3N4 막을 형성하기 위한 본 발명의 일 실시예에 따르면, 가스는 캐리어 가스(200), 질소 공급원 가스(220), 및 실리콘 공급원 가스(210)를 포함한다. 적합한 캐리어 가스 공급원에는 수소(H2), 질소(N2), 아르곤(Ar), 및 헬륨(He)이 포함되나, 이에 한정되지는 않는다. 적합한 질소 공급원 가스로서는 암모니아(NH3)가 포함되나, 이에 한정되지는 않는다. 적합한 실리콘 공급원 가스로서는 실란, 디클로로실란 및 디실렌이 포함되나, 이에 한정되지는 않는다. 질소 공급원 가스 및 실리콘 공급원 가스는 혼합되어, 웨이퍼 상에 Si3N4 층을 형성시킨다.
사용시에, 실리콘 공급원 가스(210)는 처리 챔버(90) 안으로 도입되기 전 또는 도입되는 동안 캐리어 가스(200)와 혼합될 수도 있다. 캐리어 가스 및 실리콘 공급원 가스의 혼합물은 이후 챔버(90)의 가스 입구(20) 안으로 도입된다. 질소 공급원 가스(220)도 가스 입구(20) 안으로 도입되어, 캐리어 가스 및 실리콘 공급원 가스의 혼합물과 혼합된다. 처리 가스는 블로커 판(24) 내의 다수의 구멍들을 통과한 후, 페이스 판(25) 내의 다수의 구멍들을 통과한다. 이후, 이들 가스는 챔버(90) 안으로 흐르며, 웨이퍼에 노출된다. 이후에, 처리 가스는 펌핑 판(85)을 통해 펌핑 채널(414) 안으로 유출된다.
가스의 유량은 반도체 처리 챔버(90)에 따라 좌우된다. 일 실시예에서, 가스의 총 유량은 1 내지 9 리터의 처리 챔버의 총 유효 체적에 기초해서 5 내지 15 리터의 범위에 있다. 챔버에 대한 하나 이상의 가스 또는 총 가스 유량의 비는 챔버 체적의 0.50 내지 8 리터/분/리터이다.
가스 혼합물에 웨이퍼를 노출시킴으로써, 열적 화학적 기상 증착 원리에 따라 웨이퍼 상의 실리콘 질화물(Si3N4) 층의 증착이 이루어진다. 상승된 온도에서 웨이퍼에 대해 가스를 노출시킴으로써, 실리콘 공급원 가스 및 질소 공급원 가스의 분자들이 보다 작은 분자들로 해리된다. 이후, 보다 작은 분자들은 서로 재결합된다. 이러한 과정에서 발생하는 일반적인 화학 반응이 아래에 제공된다. 이러한 화학식에 따라 실란은 대개 암모니아와 반응한다.
3SiH4 + 4NH3 -> Si3N4 + 12H2
일반적으로, 챔버(90)와 이에 따른 웨이퍼 및 서셉터 내의 온도가 높을수록 실리콘 질화물층이 보다 빨리 형성될 것이다.
일 실시예에서, 웨이퍼가 600℃ 내지 800℃의 온도로 가열될 때, SiH4, NH3 및 N2는 분당 100 표준 입방 센티미터(sccm)의 SiH4, 분당 5 표준 리터(slm)의 NH3, 그리고 10slm의 N2로 도입된다. 증착하는 동안, 챔버 내의 압력은 100 내지 500 Torr에서 유지된다. 적합한 중간 레벨 압력 범위는 100 Torr 내지 350 Torr이다. 일 실시예에서, 실란의 부분 압력은 대략 0.05 내지 5 Torr의 범위 내에 있으며, 암모니아는 챔버 내에서 300 Torr 이하의 부분 압력을 가진다. 그러나 실리콘 및 질소 공급원 가스에 대한 부분압은, 사용되는 특정 가스에 따라 달라질 수도 있다.
다른 실시예에서, 가스들은 다음의 비율, 즉 SiH4: 70sccm, NH3: 2slm 및 N2 :8slm으로 사용될 수도 있다. 또 다른 실시예에서, 가스들은 다음의 비율, 즉 디클로로실란(SiH2Cl2):230sccm, NH3:1000sccm 및 H2:9000sccm으로 사용될 수도 있다. N2가 캐리어 가스로서 사용된다면, 600℃와 같이 낮은 온도에서 분당 약 50 내지 5000Å의 증착 속도가 달성될 수 있다.
상술된 일 실시예에서 웨이퍼 상에 Si3H4 막을 형성하기 위한 반응 챔버 내의 제어 조건을 설명하였다. 이러한 제어는 수동 또는 시스템 제어기의 도움에 의해 실시될 수 있다. 전자의 경우, 즉 수동에 의해 실시되는 경우에, 조작자는 히터에 대한 전원을 모니터링하고 조절하여 온도를 제어하고, 진공 공급원을 모니터링하고 조절하여 압력을 제어한다. 조작자는 또한 개별 가스와 연관된 밸브를 수동으로 조절하여, 가스의 혼합과 유량을 조절한다.
시스템 제어와 연관된 제어 작업을 조정하기 위해 시스템 제어기가 사용될 수도 있다. 도 1은 전력 공급원 및 가스 매니폴드에 연결된 시스템 제어기 또는 프로세서를 도시한다. 이러한 제어기는 온도 지시계에 의해 측정된 온도를 기록하여, 예컨대 온도 차이의 상대값을 결정하여 이에 따라 가열 부재를 조절하는 알고리즘에 근거해서 가열 부재로 공급된 전력을 제어하도록 구성된다. 제어기는 처리 챔버로의 가스의 혼합과 흐름을 제어하도록 구성되어 있다. LPCVD 반응 처리에서, 제어기는 챔버 내의 압력을 측정하는 압력 지시계와 챔버 내의 압력을 조절하는 진공 공급원에 연결될 수도 있다.
시스템 제어기에는 제어 신호 생성 논리(control signal generation logic)가 제공된다. 제어기는 유저 인터페이스(user interface)와 연결될 수 있으며, 이는 작업자가 소정의 반응 온도, 인디케이터 사이의 온도차의 허용오차(예를 들면 ±3℃), 반응 압력, 그리고 처리 챔버로의 가스 흐름과 같은 반응 파라미터의 입력을 가능하게 한다.
제어 신호 생성 논리는, 예를 들면 제어기의 메모리와 같은 컴퓨터 판독 가능한 매체에 저장된 컴퓨터 프로그램인 소프트웨어 명령어 논리의 형태로 시스템 제어기에 제공된다. 컴퓨터 프로그램은 시간, 가스의 혼합, 챔버의 압력, 챔버의 온도, 그리고 특정 처리의 다른 파라미터를 알려주는 명령어 세트를 포함한다. 이에 제한되지는 않지만, 플로피 디스크를 포함한 다른 메모리 장치에 저장된 프로그램과 같은 컴퓨터 프로그램이, 시스템 제어기가 작동되도록 사용될 수도 있다.
컴퓨터 프로그램 코드는, 예를 들면 68000 어셈블리 언어, C, C++, 파스칼, 포트란 등과 같은 컴퓨터 판독 가능한 프로그램 언어로 기록될 수 있다. 적절한 프로그램 코드는 텍스트 편집기를 이용하여 일반적으로 단일 파일 또는 복수 파일로 입력된다. 입력된 코드 텍스트가 고급 언어이면, 코드는 컴파일된 다음, 최종 컴파일러 코드는 목적 코드 또는 프리컴파일된 목적 코드와 연결되고, 시스템은 이런 목적 코드를 호출하며, 이에 따라 컴퓨터 시스템은 코드를 메모리에 적재한다. 중앙처리장치는 프로그램 내의 식별된 태스크를 수행하도록 이런 코드를 판독하고 실행한다.
본 발명의 일 면에서, 처리/반응 가스 분배의 균일성을 개선하는 장치와 방법이 설명된다. 전술한 바와 같이, 실리콘 공급원 가스 및 질소 공급원 가스와 같은 처리 가스는 (캐리어 가스와 함께) 가스 분배구(20)를 통해 챔버(90)로 유입된다. 처리 가스는, 서셉터(5) 표면 상에 있는 웨이퍼의 표면 위쪽에서 처리 가스의 캐스케이드(cascade)와 같은 샤워헤드를 형성하는 블로커 판(24)과 페이스 판(25)을 통해 흐른다. 가스가 챔버(90)로 유입되는 동안, 예정된 압력이 처리 과정 동안 유지될 수 있도록 가스는 다시 제거된다. 도 1 내지 도 3에 도시된 챔버의 구성에서, 가스는 챔버의 측면으로부터, 예를 들면 펌프 아웃(31)에 의해 소정의 한 측면으로부터 펌핑 작용을 통해 제거된다. 종래 시스템에서, 챔버의 한 측면으로부터 가스의 비대칭적인 제거는 챔버 내에 압력차를 발생시켰다. 예를 들면 챔버 펌프에 인접한 챔버의 어느 한 점에서 측정된 압력은 펌프로부터 멀리 떨어져 있는 어느 한 점에서 측정된 압력과 달랐다(예를 들면 보다 낮았다). 이런 압력차에 따라 막이 웨이퍼 상에 불균일하게 증착되었다.
본 발명의 일 실시예에서, 펌핑 판은 가스 흐름이 챔버로 향하도록 제공된다. 본 발명의 펌핑 판은 2개의 가스 흐름 영역을 형성한다. 즉 서셉터(5) 표면에 있는 웨이퍼로 향하는 처리 가스의 제 1 흐름 영역과, 챔버로부터 먼저 배출되도록 가스의 펌핑 판 주위에서 방사상의 채널에 의해 형성된 제 2 흐름 영역을 형성한다. 두 영역을 형성함으로써, 보다 균일한 압력이 챔버 내에 유지될 수 있다. 본 발명은, 챔버를 통해 두 흐름 영역 사이에서 생성될 수 있는 정적 압력차를 보상하여 막이 웨이퍼에 걸쳐 보다 균일하게 증착될 수 있게 한다.
도 4 내지 도 10을 참조하여, 챔버 내에 처리 가스의 균일한 흐름을 조정하기 위해 사용되는 본 발명의 부품은 도 1 내지 도 3에 설명된 저항-가열식 처리 챔버에 사용되는 부품과 관련하여 보다 상세히 설명될 것이다. 도 4는 처리 챔버의 측면을 개략적으로 도시하는 단면도이다. 도 4는 두 개의 가스 흐름 영역을 도시하기 위해 한 단면을 통해 챔버를 도시하고 있다. 단면은 펌핑 판(85)에 대한 서셉터(5)의 위치를 도시하기 위해 챔버의 중심축을 지난다. 웨이퍼 처리 위치에서, 서셉터(5)의 일부는 펌핑 판(85)의 환형 개구 내에 위치한다 (이 단면도에서는, 서셉터(5)를 둘러싸는 펌핑 판(85)의 일부가 절단되어 있다).
도 4에 도시된 바와 같이, 펌핑 판(85)은 챔버 벽(45)의 내측 챔버부(41) 상에 놓여 있다. 펌핑 판(85)과 내측 챔버부(41)의 배면은 챔버의 둘레의 원주방향을 따라 연장되는 채널(4140)을 형성한다. 채널(4140)은, 입구(40)를 통해 웨이퍼를 적재하고 제거하기 위해 사용되는 유사한 챔버 영역처럼 챔버 둘레로 완전히 연장되지는 않는다. 일 실시예에서, 채널(4140)은 챔버의 둘레를 따라 대략 270°로 연장된다. 진공 펌프 아웃(31)은 챔버로부터 가스를 배출하기 위해 채널(4140)과 연결된다.
도 4에 도시된 바와 같이, 펌핑 판(85)은 페이스 판(25)에 대해 길이방향 또는 수직방향 벽의 원주 테두리를 형성하는 수직의 환형 제 1 계단부(464)를 포함한다. 제 2 계단부(466)는 원주 테두리로부터 돌출한 측면부를 포함한다. 제 1 계단부(464)와 제 2 계단부(466)는 함께, 페이스 판(25), 챔버벽(40), 그리고 펌핑 판(85) 사이에 채널(414)을 형성한다. 수직벽은, 가스가 챔버로부터 배출되는 제 2 영역(1010)으로부터 처리 가스가(서셉터(5)의 웨이퍼 포켓(6)에 위치하는) 웨이퍼 쪽으로 향하는 제 1 영역(1000)을 분리한다. 제 1 흐름 영역(1000)으로부터의 가스는 펌핑 판(85)의 제 1 계단부(464) 둘레로 연장되게 원주방향으로 위치된 구멍들(가스 구멍들(490))을 통해 제 2 흐름 영역(1010)으로 유입된다. 제 2 흐름 영역(1010)에서의 가스 흐름은 방사 방향을 이루며, 제 2 흐름 영역(1010)은 채널(4140)과 연통하여 챔버로부터 가스를 제거한다.
도 5 및 도 6은 챔버를 통과하는 처리가스의 일반적인 흐름 방향을 개략적으로 도시하고 있다. 도 5는 챔버의 단면도로서, 서셉터(5)를 둘러싸는 펌핑 판(85)을 도시하고 있다. 도 5는 처리가스가 챔버의 가스 입구를 통해 유입되어, 블로킹 판(24)와 페이스 판(25)를 통과하는 모습을 도시하고 있다. 제 1 흐름 영역(1000)에서, 처리가스는 서셉터(5)의 표면에 도달한 다음, 서셉터(5)의 포켓(6)에 있는 웨이퍼와 반응하여 막, 예를 들면 Si3N4 또는 다른 소정의 물질(예를 들면 SiO2, 폴리실리콘 등)을 형성한다. 기저부에 있는 퍼지 가스(1030) 뿐만 아니라 잔류하는 처리가스는 제 2 흐름 영역(1010)으로 흐른 다음, 챔버로부터 배출된다. 가스는 펌핑 판(85) 둘레에 원주방향으로 이격되어 있는 가스 구멍들(490)에 의해 제 2 흐름 영역(1010)으로 유입된다. 실시예에서, 가스 구멍들(490)은 처리과정 동안 서셉터(5) 상에 있는 웨이퍼의 상부면 위쪽에 위치한다. 일반적으로, 가스 구멍들(490)은 가열기가 "웨이퍼 처리" 위치에 있을 경우, 웨이퍼의 위쪽 또는 적어도 웨이퍼와 동일한 높이에 위치한다.
도 6은 펌핑 판(85)에 대한 가스 유입 및 배출의 처리 흐름을 추가로 도시하고 있다. 도 6은 저항 가열기, 챔버 리드, 블로킹 판, 그리고 페이스 판이 생략된 정면 사시도이다. 도 6은 실질적으로 서셉터를 둘러싸고 제 2 흐름 영역(1010)을 형성하는 일반적으로 U자 형상인 펌핑 채널(414)을 도시하고 있다. 반경 방향의 가스 구멍들(490)은 펌핑 판의 둘레 전체에 위치하고 펌핑 채널(414)과 연통된다. 펌핑 판(85)의 측벽에 있는 가스 구멍들(490)은 가스가 펌핑 채널(414)로 수평으로 흐르게 한다. 펌핑 채널(414)은, 펌핑 판(85)의 제 2 계단부(466)의 측면부가 생략됨에 따라 형성된 채널(414) 내에 있는 두 개의 커다란 개구를 통해 채널(4140)과 연통된다. 제 2 계단부(466)는 두 개의 플랜지부(도 7 참조)를 포함한다. 하나의 플랜지부는 입구(40)로부터 채널(414)을 격리하고, 제 2 플랜지부는 진공 펌프 아웃(31)이 차지하고 있는 채널(4140)의 영역으로부터 채널(414)을 분리한다. 이런 방식의 플랜지부 구성은, 채널(414)에서의 균일한 압력 유지를 돕는다.
펌핑 판(85)의 제 1 계단부(464)에 있는 가스 구멍들(490)은, 도 6에 도시된 바와 같이, 서로 거의 일정한 간격을 두고 이격되어 있다. 또 가스 구멍들은 보통 펌핑 판(85)의 제 1 계단부(464) 중심에 있다. 펌핑 판(85)의 측벽에 가스 구멍들(498)의 배치와, 제 1 흐름 영역(1000)과 제 2 흐름 영역(1010)의 양분에 의해, 제 1 흐름 영역(1000)과 제 2 흐름 영역(1010) 사이에서 일정한 압력차가 형성된다. 이런 특징은 챔버 내에서의 가스 흐름을 종래의 구성에 비해 균일하게 한다. 종래 기술에서, 펌핑 판은 펌핑 판을 따라 다양한 지점에서 각기 다른 압력차를 가짐으로써 불균일한 가스 흐름 영역을 초래하였다.
도 7 내지 도 12는 본 발명의 펌핑 판의 실시예의 각기 다른 도면을 도시하고 있다. 도 7은 사시도이고, 도 8은 측면도이고, 도 9는 평면도이며, 도 10은 배면도이다. 도 11 및 도 12는 특징부를 보다 명확하게 설명하기 위해 펌핑 판의 일부를 도시하고 있다.
펌핑 판(85)은 일반적인 환형 부재(460)를 포함한다. 일 실시예에서, 부재(460)는 알루미늄 합금 또는 바람직하게는 C275 알루미늄 합금과 같이 처리 작업에 견딜될 수 있는 금속을 포함하는 일체형 요소로서, 특정 반도체 처리 챔버에 맞추어지도록 적절하게 구현될 것이다. C275 알루미늄 합금은 미국 콜로라도에 소재하는 알코아 어드밴스드 테크노로지스 오브 이글우드(Alcoa Advanced Technologies of Englewood)로부터 구입할 수 있다. 부재(460)는 다른 부분과 함께 하나의 일체식 금속 요소로 구성되는 것이 바람직하지만, 펌핑 판(85)은 서로 연결되거나 결합된 요소들로 이루어질 수도 있다.
전술한 특정 구성에서, 부재(460)는 제 1 계단부(464), 제 2 계단부(466), 그리고 제 3 계단부(468)를 포함한다. 제 1 계단부(464)는 채널(414)을 규정하고 제 1 흐름 영역(1000)으로부터 제 2 흐름 영역을 분리하는 수직벽을 형성한다. 제 2 계단부(468)는 펌핑 채널(414)의 플로어 또는 측면부를 형성한다. 제 3 계단부(468)는 처리 챔버 내에서 소정의 위치에 펌핑 판(85)을 정렬하기 위해서 제공된다.
도 1 내지 도 3을 참조로 하여 설명된 8리터 처리 챔버에서, 제 1 계단부(468)의 두께는 대략 0.06 내지 0.10인치, 바람직하게는 0.06인치이다. 도 8 및 도 9를 참조하면, 제 2 계단부(466)의 내측 직경(ID10)은, 예를 들면 9.572인치이고 외측 직경(OD10)은 예를 들면 11.25인치이다. 제 2 계단부(466)의 내측 직경(ID10)은 서셉터(5)의 직경보다 약간 크며, 이에 따라 서셉터(5)는 펌핑 판(85)의 환형 부재(460)의 개구에 맞추어질 것이다. 일 실시예에서, 서셉터(5)와 제 2 계단부(466)는 대략 0.12 내지 0.18인치로 이격된다. 제 1 계단부(464)의 내경(ID20)은 10.4 인치이다. 제 1 계단부(464)의 외경(OD20)은 10.9인치이다. 도 11에 도시된 바와 같이, 이 실시예에서 펌핑 판(85)의 높이(488)는 1.20인치이다. 펌핑 판의 기저부(489)(제 3 계단부(468)의 기저부)와 제 1 계단부(464)를 통하여 연장되는 가스 구멍들(490)의 중앙부 사이의 간격은 0.728인치이다.
제 2 계단부(466)는 OD10으로부터 OD11로 연장하는 두 개의 플랜지부를 포함한다. OD11은 12.93인치 직경 범위에 있다. 각 플랜지부는 환형 부재(460)의 제 1 및 제 2 부분의 양 반경 사이에 형성된 원호와 비례하는 영역을 각각 가진다. 도 9는 55°의 원호에 비례하는 영역을 가지는 양분부(bi-section)를 갖춘 각각의 플랜지를 도시하고 있다.
제 2 계단부(466)의 플랜지부(즉 측면부)는 채널(414)과 제 2 흐름 영역(1010)의 기저부를 형성한다. 각각의 플랜지부 사이에는 채널(4140)과 진공 펌프 아웃(31)을 연통시키는 개구가 제공된다. 일 실시예에서, 플랜지부 사이의 영역은 70°의 원호와 비례한다.
도 10의 배면도에 도시된 바와 같이, 제 3 계단부는 내측 챔버부(41) 상에 펌핑 판(85)이 놓일 수 있는 장소를 형성한다. 실시예에서, 제 3 계단부(468)는 제 2 계단부(466)의 플랜지부 중 어느 하나의 영역과 유사한 영역에 일치하는 하나의 순부(lip portion)를 포함한다. 일 면에서, 이런 순부는 챔버내에서 펌핑 판(85)을 배향시키는 작용을 한다.
펌핑 판의 제 1 계단부, 제 2 계단부 및 제 3 계단부의 직경은, 천공된 페이스 판(25)의 직경, 펌핑 채널(414)과 챔버(90) 사이의 반경거리, 서셉터(5)의 높이(즉 채널(414)과 챔버(90) 사이의 거리), 그리고 서셉터(5)의 직경과 같은 개개의 증착 장치의 특징에 달려있다.
펌핑 판(85)은 제 1 계단부(464)의 측벽을 통과하는 다수의 가스 구멍들(490)을 포함하며, 이런 다수의 가스 구멍들은 채널(414)과 제 2 흐름 영역(1010)을 연통시킨다. 일 실시예에서, 48개의 가스 구멍들이 펌핑 판(85)에 위치한다. 특히 도 7 내지 도 8에 도시된 바와 같이, 가스 구멍들(490)을 통한 처리 가스의 균일한 배출을 촉진하도록 가스 구멍들(490)이 바디 부재(460)의 환형 개구(462) 둘레에 원주방향으로 이격되어 있다. 한 구성에서, 하나의 가스 구멍(490)은 또 다른 가스 구멍(490)으로부터 7.5°의 간격을 두고 이격되어 있다. 도 11은 펌핑 판(85)의 일부에 대한 확대된 측면도이다. 도 12는 하나의 가스 구멍의 확대된 평면도이다. 도 12에는 가스 구멍의 외경(496)이 가스 구멍의 유입구 및 유출구 모두에서 내경(494)보다 더 큰 오목 측벽을 가지는 가스 구멍들(490)이 도시된다. 오목 측벽은 비 균일 가스 흐름에 기여하는 가스의 난류 생성을 감소시키도록 매끄러운 것이 바람직하다. 또한 오목 형상의 가스 구멍들(490)은 모든 가스 구멍을 통하여 흐름의 증가된 균일성에 기여하는 각각의 특별한 가스 구멍을 통한 흐름이 제한되도록 한다. 도 11 내지 도 12에 도시된 바와 같이, 가스 구멍들(490)은 펌핑 판(85)을 통하여 거의 직선으로 연장된다. 일 실시예에서, 가스 구멍들(490)은 약 0.120 내지 0.130 인치의 범위 그리고 더욱 바람직하게는 0.122 내지 0.125 인치의 범위의 직경을 가진다.
처리 가스는 상대적으로 협소한 가스 분배구(20)(도 1 내지 도 3)를 통하여 처리 챔버로 유입된다. 처리 가스를 균일하게 분배하기 위하여, 본 발명의 처리 챔버는 블로커 판(24)과 페이스 판(25)을 구비한다. 도 13은 블로커 판(24)의 상부 평면도이다. 블로커 판(24)은 거의 원형이고 원주에 배치된 패스닝 구멍들을 통하여 챔버 리드(30)에 결합된다. 블로커 판(24)은 천공된 페이스 판(25)에 블로커 판(24)을 결합시키기 위한 관통 구멍들(23)을 가진다. 도 1 내지 도 3을 참조로 하여 설명된 8 리터 처리 챔버에 적절한 일 실시예에서, 블로커 판(24)은 0.010 내지 0.020인치 범위 그리고 바람직하게는 0.014 내지 0.016인치 범위의 직경을 가지는 거의 1,122개의 관통 구멍들(23)을 가진다. 본 실시예에서 관통 구멍들(23)은 일반적으로 원형 패턴으로 배열된다. 블로커 판(24)은 약 0.180 내지 약 0.190 인치 범위의 두께를 가진다. 블로커 판(24)의 두께는 0.185 인치인 것이 바람직하다. 블로커 판(24)은 그 블로커 판(24)의 영역 상에서 가스 분배구(20)를 통하여 상대적으로 협소한 스트림의 처리 가스를 분산시킴으로써 챔버 몸체(45)에서의 가스의 균일한 흐름을 발생시키는데 도움이 된다.
도 14 내지 도 15는 각각 페이스 판(25)의 평면도 및 측면도이다. 도 16은 페이스 판(25) 일부분의 단면도이다. 페이스 판(25)은 원형이다. 페이스 판(25)은 웨이퍼 상의 처리 가스의 균일한 분배를 도와주는 기능을 한다. 블로커 판(24)의 주변 영역에 이미 재분배된 처리 가스는 가스가 페이스 판(25)에 접촉될 때 추가로 제한된다. 일 실시예에서, 페이스 판(25)에 있는 관통 구멍들은 블로커 판(24)에 있는 관통 구멍들과 유사한 직경을 가진다.
페이스 판(25)은 거의 원형이며 원주방향으로 배열된 패스닝 구멍들(26)을 통하여 챔버 리드(30)에 결합된다. 페이스 판(25)의 중앙부분에 있는 관통 구멍들(27)은 페이스 판(25)을 통하여 연장된다. 상술한 8 리터 처리 챔버의 실시예에서, 천공된 페이스 판의 내경은 약 9.10 내지 9.30 인치 범위일 수 있으며 천공된 페이스 판(25)의 외경은 약 10.7 내지 10.10 인치의 범위일 수 있다. 페이스 판(25)은 2개의 계단부를 포함한다. 챔버에 페이스 판(25)을 결합하기 위한 외부(31)의 두께는 약 0.800 인치인 반면, 관통 구멍들(27)을 가지는 내부(32)는 약 0.400 인치이다.
일 실시예에서, 천공된 페이스 판(25)의 유입 측에 있는 관통 구멍들(27)은 처리 가스가 챔버의 반응 부분으로 유입되는 유출 측보다 더 큰 직경을 가진다. 그 한 가지 이유는 물질에 적절한 직경의 관통 구멍들을 형성하는데 따른, 예를 들어 드릴 비트를 손상시키는데 따른 기계적인 제약 때문이다. 도 16은 천공된 페이스 판(25)에 있는 관통 구멍들(27)의 유입구 및 유출구 사이의 직경 차이를 보여준다. 각각의 관통 구멍들에 대한 유입구(28A)(가스 유입구는 블로커 판(24)에 인접한 천공된 페이스 판(25)의 상부측에 위치한다)는 0.016 인치의 유출구 직경(28B)보다 더 큰 약 0.62 인치의 직경을 가진다. 가스 구멍의 깊이는 약 0.400 인치이다. 더 작은 직경 개구는 약 0.030인치의 길이(도면 부호 29에 의하여 표시)를 가진다.
지금부터 본 발명에 따라 반도체 웨이퍼를 처리하기 위한 방법이 설명된다. 웨이퍼는 로보트 웨이퍼 이송 시스템의 지지 블레이드(도시안됨)를 구비한 서셉터(5)의 상부면에 1차적으로 배치된다. 서셉터(5)가 유압 승강기와 같은 통상적인 수단을 경유하여 처리 챔버(45) 내의 상부 처리 위치로 상승되어, 웨이퍼가 판(85)의 중앙 개구 내에 위치한다. 이때 챔버(45)가 적절한 진공 압력으로 배기되는 동안, 웨이퍼와 서셉터가 적절하게 가열된다. SiH4 및 NH3와 같은 처리 가스는 매니폴드 헤드(미도시)의 챔버에 혼합되어 블로커 판(24)을 통하여 관통 유입구(20)로 유입되어, 천공된 페이스 판(25)을 경유하여 웨이퍼 상에 균일하게 분배된다. 특별한 처리에 따라, 처리 가스는 웨이퍼와 접촉하고 산화물 또는 질화물 막과 같은 막을 형성한다.
증착 처리 동안, 펌프(32)는 펌핑 채널(414) 내에 진공 압력을 발생시키도록 작동되어, 펌핑 판(85)의 가스 구멍들(490)을 통하여 처리 챔버(90)로부터 처리 가스 및/또는 플라즈마 잔류물을 인출한다. 또한, 질소와 같은 퍼지 가스(하부 퍼지 가스)는 입구(18)를 지나 서셉터와 펌핑 판(85) 사이의 갭을 통해 처리 챔버(90)를 향한다. 퍼지 가스는 챔버 하부로의 처리 가스 누설을 최소화한다. 나머지 가스 및 퍼지 가스는 펌핑 채널(414) 내로 그리고 가스 구멍들(490) 내로 균일하게 흐른다. 배출 가스는 진공 펌프 아웃(vacuum pump-out; 31)을 통하고 라인(33)을 통하여 배출된다.
펌핑 판(85)은 두 개의 흐름 영역으로 가스 흐름을 분리하는데, 두 개의 흐름 영역은 서셉터(5)(또는 서셉터(5) 상의 웨이퍼)의 표면으로 향하는 제 1 흐름 영역(1000) 및 채널(4140)과 연통하고 채널(414)에 있는 제 2 흐름 영역이다. 일 면에서, 본 발명은 제 1 흐름 영역에서 측정된 압력과 제 2 흐름 영역에서 측정된 압력 사이의 압력 차이가 챔버 주위의 모든 지점에서 유사하게 된다. 일관된 압력 차이는 웨이퍼상에 보다 균일한 막의 증착 및 챔버를 지나는 가스의 균일한 흐름에 기여한다. 100 Torr보다 더 큰 챔버 압력의 범위에서 작동함으로써, 처리는 100 Torr보다 작은 범위에서 작동하는 종래의 처리보다 웨이퍼에 걸친 증착 속도의 유연성(flexibility)이 크게 되고 온도 민감성이 낮아진다. 온도 민감성 감소로 종래기술의 처리에서보다 웨이퍼에 대해 막이 더욱 균일하게 증착된다.
도면을 참조하면, 저압 화학적 기상 증착(LPCVD) 챔버가 설명된다. 도 1 내지 도 3은 본 발명을 실행하기 위해 이용된 저항 반응기와 같은 하나의 타입의 반응기의 단면도이다. 도 1 내지 도 3은 각각 두 개의 상이한 단면을 통한 챔버의 단면도로서, 각각의 단면은 챔버의 약 1/2을 나타낸다.
도 1 내지 도 3에 도시된 LPCVD 챔버는 이러일 실시예에서 100 Torr 이상의 압력이 유지될 수 있는 물질로 이루어진다. 도시의 목적을 위해, 약 8 리터의 챔버가 설명된다. 도 1은 "웨이퍼-처리" 위치에 있는 처리 챔버 몸체(45)의 내부를 보여준다. 도 2는 "웨이퍼-분리" 위치에 있는 챔버의 내부를 보여준다. 도 3은 "웨이퍼-장착" 위치에 있는 챔버의 단면을 보여준다. 각각의 경우, 웨이퍼는 챔버에서의 위치를 나타내도록 점선으로 표시된다.
도 1 내지 도 3은 처리 가스 또는 가스들과 웨이퍼 사이의 반응(예를 들면, CVD 반응)이 발생되는 반응 챔버(90)를 형성하는 챔버 몸체(45)를 보여준다. 일 실시예에서, 챔버 몸체(45)는 알루미늄으로 이루어지고 챔버 몸체(45)(예를 들면, "냉각 벽" 반응 챔버)를 냉각시키기 위하여 펌핑되는 용수를 위한 통로(55)를 가진다. 챔버(90)에 제공되는 저항성 히터(80)는 샤프트(65)에 의하여 지지된 서셉터(5)를 포함한다다. 서셉터(5)는 반도체 웨이퍼(점선으로 도시됨)와 같은 기판을 지지하기에 충분한 표면 영역을 가진다.
처리 가스는 챔버 몸체(45)의 챔버 리드(30)의 상부면에 있는 가스 분배구(20)를 통하여 다른 밀봉 챔버(90)로 유입된다. 처리 가스는 블로커 판(24)을 통과하여 웨이퍼의 표면 영역과 일치하는 영역 부근에 가스를 분배한다. 그 후, 처리 가스는 저항성 히터(80) 상에 위치된 천공형 페이스 판(25)을 통하여 분배되고 챔버(90) 내부의 챔버 리드(30)에 결합된다. 일 실시예에서의 페이스 판(25)과 블로커 판(24)의 조합 목적은 예를 들면 웨이퍼와 같은 기판에서 처리 가스의 균일한 분배를 생성하는 것이다.
웨이퍼와 같은 기판은 챔버 몸체(45)의 측부에 있는 입구(40)를 통하여 히터(80)의 서셉터(5) 상의 챔버(90)에 배치된다. 처리를 위해 웨이퍼를 수용하기 위하여, 히터(80)는 하강되어 서셉터(5)의 표면이 도 3에 도시된 바와 같이 입구(40) 아래가 된다. 통상적으로, 로봇 이송 메카니즘에 의하여 웨이퍼는 예를 들면 서셉터(5)의 상부면 상으로 챔버(90) 내로 이송 블레이드에 의하여 적재된다. 적재되면, 입구(40)는 밀봉되고 히터(80)는 승강기 어셈블리(60) 즉 예를 들면, 스텝 모터에 의하여 페이스 판(25)을 향한 상위(예를 들면 상방) 방향으로 진행한다. 웨이퍼가 페이스 판(25)(도 1 참조)으로부터 짧은 거리(예를 들면 400 내지 700mils)에 있을 때 진행이 멈춰진다. 웨이퍼 처리 위치에서, 챔버(90)는 효과적으로 두 개의 영역으로 나누어지는데, 즉 서셉터(5) 상부면 상의 제 1 영역과 서셉터(5) 내부면 아래의 제 2 영역으로 나뉜다. 일반적으로 제 1 영역으로 막 형성을 한정하는 것이 바람직하다.
이러한 포인트에서, 가스 패널에 의해 제어되는 처리 가스는 가스 분배구(20), 블로커 판(24) 및 천공 페이스 판(25)을 통해 챔버(90)로 흐른다. 처리 가스는 통상 웨이퍼와 반응 또는 접촉하여 웨이퍼 상에 박막을 형성한다. 동시에, 질소와 같은 불활성 하부 퍼지 가스는 상기 영역에서 막 형성이 방지되도록 제 2 챔버 영역으로 도입된다. 압력 제어 시스템에서, 챔버(90)에서의 압력은 챔버(90)에 결합되는 압력 조절기 또는 조절기들에 의하여 설정 및 유지된다. 일 실시예에서, 예를 들면 압력은 본 기술분야에 알려진 바와 같은 챔버 몸체(45)에 결합되는 바레톤 압력 조절기(들)(baretone pressure regulator(s))에 의하여 설정 및 유지된다. 이러일 실시예에서, 바레톤 압력 조절기(들)는 100 Torr 이상의 레벨에서 압력을 유지한다. 적절한 중간 레벨 압력 범위는 약 100 내지 300 Torr이다.
잔류 처리 가스는 펌핑 판(85)을 통하여 챔버(90)로부터 챔버 몸체(45)(진공 펌프아웃(31))의 측부에서 수집 용기로 펌핑된다. 펌핑 판(85)은 2개의 영역을 형성하고, 이는 기판 상에 균일한 Si3N4 층을 생성하는 가스 흐름 패턴을 야기시킨다.
장치(2)의 외부에 배치된 펌프(32)는 진공 펌프 아웃(31)을 통하여 챔버(90)로부터 처리 및 퍼지 가스 모두를 인출하도록 펌핑 채널(4140)(도 1 내지 도 3에서 채널(414) 아래) 내에 진공 압력을 제공한다. 가스는 배출 도관(33)을 따라 챔버(90)로부터 배출된다. 채널(4140)을 통해 배출된 가스의 유량은 도관(33)을 따라 배치된 스로틀 밸브(34)에 의하여 제어되는 것이 바람직하다. 처리 챔버(90) 내의 압력은 센서(미도시)로 모니터링되며 스로틀 밸브(34)로 도관(33)의 단면 영역을 변화시킴으로써 제어된다. 바람직하게 제어기 또는 프로세서는 챔버 압력을 표시하고 챔버(90)내에 원하는 압력을 유지하여 스로틀 밸브(34)를 조절하는 센서들로부터 신호들을 수신한다. 본 발명의 이용에 적절한 스로틀 밸브는 머도크(Murdoch)에게 부여되고 어플라이드 머티어리얼스사에 양도된 미국 특허 제 5,000,255호에서 개시되며, 상기 문헌은 본 발명에서 참조된다.
웨이퍼 처리가 완료되면, 챔버(90)는 예를 들면, 질소와 같은 불활성 가스로 퍼징될 수 있다. 처리 및 퍼징 후, 히터(80)는 도 2에 도시된 위치로 승강기 어셈블리(60)에 의하여 하위 위치(예를 들면, 하강되는)로 진행된다. 히터(80)가 이동할 때, 서셉터(5)의 표면에 있는 관통 구멍 또는 관통 보어를 통하여 연장되는 단부 및 서셉터(5)의 하위 (예를 들면, 하강) 표면으로부터 외팔보 형식으로 연장되는 제 2 단부를 가지는 리프트 핀(95)이 챔버(90)의 베이스에 배치되는 리프트 판(75)과 접촉한다. 도 2에 도시된 바와 같이, 일 실시예에서, 이러한 포인트에서 리프트 판(75)은 웨이퍼 처리 위치(즉 판이 도 1에 있는 동일한 위치)에 남게 된다. 승강기 어셈블리(60)의 작용에 의해 히터(80)가 아래 방향으로 움직일 때, 리프트 핀(95)은 정지 상태에 있기 때문에 궁극적으로 서셉터(5)의 윗쪽 또는 상단면 위로 연장되어 처리된 웨이퍼를 서셉터(5)의 표면으로부터 분리시킨다. 서셉터(5)의 표면은 개구(40) 아래의 위치로 이동된다.
처리된 웨이퍼가 서셉터(5)의 표면으로부터 분리되면, 리프트 핀(95)의 헤드 및 리프트 핀에 의해 지지된 웨이퍼 아래로 개구(40)를 통해 로봇 기구의 이송 블레이드(41)가 삽입된다. 다음, 어셈블리(60)가 히터(80)와 리프트 판(75)을 "웨이퍼 적재" 위치를 향해 아래로 이동(즉 하강)시킨다. 리프트 판(75)을 아래로 이동시킴으로써, 처리된 웨이퍼 표면이 이송 블레이드와 접촉될 때까지 리프트 핀(95)도 아래로 이동된다. 그 다음, 예를 들어 웨이퍼를 제거하여 다음 처리 단계로 전달하는 로봇 이송 기구에 의해, 처리된 웨이퍼가 입구(40)를 통해 제거된다. 그 다음에 제 2 웨이퍼가 챔버(90)로 적재될 수 있다. 전술된 단계는 일반적으로 웨이퍼를 처리 위치로 가져오기 위해 역으로 실행될 수 있다. 승강기 어셈블리(60)의 한가지 적절한 예가 캘리포니아 산타 클라라에 소재한 어플라이드 머티어리얼스사에 양도된 미국특허 제 5,772,773호에 개시되어 있다.
Si3N4 막을 형성하기 위한 LPCVD 공정과 같은 고온 공정에서, 챔버(90) 내부의 반응 온도는 750℃ 또는 그 이상일 수 있다. 따라서 챔버(90) 내에 노출되어 있는 부품들은 이와 같은 고온 공정을 견딜 수 있어야 한다. 또한 이러한 구성요소의 물질는 처리 가스나, 챔버(90) 내로 주입될 수 있는 세척 화학물(예를 들어 NF3) 등의 다른 여러 화학물질을 견딜 수도 있어야 한다. 히터(80)의 노출된 표면은 공정을 견딜 수 있도록 제공되는 다양한 재료를 포함할 수 있다. 예를 들어 서셉터(5) 및 히터(80)의 샤프트(65)는 유사한 알루미늄 질화물 물질로 이루어질 수 있다. 이와 달리, 서셉터(5)의 표면은 높은 열전도성을 갖는 알루미늄 질화물 물질(열전도성이 140 W/mK 내지 200 W/mK인 95% 순도의 물질)로 구성되고, 샤프트(65)는 보다 낮은 열전도성의 알루미늄 질화물으로 구성될 수 있다. 히터(80)의 서셉터(5)는 통상적으로 확산 접합 또는 브레이징 등 챔버(90)의 환경에 비슷하게 견딜 수 있는 결합에 의해 샤프트(65)에 접합된다.
도 1은 또한 히터(80)의 일부 단면을 나타내고 있는데, 여기에는 서셉터(5) 바디의 단면 및 샤프트(65)의 단면이 포함된다. 여기서, 도 1은 두 개의 가열부재 즉, 제 1 가열부재(50) 및 제 2 가열부재(57)가 내부에 형성된 서셉터(5)를 나타내고 있다. 각각의 가열부재(예컨대, 가열부재(50) 및 가열부재(57))는 서셉터의 물질와 유사한 열팽창 특성을 갖는 물질로 이루어진다. 적절한 물질로는 몰리브덴(Mo)이 포함된다. 각각의 가열부재는 코일 형태의 얇은 몰리브덴 물질층을 포함한다.
도 1에서, 제 1 가열부재(50)의 아래(도면 상의 서셉터 표면을 기준으로)에 위치한 서셉터(5) 바디의 평면 내에 제 2 가열부재(57)가 형성된다. 제 1 가열부재(50) 및 제 2 가열부재(57)는 별개로 전력단자에 연결되어 있다. 전력단자는 아래 방향으로 뻗어 있고 전도성 리드가 샤프트(65)를 통한 개구로 길이방향으로 연장되어 서셉터(5)의 표면을 가열하기 위해 필요한 에너지를 공급하는 전력원에 이른다. 두 개의 고온계, 제 1 고온계(10) 및 제 2 고온계(15)가 챔버 리드내의 개구를 통해 연장된다. 각각의 고온계는 서셉터(5)의 표면(또는 서셉터(5) 상의 웨이퍼의 표면)에서의 온도에 관한 데이터를 제공한다. 도 1에 도시된 히터(80)의 단면에 열전쌍(70)이 존재한다. 열전쌍(70)은 샤프트(65)를 통해 종방향으로 연장되는 개구를 통해 연장되어 서셉터(5)의 윗면 또는 상단면 바로 아래 지점에 이른다.
웨이퍼 상에 Si3N4를 형성하기 위한 본 발명의 일 실시예에서 따르면, 가스들은 캐리어 가스(200), 질소 공급원 가스(220), 및 실리콘 공급원 가스(210)를 포함한다. 적절한 캐리어 가스 공급원으로는, 수소(H2), 질소(N2), 아르곤(Ar) 및 헬륨(He)이 포함되나 이들에 제한되지 않는다. 적절한 질소 공급원 가스로는, 암모니아(NH3)가 포함되나 이에 제한되지 않는다. 적절한 실리콘 공급원 가스로는, 실란(silane), 디클로로실란, 및 디실렌이 포함되나 이에 제한되지 않는다. 질소 공급원 가스 및 실리콘 공급원 가스는 혼합되어 웨이퍼 상에 Si3N4를 생성한다.
사용시, 실리콘 공급원 가스(210)는 처리 챔버(90) 내로 주입되기 전이나 주입되는 동안에 캐리어 가스(200)와 혼합될 수 있다. 그 다음, 캐리어 가스와 실리콘 공급원 가스의 혼합물이 챔버(90)의 가스 입구(20)로 주입된다. 또한 질소 공급원 가스(220)가 가스 입구(20)로 주입되어 캐리어 가스 및 실리콘 공급원 가스의 혼합물과 혼합될 수 있게 된다. 처리 가스는 블로커 판(24) 내의 다수의 구멍들을 통과한 다음 페이스 판(25) 내의 다수의 구멍들을 통과한다. 그런 다음 이 가스들은 챔버(90) 내로 흘러서 가스들이 웨이퍼에 노출된다. 그후, 처리 가스가 펌핑 판(85)을 통해 빠져나가서 펌핑 채널(414)로 들어간다.
가스의 유량은 반도체 처리 챔버(90)의 크기에 의존한다. 일 실시예에서, 가스의 총 유량은 처리 챔버의 총 유효 부피가 1 내지 9리터인 것을 기초로 할 때 분당 5 내지 15리터 범위에 이른다. 챔버에 대한 적어도 하나의 가스 또는 전체 가스 유량의 비는 챔버 체적의 0.50 내지 8 리터/분/리터이다.
웨이퍼가 가스 혼합물에 노출되면 열적 화학적 기상 증착 원리에 따라 웨이퍼 상에 실리콘 질화물(Si3N4)층이 증착된다. 상승된 온도에서 웨이퍼에 가스가 노출되면 실리콘 공급원 가스 및 질소 공급원 가스의 분자가 작은 분자로 해리된다. 그 다음, 보다 작은 분자들은 서로 결합된다. 이와 같은 과정에서 발생하는 일반적인 화학 반응을 아래에 나타내었다. 실란은 대체로 다음의 화학식에 따라 암모니아와 반응한다.
3SiH4 + 4NH3 -> Si3N4 + 12H2
대체로, 챔버(90) 및 웨이퍼와 서셉터의 온도가 높을수록, 실리콘 질화물층이 빨리 형성될 것이다.
일 실시예에서, 웨이퍼가 600℃ 내지 800℃의 온도로 가열되는 동안 SiH4, NH3, 및 N2가 100sccm의 SiH4, 5 slm의 NH3, 및 10 slm의 N2로 주입된다. 증착 동안, 챔버 내의 압력은 100 내지 500 Torr 사이에서 유지된다. 적절한 중간 레벨 압력 범위는 100 Torr 내지 350 Torr 이다. 일 실시예에서, 실란의 분압은 대략 0.05 내지 5 Torr이고 암모니아는 챔버 내에서 300 Torr 이하의 작은 부분압을 갖는다. 그러나, 사용되는 특정 가스에 따라, 실리콘 및 질소 공급원 가스에 대해 다른 부분압이 이용될 수 있다.
다른 실시예에서, 가스가 다음과 같은 비율로 사용될 수 있다: SiH4: 70sccm, NH3: 2slm, 및 N2: 8slm. 또 다른 실시예에서, 가스가 다음의 비율로 사용될 수 있다: 디클로로실란(SiH2Cl2): 230sccm, NH3: 1,000sccm, 및 H2: 9,000sccm. 만일 N2가 캐리어 가스로 사용되면, 600℃의 저온에서 분당 약 50 내지 5,000 Å의 증착 속도가 달성될 수 있다. 전술일 실시예는 웨이퍼 상에 Si3N4 막을 형성하기 위해 반응 챔버 내의 조건을 조절하는 것을 기술하였다. 이러한 제어는 수동으로 혹은 시스템 제어기를 이용하여 수행될 수 있음을 알 것이다. 앞선 예에서, 작업자는 온도를 조절하기 위해 히터로 공급되는 전력을, 그리고 압력을 조절하기 위해 진공 공급원을 모니터링하고 조절할 수 있다. 또한 작업자는 가스 혼합물과 가스 유량을 조절하기 위해 각각의 가스와 연계된 밸브를 수동으로 조작할 수 있다.
또한 시스템 제어기는 시스템 제어와 연계된 제어 작업을 처리하기 위해 채용될 수 있다. 도 1은 시스템 제어기 또는 프로세서가 전력 공급원 및 가스 매니폴드에 결합되어 있는 것을 도시한다. 제어기는 온도 지시계에 의해 측정된 온도를 기록하고, 예컨대, 온도차의 상대값을 판단하여 이로써 가열부재를 조절하는 알고리즘에 기초하여 가열부재에 공급되는 전력을 제어하도록 구성될 수 있다. 제어기는 가스의 혼합물 및 처리 챔버로의 가스 흐름도 제어하도록 구성될 수 있다. LPCVD 반응 처리에서, 제어기는 챔버 내의 압력을 조절하기 위해 챔버 뿐 아니라 진공 공급원 내의 압력을 측정하는 압력 지시계에 연결될 수 있다.
시스템 제어기에는 제어 신호 생성 로직이 공급된다. 또한 이 제어기는 작업자로 하여금 원하는 반응 온도, 지시계 간의 온도차의 허용가능 공차(예, ±3℃), 반응 압력, 및 처리 챔버로의 가스의 흐름 등과 같은 반응 파라미터들을 입력할 수 있도록 하는 사용자 인터페이스에 연결될 수도 있다.
제어 신호 생성 로직은, 예를들어, 제어기의 메모리와 같이 컴퓨터 판독 가능 매체 내에 저장된 컴퓨터 프로그램인 소프트웨어 명령 로직의 형태로 시스템 제어기에 공급된다. 컴퓨터 프로그램은 타이밍, 가스의 혼합물, 챔버 압력, 챔버 온도, 및 특정 처리의 여러 파라미터들을 지시하는 명령어 세트를 포함한다. 플로피 디스크를 포함하는, 그러나 이에 제한되지 않는, 다른 메모리 장치에 저장된 형태와 같은 다른 컴퓨터 프로그램도 시스템 제어기를 작동하는데 사용될 수 있다.
이 컴퓨터 프로그램 코드는 예를 들어 68000 어셈블리 언어, C, C++, 파스칼, 포트란 또는 다른 언어 등의 컴퓨터-판독가능 프로그래밍 언어로 작성될 수 있다. 대체로 텍스트 에디터를 이용하여 적절한 프로그램 코드가 하나 또는 다수의 파일로 입력된다. 입력된 코드 텍스트가 고급 언어인 경우, 코드가 컴파일되고, 그후 결과 컴파일러 코드가 목적 코드 또는 프리컴파일된 목적 코드와 링크되고, 시스템이 그 목적 코드를 불러와서 컴퓨터로 하여금 메모리에 이것을 로딩하게 하고, 이로부터 중앙 처리 유닛이 이 코드를 읽고 실행하여 프로그램 내에 식별되어 있는 임무를 수행한다.
본 발명의 일면에서, 처리/반응 가스 분배의 균일성을 향상시키는 장치 및 방법이 기술된다. 전술한 바와 같이, 실리콘 공급원 가스 및 질소 공급원 가스와 같은 처리 가스가 (캐리어 가스와 함께) 가스 분배구(20)를 통해 챔버(90)로 주입된다. 처리 가스는 블로커 판(24) 및 페이스 판(25)를 통해 흐르고, 이들은 서셉터(5) 표면 상의 웨이퍼의 표면 위로 샤워-헤드 형태의 처리 가스의 케스케이드(cascade)를 형성한다. 가스가 챔버(90) 내로 주입될 때 처리 도중 예정된 압력이 유지될 수 있도록 가스가 제거된다. 도 1-3에 도시된 챔버의 구성에 있어서, 챔버의 측면으로부터 가스가 제거, 예컨대 일측면 지정(one side designated) 진공 펌프 아웃(31)에서 펌핑 아웃된다. 종래 기술의 시스템에서, 챔버의 한 측면으로부터 가스의 비대칭 제거는 챔버 내부에 압력차를 발생시켰다; 예를 들어 챔버 내에서 챔버 펌프 아웃 근방의 지점에서 측정된 압력이 펌프 아웃에서 떨어진 지점에서 측정된 압력과 달랐다(예컨대, 작았다). 이러한 압력차는 웨이퍼상의 막 증착의 비균일성의 원인이 되었다.
본 발명의 일 실시예에서, 챔버에 가스의 흐름을 유도하기 위해 펌핑 판이 제공된다. 본 발명의 펌핑 판은 두 개의 가스 흐름 영역을 형성한다: 즉, 서셉터(5)의 표면 상의 웨이퍼에서 유도된 처리 가스의 제 1 흐름 영역 및 주로 챔버로부터 방출될 가스의 펌핑 판에 관한 반경 방향 채널에 의해 형성된 제 2 흐름 채널. 이같은 두 개의 영역을 형성함으로써, 챔버내에 보다 균일한 압력이 유지될 수 있다. 본 발명은 두 개의 흐름 영역 간의 정적 압력차가 챔버 전체에 형성되어 웨이퍼에 대한 막의 보다 균일한 증착에 기여할 수 있다는 점을 주시하였다.
도 4 내지 10을 참고로 하여, 챔버에서 처리 가스의 균일한 흐름을 조정하는데 이용되는 본 발명의 부품들에 관하여 도 1 내지 3에 도시된 저항식 가열된 처리 챔버에서의 이들의 사용과 관련하여 이하에서 보다 상세히 설명될 것이다. 도 4는 처리 챔버의 일부의 개략적 단면의 측면도를 나타낸다. 도 4는 두 개의 가스 흐름 영역을 나타내기 위해 단일 단면을 통한 위치에서 챔버의 일부를 나타내었다. 상기 단면은 펌핑 판(85)에 관한 서셉터(5)의 위치를 나타내기 위해 챔버의 중심축을 통과하고 있다. 웨이퍼 처리 위치에서, 서셉터(5)의 일부가 펌핑 판(85)의 환형 개구 내부에 장착된다(이 단면에서 서셉터(5)를 둘러싼 펌핑 판(85)의 일부가 잘려나갔다).
도 4의 도시로부터 알 수 있듯이, 펌핑 판(85)은 챔버벽(45)의 내측 챔버버(41) 상에 놓인다. 펌핑 판(85)의 저면 및 내측 챔버버(41)은 챔버 둘레로 원주방향으로 연장되는 채널(4140)을 한정한다. 웨이퍼의 적재 및 제거를 위해 입구(40)가 유사한 챔버 영역의 일부분을 이용하기 때문에, 채널(4140)은 챔버의 주위 전체로 연장하지 않는다. 일 실시예에서, 채널(4140)은 챔버 주위로 대략 270°까지 연장한다. 진공 펌프-아웃(31)은 챔버로부터 가스를 방출하도록 채널(4140)과 연결되어 있다.
도 4에 도시된 바와 같이, 펌핑 판(85)은 페이스 판(25)에 대해 종방향 또는 수직 벽의 원주방향 에지를 형성하는 수직 환형 제 1 계단부(464)를 (이 도면에서)포함한다. 제 2 계단부(466)는 원주방향 에지로부터 돌출된 가로 부분을 포함한다. 제 1 계단부(464) 및 제 2 계단부(466)는 함께 페이스 판(25), 챔버 벽(40) 및 펌핑 판(85) 사이에 채널(414)을 형성한다. 수직벽은 처리 가스가 (서셉터(5)의 웨이퍼 포켓(6) 내에 안착될) 웨이퍼로 유도되는 제 1 흐름 영역(1000)과, 가스가 챔버로부터 방출되는 제 2 흐름 영역(1010)을 분리시킨다. 제 1 흐름 영역(1000)으로부터의 가스는 펌핑 판(85)의 제 1 부분(464) 둘레로 연장되게 원주방향으로 위치된 구멍들(가스 구멍들(490))을 통해 제 2 흐름 영역(1010)으로 들어간다. 제 2 흐름 영역(1010)에서 가스의 흐름은 반경 방향이고 제 2 흐름 영역(1010)은 챔버로부터 가스를 제거하기 위해 채널(4140)과 연통된다.
도 5 및 6은 챔버를 통한 처리 가스의 일반적인 흐름 방향을 개략적으로 나타낸 것이다. 도 5는 서셉터(5)의 일부를 둘러싼 펌핑 판(85)을 나타내는 챔버의 단면도이다. 도 5에서, 처리 가스는 챔버의 가스 입구를 통해 유입되어 블로킹 판(24)과 페이스 판(25)을 통과하는 것으로 도시되어 있다. 제 1 흐름 영역(1000)에서(도 6 참조), 처리 가스는 서셉터(5)의 표면에 도달하여 서셉터(5)의 포켓(6) 내에 있는 웨이퍼와 반응하며 예컨대 Si3N4 또는 다른 원하는 물질(예를 들어, SiO2, 다결정 실리콘 등)의 막을 형성한다. 잔류 처리 가스 및 하부 퍼지 가스(1030)(도 4 참조)는 챔버로부터 방출되도록 제 2 흐름 영역(1010)으로 유도된다. 가스는 펌핑 판(85)의 둘레에 원주방향으로 이격된 가스 구멍들(490)을 통해 제 2 흐름 영역(1010)으로 유입된다. 본 실시예에서, 가스 구멍들(490)은 처리 중에 서셉터(5) 상의 웨이퍼 상부면 위쪽에 위치된다. 통상, 가스 구멍들(490)은 히터가 "웨이퍼 처리"위치에 있을 때 적어도 웨이퍼와 같은 높이 또는 웨이퍼보다 높은 곳에 위치된다.
또한 도 6은 펌핑 판(85)으로 유입되고 배출되는 가스의 흐름 과정을 보여준다. 도 6은 저항성 히터, 챔버 리드, 블로킹 판 그리고 페이스 판이 없는 상태에서의 상부 사시도이다. 도 6은 실질적으로 서셉터를 둘러싸며 제 2 흐름 영역(1010)을 형성하는, 전체적으로 U자형인 펌핑 채널(414)을 보여준다. 방사상으로 배향된 가스 구멍들(490)은 펌핑 판의 주변부 전체 주위에 위치되며 펌핑 채널(414)과 연통된다. 펌핑 판(85)의 측벽부 내에 있는 가스 구멍들(490)은 가스가 펌핑 채널(414)로 수평으로 흐를 수 있게 한다. 펌핑 채널(414)은 채널(414) 내의 두 개의 대형 개구부를 통해 채널(4140)과 연통되고, 개구부는 펌핑 판(85)의 제 2 계단부(466)의 측면의 결손 영역으로써 형성된다. 제 2 계단부(466)는 두 개의 플랜지부(도 7)에 포함된다. 하나의 플랜지부는 채널(414)을 입구(40)로부터 격리하는 반면 두 번째 플랜지부는 채널(414)을 진공 펌프 아웃(31)이 차지한 채널(4140)의 영역으로부터 분리한다. 이러한 방식으로 플랜지를 구성하면 채널(414) 내의 압력을 균일하게 유지하는데 도움이 된다.
펌핑 판(85)의 제 1 계단부(464) 내에 있는 가스 구멍들(490)은 도 6에서 실질적으로 서로 균일하게 이격되게 도시되었다. 또 가스 구멍은 펌핑 판(85)의 제 1 계단부(464)에서 전체적으로 중앙에 있다. 펌핑 판(85)의 측벽부에서의 가스 구멍들(490)의 배치와 제 1 흐름 영역(1000) 및 제 2 흐름 영역(1010)의 분할은 제 1 흐름 영역(1000)과 제 2 흐름 영역(1010) 사이에서 동일한 압력차를 형성한다. 이러한 특징은 챔버 내의 가스 흐름을 종전의 구성에서보다 좀더 균일하게 한다. 종래의 기술에서는, 펌핑 판은 비균일한 가스 흐름 지역을 발생시키는 펌핑 판을 따른 다양한 지점에서 상이한 압력차를 갖는다.
도 7 내지 도 12는 본 발명의 펌핑 판의 실시예를 다른 각도에서 도시하거나 또는 일부를 도시한 것이다. 도 7은 상부 사시도이고, 도 8은 측면도이고, 도 9는 상측 평면도이고, 도 10은 저면도이다. 도 11와 도 12는 펌핑 판의 일부를 좀더 명확하게 임의의 특징으로 설명하도록 도시된다.
펌핑 판(85)은 일반적인 환형 부재(460)를 포함한다. 일 실시예에서, 부재(460)는 알루미늄 합금 또는 바람직하게는 C275 알루미늄 합금과 같은 가공 처리 금속을 포함하는 일체형이고, 특정한 반도체 처리 챔버 내에 끼워지도록 적절하게 형성된다. C275 알루미늄 합금은 미국 콜로라도에 소재하는 알코아 어드밴스드 테크노로지스 오브 이글우드(Alcoa Advanced Technologies of Englewood)로부터 구입할 수 있다. 부재(460)는 다른 부분과 함께 하나의 일체식 금속 부품으로 구성되는 것이 바람직하지만, 펌핑 판(85)은 서로 연결되거나 결합된 부품들을 포함할 수 있다.
전술한 특정 구성에서, 부재(460)는 제 1 계단부(464), 제 2 계단부(466), 그리고 제 3 계단부(468)를 포함한다. 제 1 계단부(464)는 채널(414)을 형성하고 제 1 흐름 영역(1000)으로부터 제 2 흐름 영역을 분리하는 수직벽을 한정한다. 제 2 계단부(468)는 펌핑 채널(414)의 플로어 또는 측면부를 한정한다. 제 3 계단부(468)는 처리 챔버 내에서 소정의 위치에 펌핑 판(85)을 정렬하기 위해서 제공된다.
도 1 내지 도 3을 참조로 하여 설명된 8 리터 처리 챔버에서, 제 1 계단부(468)의 두께는 대략 0.06 내지 0.10인치, 바람직하게는 0.06인치이다. 도 8 및 도 9를 참조하면, 제 2 계단부(466)의 내측 직경(ID10)은, 예를 들면 9.572인치이고 외측 직경(OD10)은 예를 들면 11.25인치이다. 제 2 계단부(466)의 내측 직경(ID10)은 서셉터(5)의 직경보다 약간 크며, 이에 따라 서셉터(5)는 펌핑 판(85)의 환형 부재(460)의 개구에서 것이다. 일 실시예에서, 서셉터(5)와 제 2 계단부(466) 사이의 간격은 대략 0.12 내지 0.18 인치이다. 제 1 계단부(464)의 내경(ID20)은 10.4 인치이다. 제 1 계단부(464)의 외경(OD20)은 10.9인치이다. 도 11에 도시된 바와 같이, 이 실시예에서 펌핑 판(85)의 높이(488)는 1.20인치이다. 펌핑 판의 기저부(489)(제 3 계단부(468)의 기저부)와 제 1 계단부(464)를 통하여 연장되는 가스 구멍들(490)의 중앙부 사이의 간격은 0.728인치이다.
제 2 계단부(466)는 OD10으로부터 OD11로 연장하는 두 개의 플랜지부를 포함한다. OD11은 직경 12.93인치의 범위에 있다. 각각의 플랜지부는 환형 부재(460)의 제 1 및 제 2 부분의 양 반경 사이에 형성된 원호와 비례하는 영역을 각각 가진다. 도 9는 55°의 원호에 비례하는 영역을 가지는 양분부(bi-section)를 갖춘 각각의 플랜지를 도시하고 있다. 제 2 계단부(466)의 플랜지부(즉 측면부)는 채널(414)과 제 2 흐름 영역(1010)의 기저부를 형성한다. 각각의 플랜지부 사이에는 채널(4140)과 진공 펌프 아웃(31)에 대한 개구가 제공된다. 일 실시예에서, 플랜지부 사이의 영역은 70°의 원호와 비례한다.
도 10의 배면도에 도시된 바와 같이, 제 3 계단부는 내측 챔버부(41) 상에 펌핑 판(85)이 놓일 수 있는 장소를 형성한다. 실시예에서, 제 3 계단부(468)는 제 2 계단부(466)의 플랜지부 중 어느 하나의 영역과 유사한 영역에 일치하는 하나의 단일의 순부(lip portion)를 포함한다. 일면에서, 이런 순부는 챔버내에서 펌핑 판(85)을 배향시키는 작용을 한다.
펌핑 판의 제 1 계단부, 제 2 계단부 및 제 3 계단부의 직경은, 천공된 페이스 판(25)의 직경, 펌핑 채널(414)과 챔버(90) 사이의 반경거리, 서셉터(5)의 높이(즉 채널(414)과 챔버(90) 사이의 거리), 그리고 서셉터(5)의 직경과 같은 개개의 증착 장치의 특성에 따라 좌우된다.
펌핑 판(85)은 제 1 계단부(464)의 측벽을 통과하는 다수의 가스 구멍들(490)을 포함하며, 이런 다수의 가스 구멍들은 채널(414)과 제 2 흐름 영역(1010)을 연통시킨다. 일 실시예에서, 48개의 가스 구멍들이 펌핑 판(85)에 위치한다. 특히 도 7 내지 도 8에 도시된 바와 같이, 가스 구멍들(490)을 통한 처리 가스의 균일한 배출을 촉진하도록 가스 구멍들(490)이 바디 부재(460)의 환형 개구(462) 둘레에 원주방향으로 이격되어 있다. 한 구성에서, 하나의 가스 구멍들(490)은 또 다른 가스 구멍들(490)로부터 7.5°의 간격으로 이격되어 있다. 도 11은 펌핑 판(85)의 일부에 대한 확대된 측면도이다. 도 12는 하나의 가스 구멍의 확대된 평면도이다. 도 12에는 가스 구멍의 외경(496)이 가스 구멍의 유입구 및 유출구 모두에서 내경(494)보다 더 큰 오목 측벽을 가지는 가스 구멍들(490)이 도시된다. 오목 측벽은 비 균일 가스 흐름에 기여하는 가스의 난류 생성을 감소시키도록 매끄러운 것이 바람직하다. 또한 오목 형상의 가스 구멍들(490)은 모든 가스 구멍을 통하여 흐름의 증가된 균일성에 기여하는 각각의 특별한 가스 구멍을 통한 흐름이 제한되도록 한다. 도 11 내지 도 12에 도시된 바와 같이, 가스 구멍들(490)은 펌핑 판(85)을 통하여 거의 직선으로 연장된다. 일 실시예에서, 가스 구멍들(490)은 약 0.120 내지 0.130 인치의 범위 그리고 더욱 바람직하게는 0.122 내지 0.125 인치의 범위의 직경을 가진다.
처리 가스는 상대적으로 협소한 가스 분배구(20)(도 1 내지 도 3)를 통하여 처리 챔버로 유입된다. 처리 가스를 균일하게 분배하기 위하여, 본 발명의 처리 챔버는 블로커 판(24)과 페이스 판(25)을 구비한다. 도 13은 블로커 판(24)의 상부 평면도이다. 블로커 판(24)은 거의 원형이고 원주방향으로 배열되는 패스닝 구멍들을 통하여 챔버 리드(30)에 결합된다. 블로커 판(24)은 천공된 페이스 판(25)에의 결합을 위한 관통 구멍들(23)을 가진다. 도 1 내지 도 3을 참조로 하여 설명된 8 리터 처리 챔버에 적절한 일 실시예에서, 블로커 판(24)은 0.010 내지 0.020인치 범위 그리고 바람직하게는 0.014 내지 0.016인치 범위의 직경을 가지는 거의 1,122개의 관통 구멍들(23)을 가진다. 블로커 판(24)은 약 0.180 내지 약 0.190 인치 범위의 두께를 가진다. 블로커 판(24)의 두께는 0.185 인치인 것이 바람직하다. 블로커 판(24)은 그 블로커 판(24)의 영역 상에서 가스 분배구(20)를 통하여 상대적으로 협소한 스트림의 처리 가스를 퍼트림으로써 챔버 몸체(45)에서의 가스의 균일한 흐름을 발생시키는데 도움이 된다.
도 14 내지 도 15는 각각 페이스 판(25)의 평면도 및 측면도이다. 도 16은 페이스 판(25) 일부분의 단면도이다. 페이스 판(25)은 원형이다. 페이스 판(25)은 웨이퍼 상의 처리 가스의 균일한 분배를 도와주는 기능을 한다. 블로커 판(24)의 주변 영역에 이미 재분배된 처리 가스는 가스가 페이스 판(25)에 접촉될 때 추가로 제한된다. 일 실시예에서, 페이스 판(25)에 있는 관통 구멍들은 블로커 판(24)에 있는 관통 구멍들과 유사한 직경을 가진다.
페이스 판(25)은 실질적으로 원형이고 원주방향으로 배열된 패스닝 구멍들(26)을 통하여 챔버 리드(30)에 결합된다. 페이스 판(25)의 중앙부분에 있는 관통 구멍들(27)은 페이스 판(25)을 통하여 연장된다. 상술한 8 리터 처리 챔버의 실시예에서, 천공된 페이스 판의 내경은 약 9.10 내지 9.30 인치 범위일 수 있으며 천공된 페이스 판(25)의 외경은 약 10.7 내지 10.10 인치의 범위일 수 있다. 페이스 판(25)은 2개의 계단부를 포함한다. 챔버에 페이스 판(25)을 결합하기 위한 외부(31)의 두께는 약 0.800 인치인 반면, 관통 구멍들(27)을 가지는 내부(32)의 두께는 약 0.400 인치이다.
일 실시예에서, 천공된 페이스 판(25)의 유입 측에 있는 관통 구멍들(27)은 처리 가스가 챔버의 반응 부분으로 유입되는 유출 측보다 더 큰 직경을 가진다. 그 한 가지 이유는 물질에 적절한 직경의 관통 구멍들을 형성하는데 따른, 예를 들어 드릴 비트 손상에 따른 기계적인 제약이다. 도 16은 천공된 페이스 판(25)에 있는 관통 구멍들(27)의 유입구 및 유출구 사이의 직경 차이를 보여준다. 각각의 관통 구멍들에 대한 유입구(28A)(가스 유입구는 블로커 판(24)에 인접한 천공된 페이스 판(25)의 상부측에 위치한다.)는 0.016 인치의 유출구 직경(28B)보다 더 큰 약 0.62 인치의 직경을 가진다. 가스 구멍의 깊이는 약 0.400 인치이다. 더 작은 직경 개구는 약 0.030 인치의 길이(도면 부호 29에 의하여 표시)를 가진다.
지금부터 본 발명에 따라 반도체 웨이퍼를 처리하기 위한 방법이 설명된다. 웨이퍼는 로보트 웨이퍼 이송 시스템의 지지 블레이드(도시안됨)를 구비한 서셉터(5)의 상부면에 1차적으로 배치된다. 서셉터(5)가 수압 승강기와 같은 통상적인 수단을 경유하여 처리 챔버(45) 내의 상부 처리 위치로 상승되어, 웨이퍼가 판(85)의 중앙 개구 내에 위치한다. 이때 챔버(45)가 적절한 진공 압력으로 비워지는 동안, 웨이퍼와 서셉터가 적절하게 가열된다. SiH4 및 NH3와 같은 처리 가스는 매니폴드 헤드(도시안됨)의 챔버에 혼합되어 블로커 판(24)를 통하여 관통 유입구(20)로 유입되어, 천공된 페이스 판(25)를 경유하여 웨이퍼 상에 균일하게 분배된다. 특별한 처리에 따라, 처리 가스는 웨이퍼와 접촉하고 산화물 또는 질화물 막과 같은 막을 형성한다.
증착 처리 동안, 펌프(32)는 펌핑 채널(414) 내에 진공 압력이 발생하도록 작동되어, 펌핑 판(85)의 가스 구멍들(490)을 통하여 처리 챔버(90)로부터 처리 가스 및/또는 플라즈마 잔류물이 배출된다. 또한 질소와 같은 퍼지 가스(하부 퍼지 가스)(1030)가 입구(18)를 지나 서셉터와 펌핑 판(85) 사이의 갭을 통해 처리 챔버(90) 안으로 유입될 수 있다. 퍼지 가스는 챔버의 하부 내로의 처리 가스 누설을 최소화한다. 나머지 가스 및 퍼지 가스는 펌핑 채널(414) 내 그리고 가스 구멍들(490) 내로의 처리 가스 누설을 최소화한다. 배출 가스는 진공 펌프 아웃(vacuum pump-out; 31)을 통하고 라인(33)을 통하여 배출된다.
펌핑 판(85)은 두 개의 흐름 영역으로 가스 흐름을 분리하는데, 두 개의 흐름 영역은 서셉터(5)(또는 서셉터(5) 상의 웨이퍼)의 표면으로 향하는 제 1 흐름 영역(1000) 및 채널(4140)과 연통하고 채널(414)내에 있는 제 2 흐름 영역이다. 일면에서, 본 발명은 제 1 흐름 영역에서 측정된 압력과 제 2 흐름 영역에서 측정된 압력 사이의 압력 차이가 챔버 주위의 모든 지점에서 유사하게 된다. 일관된 압력 차이는 웨이퍼에의 막 증착 및 챔버를 통한 가스 흐름을 균일하게 하는 데에 기여한다. 100 Torr보다 더 큰 챔버 압력의 범위에서 작동함으로써, 처리는 100 Torr보다 작은 범위에서 작동하는 종래의 처리보다 웨이퍼에 걸친 증착 속도의 유연성(flexibility)이 크게 되고 온도 민감성이 낮아진다. 온도 민감성이 낮아지면, 종래 기술의 처리에서보다 웨이퍼에 걸쳐 막이 더욱 균일하게 증착된다.
도 17은 복사 가열된 처리 챔버의 실시예를 도시한다. 지금까지의 챔버는 100 Torr 이하의 처리 압력에서 사용되었지만, 더 높은 압력 공정에 대해서도 적절하게 사용될 수도 있다. 100 Torr 이상의 압력에서 작동시키기 위해 설계 고려 사항이 예상된다. 단일 기판 반응기(131)는 상부벽(132), 측벽(133) 및 바닥벽(134)을 포함하며, 이들은 웨이퍼와 같은 단일 기판이 장작될 수 있는 반응기(131)를 형성한다. 웨이퍼는 원형 대칭인 웨이퍼에 대해 평균적인 시간 환경(time averaged environment)을 제공하기 위해 모터(137)에 의해 회전되는 서셉터(105) 상에 장착된다. 예열 링(140)은 챔버(130) 내에 지지되어 웨이퍼를 둘러싼다. 웨이퍼와 예열 링(140)은 반응기(131) 외부에 장착된 복수의 고강도 램프(138, 139)에 의해 가열된다. 챔버(130)의 상부벽(132)과 바닥벽(134)은 빛에 실질적으로 투명하여 외부 램프(138 및 139)로부터의 빛이 반응기(131) 및 가열 서셉터(105), 웨이퍼, 및 예열 링(140)에 유입될 수 있다. 석영은 상부벽(132) 및 바닥벽(134)으로 유용한 물질인데 이는 석영이 가시 광선과 적외선 주파수에 투명하고, 이러한 벽을 가로질러 큰 압력차를 지탱할 수 있는 상대적으로 고강도 물질이며, 낮은 가스 배출율을 가지기 때문이다.
증착 중에, 반응 가스 흐름은 반응 가스가 가열되는 예열 링(140)에 대해 가스 입구로부터 웨이퍼 상에 소정의 박막을 증착시키기 위해 화살표(141) 방향으로 웨이퍼의 표면에 대한 출구(311)로 흐른다. 가스 입구는 상기 포트 내에 있는 복수의 파이프를 통해 반응기(131)로 유입되는 하나의 가스 또는 가스 혼합물을 제공하는 가스 매니폴드(도시 않음)에 결합된다. 이러한 파이프의 유입 단부의 위치, 가스 농도 및/또는 각각의 파이프를 통한 흐름 속도는 반응 가스 흐름과 처리 균일성을 최적화하는 농도 프로파일(profile)을 생성하도록 선택된다. 비록 기판의 회전과 램프(138, 139)로부터의 열에 의해 발생된 열 구배가 반응기(131) 내 가스의 흐름 프로파일에 영향을 미칠 수 있지만, 흐름 프로파일의 지배적인 형태는 가스 입력 포트로부터 예열 링(140) 및 웨이퍼를 거쳐 배기 포트(311)로 향하는 층류이다. 일 실시예에서, 웨이퍼의 온도는 약 600℃ 내지 약 800℃ 범위에 있을 수 있다. 챔버 내의 압력은 약 100 내지 약 500Torr 범위일 수 있고, 적절한 중간 단계 압력 범위는 100 내지 350Torr보다 크다. 다시, 압력이 높을수록(예를 들어 500Torr에 근접할수록) 증착 속도의 유연성을 높여주며 웨이퍼에 걸쳐 온도에 대한 민감성을 낮추어준다.
도 18은 도 17에 대해 설명한 것과 같은 방사 가열식 처리 챔버 내에서, 본 발명에 따른 방법을 수행하기 위한 시스템(100)을 나타내는 블록도이다. 시스템(100)은 가스 매니폴드(120), 처리 챔버(250) 하우징 서셉터(160), 및 반도체 처리 챔버(250)의 구획을 가열하기 위한 시스템(180) 및, 이 실시예에서는, 방사 열 시스템을 포함한다. 처리 챔버(250)는 슬릿(도시되지 않음)을 포함하며, 이 슬릿을 통해 기판(예를 들어 웨이퍼)(260)이 처리 챔버(250) 내로 삽입되어 서셉터(160) 내에 놓일 수 있다. 처리 챔버(250)의 일부는 석영 등과 같은 투명한 물질로 만들어질 수 있다.
반도체 처리 챔버(250)의 구역을 가열하기 위한 시스템(180)은, 처리 챔버(250) 위 및 아래에 위치하여 빛에너지를 반도체 처리 챔버(250)로 향하게 하는 가열 램프(240A, 240B, 240C, 240D), 고온계나 열 카메라와 같이 처리 챔버(250) 내의 온도를 측정하는 온도 검출기(300), 및 제어기(280)를 포함한다.
온도 검출기(300)는 서셉터 하부면 위의 온도를 검출하도록 위치 및 정렬된다. 온도 검출기(300)는 신호를 제어기(280)로 보내는데, 제어기(280)는 서셉터(160) 위에서 측정된 온도를 나타낸다. 제어기(280)는 전원(290)에 연결된다. 제어기(280)는 전원(290)에 의해 각각의 가열 램프(240A, 240B, 240C, 240D)로 공급되는 전력을, 온도 검출기(300)에 의해 측정된 온도에 따라 조정한다. 예를 들어, 만일 측정된 온도가 너무 낮게 떨어진다면, 가열 램프(240A, 240B, 240C, 240D)에 공급되는 전력은 증가될 것이다. 각각의 가열 램프(240A, 240B, 240C, 240D)에 공급되는 전력은 각각 다르게 선택될 수 있다.
가스 매니폴드(120)는 여러 종류(예를 들어 3종)의 가스를 처리 챔버(250)로 공급할 수 있다. 이 실시예에서, 가스는 캐리어 가스(200), 질소 공급원 가스(220), 실리콘 공급원 가스(210)를 포함한다. 적당한 캐리어 가스 소스에는 수소, 질소, 아르곤 및 헬륨이 포함되나, 이에 한정되는 것은 아니다. 적절한 질소 공급원 가스에는 암모니아가 포함되지만, 이에 한정되는 것은 아니다. 적절한 실리콘 소스에는 실란, 디클로로실란 및 디실렌이 포함되나, 이에 한정되는 것은 아니다. 질소 공급원 가스 및 실리콘 공급원 가스는 결합하여 Si3N4층을 웨이퍼(260) 위에 형성한다.
사용시에, 실란 공급원 가스(210)는 처리 챔버(250) 내로 도입되기 전에 캐리어 가스(200)와 혼합될 수 있다. 이어 캐리와 가스와 실리콘 가스의 혼합물이 반도체 처리 챔버(250)의 베이스 링(도시되지 않음)으로 도입된다. 질소 공급원 가스(220) 역시 베이스 링 내로 도입되며, 캐리어 가스와 실리콘 공급원 가스의 혼합물과 섞인다. 이들 가스는 반도체 처리 챔버(250) 내로 흘러들며, 여기서 웨이퍼(260)에 노출된다. 가스의 유량은 처리 챔버(250)의 크기에 의존한다. 일 실시예에서, 가스의 전체 유량은 1 내지 9 리터의 처리 챔버의 전체 유효 부피에 기초하여 5 내지 15 리터/분 범위에 있게 된다. 챔버에 대한 가스들 중 적어도 하나의 유량 또는 전체 가스 유량은 0.7 내지 5 리터/분/리터이다. 웨이퍼가 가스 혼합물에 노출되면, 열 화학기상증착의 원리에 따라 실리콘 질화물(Si3N4) 층이 웨이퍼(260) 위에 증착된다. 웨이퍼는 램프(240A, 240B) 및 서셉터(160)에 의해 가열된다. 램프(240C, 240D)는 챔버 내에 열을 발생시키는데 사용될 수 있다. 고온에서 가스를 웨이퍼에 노출시키면, 실리콘 공급원 가스 및 질소 공급원 가스의 분자들이 더 작은 분자로 분해된다. 이들 작은 분자들은 서로 재결합한다.
일 실시예에서, Si3N4, NH3 및 N2는 100sccm의 SiH4, 5slm(standard liters per minute)의 NH3 및 10slm의 N2로서 도입되는 반면, 웨이퍼(260)는 600℃ 내지 800℃ 사이의 온도로 가열된다. 증착이 이루어지는 동안, 챔버 내의 압력은 100 내지 500Torr 사이에서 유지된다. 다른 실시예에서, 가스는 아래의 비율로 사용될 수 있다: SiH4; 70sccm, NH3; 2slm 및 N2: 8slm. 또 다른 실시예에서, 가스는 아래의 비율로 사용될 수 있다: 디클로로실란:230sccm, NH3:1,000sccm 및 H2:9,000sccm. 만일 캐리어 가스가 N2라면, 분당 약 50 내지 5,000Å의 증착 속도가 600℃만큼 낮은 온도에서도 얻어질 수 있다.
전술한 처리 챔버 내에 더 높은 압력(예를 들어 100Torr보다 높은 압력)을 사용함으로써, 챔버 내에 너 낮은 온도가 사용될 수 있다. 저온 증착은 여러 가지 이유에서 바람직하다. 예를 들어, 저온 증착은 웨이퍼의 P 도핑 또는 N 도핑된 영역 내의 도판트가 바깥으로 확산되게 할 위험을 감소시킨다. P 또는 N 도핑된 영역 내에서 바깥쪽으로의 확산은 트랜지스터와 같은 작동 소자 또는 전기적 소자의 브레이크다운을 유발시킬 수 있다. 0.25㎛ 미만으로 떨어져 있는 도핑된 영역들로부터 바깥으로의 확산을 방지하기 위해서는, 100-500Torr의 압력 범위가 바람직하다.
도 19는 표 1에 나타난 제 1 실시예에 따라 웨이퍼 위에 증착된 얇은 실리콘 질화물막의 두께 맵이다. 도 18에 나타난 두께 맵에 대해 49-지점에서 측정되었다. 타원계(ellipsometer)를 사용하여 1%의 오차 범위로 측정한 평균 두께는 1004.6Å으로서 막의 균일성이 양호함을 나타내며, 증착속도는 약 2,000Å/분이었다.
단계 번호, 이름 챔버 선택 단계 및 제어 최대 단계 시간 종점 선택 압력 히터 온도 히터 간격 가스 이름 및 흐름 BP=하부 퍼지 1, 포지션(POSITION) -D- ALL CLR 시간에 따라 5.0초 종점 없음 스토틀 완전 개방 서보(servo) 800° 550mils 2, 가스 온(GAS ON) -D- ALL CLR 시간에 따라 5.0초 종점 없음 스로틀 완전 개방 서보 800° 550mils N2: 5000scc N2-BP: 4000scc 3. 가열(HEAT UP) -D- ALL CLR 시간에 따라 10.0초 종점 없음 서보 275.0Torr 서보 800° 550mils N2: 9800scc N2-BP: 5000scc
단계 번호, 이름 챔버 선택 단계 및 제어 최대 단계 시간 종점 선택 압력 히터 온도 히터 간격 가스 이름 및 흐름 4, NH3 예비처리 -D- ALL CLR 시간에 따라 10.0초 종점 없음 서보 275.0Torr 서보 800° 550mils NH3: 3000scc N2: 7000scc N2-BP: 5000scc 5, 증착(DEPOSITION) -B- ALL CLR 시간에 따라 29.0초 종점 없음 서보 275.0Torr 서보 800° 550mils NH3: 3000scc N2: 7000scc SiH4: 50scc N2-BP: 5000scc 6. 퍼지(PURGE) -D- ALL CLR 시간에 따라 5.0초 종점 없음 스로틀 완전 개방 서보 800° 550mils N2: 5000scc
단계 번호, 이름 챔버 선택 단계 및 제어 최대 단계 시간 종점 선택 압력 히터 온도 히터 간격 가스 이름 및 흐름 7, 포지션(POSITION) -D- ALL CLR 시간에 따라 5.0초 종점 없음 스로틀 완전 개방 서보 800° 1,600mils N2: 500scc
삭제
도 20은 표 2에 나타난 제 2 실시예에 따라 웨이퍼 위에 증착된 얇은 실리콘 질화물막의 두께 맵이다. 도 20에 나타난 두께 맵에 대해서도 49-지점에서 측정되었다. 타원계를 사용하여, 1%의 오차 범위로 측정한 평균 두께는 99.72Å로서 막의 균일도가 우수함을 나타낸다. 증착속도는 약 300Å/분이었다. 이 막에서, 측정된 굴절지수는 화학양론비의 막이 얻어졌음을 나타낸다.
100Å Si3N4 막을 위한 증착 조건
단계 번호, 이름 챔버 선택 단계 및 제어 최대 단계 시간 종점 선택 압력 히터 온도 히터 간격 가스이름 및 흐름 BP=하부 퍼지 1, 포지션(POSITION) -D- ALL CLR 시간에 따라 5.0초 종점 없음 스토틀 완전 개방 서보(servo) 800° 550mils 2, 가스 온(GAS ON) -D- ALL CLR 시간에 따라 5.0초 종점 없음 스로틀 완전 개방 서보 800° 550mils N2: 5000scc N2-BP: 2000scc 3. 가열(HEAT UP) -D- ALL CLR 시간에 따라 20.0초 종점 없음 서보 275.0Torr 서보 800° 550mils N2: 5000scc N2-BP: 2000scc
단계 번호, 이름 챔버 선택 단계 및 제어 최대 단계 시간 종점 선택 압력 히터 온도 히터 간격 가스이름 및 흐름 4, NH3 예비처리 -D- ALL CLR 시간에 따라 10.0초 종점 없음 서보 275.0Torr 서보 800° 550mils NH3: 500scc N2: 4500scc N2-BP: 2000scc 5, 증착(DEPOSITION) -B- ALL CLR 시간에 따라 19.0초 종점 없음 서보 275.0Torr 서보 800° 550mils NH3: 500scc N2: 4500scc SiH4: 5scc N2-BP: 2000scc 6. 퍼지(PURGE) -D- ALL CLR 시간에 따라 5.0초 종점 없음 스로틀 완전 개방 서보 800° 550mils N2: 5000scc
단계 번호, 이름 챔버 선택 단계 및 제어 최대 단계 시간 종점 선택 압력 히터 온도 히터 간격 가스이름 및 흐름 7, 포지션(POSITION) -D- ALL CLR 시간에 따라 5.0초 종점 없음 스로틀 완전 개방 서보 800° 1,600mils N2: 5000scc
본 발명의 실리콘 질화물막은, 표준 반도체 소자 처리에 따라, 예를 들어 실리콘 기판 위에, 실리콘 산화물 위에, 또는 실리콘 산화물 층들 사이에 증착될 수 있다. 단결정 실리콘이거나 다결정 실리콘이거나 상관 없이 직접 실리콘 위에 실리콘 질화물을 증착하기 전에 고유 실리콘 산화물을 제거하는 것이 요구될 수도 있지만, 실리콘 질화물의 증착 이전에 기판을 특별히 사전 처리할 필요는 없다. 이는 표준 예비 세정 에칭 공정에 의해, 실리콘 질화물 증착에 채용된 것과 동일한 챔버 내에서, 또는 다중 챔버 진공 처리 시스템의 다른 챔버 내에서 이루어질 수 있다.
처리 파라미터는 실리콘 질화물막을 원하는 두께로 얻기 위해 변화될 수 있다. 증착이 이루어지는 동안의 온도는, 약 600 내지 약 800℃ 사이에서 변화될 수 있다. 예를 들어, 증착 속도는 증착 온도를 약 800℃까지 증가시킴으로써 높아질 수 있으며, 이는 단일 기판 챔버 내에서 실용적인 속도로 약 1000Å 정도의 두꺼운 막이 증착되어야 할 경우 바람직하다. 압력도 변화되어, 증착 속도의 변화에 영향을 줄 수 있다; 일반적으로 압력이 높아지면 증착 속도는 증가된다.
실리콘 질화물막은 독립형 LPCVD 챔버에서 이루어질 수도 있고, 또는 이러한 챔버가 다수 챔버 처리 시스템의 일부일 수도 있다. 이 경우, 본 발명의 처리 챔버는 그 측벽에 포트가 있어, 기판을 중앙 이송 챔버로부터 LPCVD 챔버 안으로 그리고 LPCVD 챔버로부터 중앙 이송 챔버로 이송한다.
도 21은 본 발명의 일 실시예를 나타내는데, 기판 위에의 실리콘 질화물의 증착 속도가 웨이퍼 온도, 챔버 내 압력, 암모니아 가스 대 실란 가스의 흐름 속도비에 대해 나타나 있다. 챔버 내의 압력은 약 100 Torr 내지 275Torr 범위에서 변화된다.
도 22는 챔버 내의 압력이 약 100Torr로 유지되는 본 발명의 또 다른 실시예를 나타낸다. 온도가 높아짐에 따라, 실리콘 질화물의 증착 속도는 일반적으로 증가된다.
비록 본 발명이 특정한 형태의 기판 처리 챔버에 대해 설명되었으나, 장비와 설계는 당업자에 의해 변형될 수 있다. 본 발명은 첨부된 청구의 범위에 의해서만 제한된다.

Claims (27)

  1. 실리콘 질화물막을 증착하는 방법으로서,
    하나의 기판을 기판 저압 화학적 기상 증착 챔버 내에 위치시키는 단계;
    100 내지 500Torr의 압력을 생성하고 상기 기판을 600℃ 내지 800℃ 사이의 온도로 가열하는 단계;
    실리콘 공급원 가스 및 질소 공급원 가스를 포함하는 가스 혼합물을 상기 챔버에 제공하는 단계; 및
    상기 가스 혼합물을 분배하기 위해 상기 챔버 내에 2개의 가스 흐름 영역을 형성하는 단계
    를 포함하는, 실리콘 질화물막 증착 방법.
  2. 제 1 항에 있어서,
    상기 가스 혼합물은 캐리어 가스를 더 포함하는 것을 특징으로 하는 실리콘 질화물막 증착 방법.
  3. 제 2 항에 있어서,
    상기 캐리어 가스는 수소, 질소, 아르곤 및 헬륨으로 이루어지는 그룹에서 선택되는 것을 특징으로 하는 실리콘 질화물막 증착 방법.
  4. 제 1 항에 있어서,
    상기 실리콘 공급원 가스는 실란, 디실란 및 디클로로실란으로 이루어지는 그룹에서 선택되는 것을 특징으로 하는 실리콘 질화물막 증착 방법.
  5. 제 4 항에 있어서,
    상기 가스 혼합물은 230sccm의 디클로로실란, 1000sccm의 암모니아 및 9000sccm의 수소를 포함하는 것을 특징으로 하는 실리콘 질화물막 증착 방법.
  6. 제 1 항에 있어서,
    증착 속도 범위는 분당 40Å 내지 5,000Å 사이인 것을 특징으로 하는 실리콘 질화물막 증착 방법.
  7. 제 1 항에 있어서,
    상기 질소 공급원 가스는 암모니아인 것을 특징으로 하는 실리콘 질화물막 증착 방법.
  8. 제 1 항에 있어서,
    상기 실리콘 공급원 가스는 실란을 포함하며 상기 실란의 부분압은 0.05 내지 5 Torr인 것을 특징으로 하는 실리콘 질화물막 증착 방법.
  9. 제 7항에 있어서,
    상기 암모니아의 부분압은 300 Torr 이하인 것을 특징으로 하는 실리콘 질화물막 증착 방법.
  10. 반도체 웨이퍼 상에 실리콘 질화물층을 형성하는 방법으로서,
    처리 챔버 내의 서셉터 위에 반도체 웨이퍼를 위치시키는 단계;
    H2, N2, He 및 Ar로 이루어지는 그룹에서 선택되는 캐리어 가스,
    질소 공급원 가스, 및
    실리콘 공급원 가스
    를 포함하는 3가지 이상의 가스를 혼합하는 단계;
    상기 가스의 혼합물을 반도체 처리 챔버 내로 도입하는 단계;
    상기 웨이퍼를 상기 혼합물에 노출시키는 단계;
    반도체 처리 챔버 내의 압력을 100 내지 500Torr 범위로 유지하는 단계; 및
    상기 가스 혼합물을 분배하기 위해 상기 챔버 내에 2개의 가스 흐름 영역을 형성하는 단계
    를 포함하는, 실리콘 질화물층 형성 방법.
  11. 제 10 항에 있어서,
    상기 가스들 중 하나 이상의 가스의 유량은 1∼9ℓ 범위의 부피를 가지는 반도체 처리 챔버에 대해, 5∼15ℓ/분인 것을 특징으로 하는 실리콘 질화물층 형성 방법.
  12. 제 10 항에 있어서,
    상기 가스들 중 하나 이상의 가스의 유량 비는 반도체 처리 챔버의 체적에 대해 0.7∼5 리터/분/리터(ℓ/min/ℓ)인 것을 특징으로 하는 실리콘 질화물층 형성 방법.
  13. 제 10 항에 있어서,
    상기 가스들 중 2 이상의 가스는 반도체 처리 챔버 내에서 적어도 부분적으로 혼합되는 것을 특징으로 하는 실리콘 질화물층 형성 방법.
  14. 제 10 항에 있어서,
    상기 질소 공급원 가스는 NH3인 것을 특징으로 하는 실리콘 질화물층 형성 방법.
  15. 제 10 항에 있어서,
    상기 실리콘 공급원 가스는 SiH4, Si2H6 및 SiH2Cl2로 이루어지는 그룹에서 선택되는 것을 특징으로 하는 실리콘 질화물층 형성 방법.
  16. 제 10 항에 있어서,
    상기 웨이퍼를 상기 가스 혼합물에 노출시키기 전에, 600∼800℃ 사이의 온도로 상기 웨이퍼를 가열하는 단계를 더 포함하는 것을 특징으로 하는 실리콘 질화물층 형성 방법.
  17. 반도체 웨이퍼 상에 실리콘 질화물층을 형성하는 방법으로서,
    반도체 처리 챔버 내의 서셉터 상에 반도체 웨이퍼를 위치시키는 단계;
    캐리어 가스,
    NH3 공급원 가스, 및
    실란(SiH4), 디클로로실란(SiH2Cl2) 및 디실렌(Si2H6)으로 이루어지는 그룹에서 선택되는 실리콘 공급원 가스
    로 이루어지는 그룹에서 선택되는 2개 이상의 가스를 상기 반도체 처리 챔버 내에서 혼합하는 단계;
    상기 반도체 웨이퍼를 상기 가스 혼합물에 노출시키는 단계;
    반도체 처리 챔버 내의 압력을 100∼500 Torr로 유지하는 단계; 및
    상기 가스 혼합물을 분배하기 위해 상기 챔버 내에 2개의 가스 흐름 영역을 형성하는 단계
    를 포함하는, 실리콘 질화물층 형성 방법.
  18. 제 17 항에 있어서,
    상기 가스들 중 하나 이상의 가스의 유량은 1∼12slm 범위에 있는 것을 특징으로 하는 실리콘 질화물층 형성 방법.
  19. 제 17 항에 있어서,
    상기 웨이퍼를 상기 가스의 혼합물에 노출시키기 전에, 600∼800℃의 온도로 상기 웨이퍼를 가열하는 단계를 더 포함하는 것을 특징으로 하는 실리콘 질화물층 형성 방법.
  20. 제 17 항에 있어서,
    상기 실리콘 질화물층은 분당 40∼5,000Å의 속도로 형성되는 것을 특징으로 하는 실리콘 질화물층 형성 방법.
  21. 제 17 항에 있어서,
    상기 캐리어 가스는 H2, N2, He 및 Ar로 이루어지는 그룹에서 선택되는 것을 특징으로 하는 실리콘 질화물층 형성 방법.
  22. 화학적 기상 증착 챔버 내의 기판 상에 실리콘 질화물막을 증착하기 위한 방법으로서,
    (a) 챔버 내에 하나의 기판을 지지하는 단계;
    (b) 압력을 100 내지 500Torr로 조정하고, 상기 기판을 600℃ 내지 800℃의 온도로 가열하는 단계;
    (c) 실리콘 공급원 가스를 분배하기 위해 상기 챔버 내에 2개의 가스 흐름 영역을 형성하는 단계; 및
    (d) 상기 실리콘 공급원 가스를 상기 챔버 내로 통과시키는 단계
    를 포함하는, 실리콘 질화물막 증착 방법.
  23. 제 22 항에 있어서,
    상기 실리콘 질화물층은 분당 40Å∼5,000Å의 속도로 형성되는 것을 특징으로 하는 실리콘 질화물막 증착 방법.
  24. 제 22 항에 있어서,
    펌핑 판을 통해 상기 챔버로부터 가스를 제거하는 단계를 더 포함하며, 상기 펌핑 판은 제 1 계단부, 제 2 계단부, 및 제 3 계단부를 가지며, 상기 제 1 계단부는 원주방향으로 이격되어 있는 다수의 구멍들을 포함하는 것을 특징으로 하는 실리콘 질화물막 증착 방법.
  25. 처리를 위해 기판을 수용하는 챔버;
    상기 챔버에 배치되며 2개의 가스 흐름 영역을 형성하는 펌핑 판;
    상기 챔버에 연결되는 질소 가스 공급원;
    상기 챔버에 연결되는 실리콘 가스 공급원;
    상기 질소 가스 공급원으로부터의 질소 가스 및 상기 실리콘 가스 공급원으로부터의 실리콘 가스가 상기 챔버 내로 도입되는 것을 제어하기 위한 시스템 제어기;
    상기 챔버에 연결되며, 100Torr보다 높은 압력을 생성할 수 있는 하나 이상의 펌프;
    상기 제어기에 연결되는 메모리
    를 포함하며, 상기 메모리는 시스템의 작동을 지시하기 위해 컴퓨터로 판독가능한 프로그램이 내장된 컴퓨터 판독 가능한 매체를 포함하며, 상기 컴퓨터 판독 가능한 프로그램은 질소 공급원 가스와 실리콘 공급원 가스 및 챔버 내의 압력을 제어하기 위한 명령어들을 포함하며, 상기 명령어들은 챔버 내의 압력을 100 Torr 내지 500 Torr로 유지하기 위한 파라미터를 포함하는 장치.
  26. 제 25 항에 있어서,
    챔버 리드에 연결되는 블로커 판 및, 펌핑 판에 연결되는 천공된 페이스 판을 더 포함하며,
    상기 펌핑 판은 제 1 계단부, 제 2 계단부, 제 3 계단부를 가지며, 상기 제 1 계단부는 다수의 가스 구멍들을 가지며, 상기 가스 구멍들은 실질적으로 원주방향으로 이격되어 있으며, 상기 제 2 계단부는 가스 흐름을 제한하는 2개의 플랜지를 가지는 장치.
  27. 실리콘 질화물막을 증착하는 방법으로서,
    기판 저압 화학적 기상 증착 챔버에 단일 기판을 위치시키는 단계;
    100 Torr 내지 500 Torr의 압력을 생성하고 600℃ 내지 800℃의 온도로 상기 기판을 가열하는 단계; 및
    실리콘 공급원 가스 및 질소 공급원 가스를 포함하는 가스 혼합물을 상기 챔버에 제공하는 단계 - 상기 실리콘 공급원 가스는 실란 및 디실란으로 이루어진 그룹에서 선택됨 -;
    상기 챔버의 제 1 흐름 영역에서 상기 기판으로 상기 가스 혼합물이 향하게 하는 단계; 및
    상기 챔버의 제 2 흐름 영역으로부터 상기 가스 혼합물을 방출시키는 단계 - 상기 제 2 흐름 영역은 상기 제 1 흐름 영역과 분리됨 -
    를 포함하는, 실리콘 질화물막 증착 방법.
KR1020027000322A 1999-07-09 2000-07-10 반도체 웨이퍼에 실리콘 질화물층을 형성하는 방법 KR100797929B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/350,810 1999-07-09
US09/350,810 US6645884B1 (en) 1999-07-09 1999-07-09 Method of forming a silicon nitride layer on a substrate

Publications (2)

Publication Number Publication Date
KR20020031384A KR20020031384A (ko) 2002-05-01
KR100797929B1 true KR100797929B1 (ko) 2008-01-24

Family

ID=23378281

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027000322A KR100797929B1 (ko) 1999-07-09 2000-07-10 반도체 웨이퍼에 실리콘 질화물층을 형성하는 방법

Country Status (5)

Country Link
US (2) US6645884B1 (ko)
EP (1) EP1204783A1 (ko)
JP (1) JP4889173B2 (ko)
KR (1) KR100797929B1 (ko)
WO (1) WO2001004376A1 (ko)

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6802906B2 (en) * 2000-07-21 2004-10-12 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
JP4849711B2 (ja) * 2000-10-31 2012-01-11 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
JP2002198368A (ja) 2000-12-26 2002-07-12 Nec Corp 半導体装置の製造方法
US6559039B2 (en) * 2001-05-15 2003-05-06 Applied Materials, Inc. Doped silicon deposition process in resistively heated single wafer chamber
US6559074B1 (en) * 2001-12-12 2003-05-06 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
JP4090347B2 (ja) * 2002-03-18 2008-05-28 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
KR20040001368A (ko) * 2002-06-27 2004-01-07 장민석 기상증착에 의한 pbn 박막제조 방법
JP4358492B2 (ja) * 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
KR100472518B1 (ko) * 2002-09-30 2005-03-10 주식회사 유진테크 싱글 챔버식 화학 기상증착 장치를 이용한 질화막 증착방법
JP4200844B2 (ja) * 2003-08-11 2008-12-24 東京エレクトロン株式会社 熱処理装置
US20050247266A1 (en) * 2004-05-04 2005-11-10 Patel Nital S Simultaneous control of deposition time and temperature of multi-zone furnaces
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
JP5848862B2 (ja) 2004-06-25 2016-01-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated カプセル化膜の遮水性能の改善
US7214600B2 (en) * 2004-06-25 2007-05-08 Applied Materials, Inc. Method to improve transmittance of an encapsulating film
US7220687B2 (en) * 2004-06-25 2007-05-22 Applied Materials, Inc. Method to improve water-barrier performance by changing film surface morphology
US20060065622A1 (en) * 2004-09-27 2006-03-30 Floyd Philip D Method and system for xenon fluoride etching with enhanced efficiency
US7165132B1 (en) * 2004-10-01 2007-01-16 Advanced Micro Devices, Inc. Processing node including a plurality of processor cores and an interconnect configurable in a test-mode to cause first and second transaction source indicators to be interchanged
JP2006128485A (ja) * 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
US9731319B2 (en) 2004-11-05 2017-08-15 Tamicare Ltd. Stretchable sheets comprising a variety of layers and zones and methods to produce such products
US7767133B2 (en) * 2004-11-05 2010-08-03 TamiCare, Ltd. Method and apparatus to produce stretchable products
US7901740B2 (en) * 2004-11-05 2011-03-08 Tamicare Ltd. Methods to produce stretchable products
US7927933B2 (en) * 2005-02-16 2011-04-19 Imec Method to enhance the initiation of film growth
CN100482585C (zh) * 2005-10-24 2009-04-29 鸿富锦精密工业(深圳)有限公司 碳纳米管制备装置
US20100242835A1 (en) * 2006-06-09 2010-09-30 S.O.I.T.E.C. Silicon On Insulator Technologies High volume delivery system for gallium trichloride
WO2008064109A2 (en) 2006-11-22 2008-05-29 S.O.I.Tec Silicon On Insulator Technologies Equipment for high volume manufacture of group iii-v semiconductor materials
KR101330156B1 (ko) 2006-11-22 2013-12-20 소이텍 삼염화 갈륨 주입 구조
US9481943B2 (en) 2006-11-22 2016-11-01 Soitec Gallium trichloride injection scheme
EP2094406B1 (en) 2006-11-22 2015-10-14 Soitec Method, apparatus and gate valve assembly for forming monocrystalline group iii-v semiconductor material
US9481944B2 (en) 2006-11-22 2016-11-01 Soitec Gas injectors including a funnel- or wedge-shaped channel for chemical vapor deposition (CVD) systems and CVD systems with the same
WO2008064080A1 (en) * 2006-11-22 2008-05-29 S.O.I.Tec Silicon On Insulator Technologies High volume delivery system for gallium trichloride
US8382898B2 (en) * 2006-11-22 2013-02-26 Soitec Methods for high volume manufacture of group III-V semiconductor materials
WO2008127425A2 (en) * 2006-11-22 2008-10-23 S.O.I.Tec Silicon On Insulator Technologies Abatement of reaction gases from gallium nitride deposition
JP5492571B2 (ja) * 2007-02-20 2014-05-14 クォルコム・メムズ・テクノロジーズ・インコーポレーテッド Memsのエッチングを行うための機器および方法
US7993700B2 (en) * 2007-03-01 2011-08-09 Applied Materials, Inc. Silicon nitride passivation for a solar cell
US7719752B2 (en) 2007-05-11 2010-05-18 Qualcomm Mems Technologies, Inc. MEMS structures, methods of fabricating MEMS components on separate substrates and assembly of same
US7569488B2 (en) * 2007-06-22 2009-08-04 Qualcomm Mems Technologies, Inc. Methods of making a MEMS device by monitoring a process parameter
JP2010534865A (ja) 2007-07-25 2010-11-11 クォルコム・メムズ・テクノロジーズ・インコーポレーテッド Mems表示装置及び該mems表示装置の製造方法
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
CN101903563A (zh) * 2007-12-20 2010-12-01 硅绝缘体技术有限公司 用于大规模制造半导体材料的原位反应室清洁处理方法
US8023191B2 (en) * 2008-05-07 2011-09-20 Qualcomm Mems Technologies, Inc. Printable static interferometric images
KR20110022036A (ko) * 2008-06-02 2011-03-04 맷슨 테크놀로지, 인크. 기판 처리방법
JP5481415B2 (ja) * 2011-03-09 2014-04-23 株式会社東芝 気相成長装置、及び気相成長方法
JP5481416B2 (ja) * 2011-03-09 2014-04-23 株式会社東芝 気相成長装置、及び気相成長方法
US8659816B2 (en) 2011-04-25 2014-02-25 Qualcomm Mems Technologies, Inc. Mechanical layer and methods of making the same
US10669625B2 (en) * 2013-03-15 2020-06-02 Taiwan Semiconductor Manufacturing Company Limited Pumping liner for chemical vapor deposition
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
WO2015023435A1 (en) * 2013-08-12 2015-02-19 Applied Materials, Inc. Recursive pumping for symmetrical gas exhaust to control critical dimension uniformity in plasma reactors
CN105849221B (zh) 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
US9390910B2 (en) * 2014-10-03 2016-07-12 Applied Materials, Inc. Gas flow profile modulated control of overlay in plasma CVD films
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10559451B2 (en) * 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
US10312076B2 (en) 2017-03-10 2019-06-04 Applied Materials, Inc. Application of bottom purge to increase clean efficiency
US10600624B2 (en) 2017-03-10 2020-03-24 Applied Materials, Inc. System and method for substrate processing chambers
US10636628B2 (en) 2017-09-11 2020-04-28 Applied Materials, Inc. Method for cleaning a process chamber
US10508339B2 (en) * 2017-05-31 2019-12-17 Applied Materials, Inc. Blocker plate for use in a substrate process chamber
KR20200140390A (ko) * 2018-05-04 2020-12-15 어플라이드 머티어리얼스, 인코포레이티드 중심-에지 압력 변화를 제어하기 위한 압력 스큐 시스템
US11685994B2 (en) * 2019-09-13 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. CVD device pumping liner
US11781212B2 (en) * 2021-04-07 2023-10-10 Applied Material, Inc. Overlap susceptor and preheat ring
KR102351549B1 (ko) * 2021-10-14 2022-01-14 (주)엔씨테크 반도체 공정 이물질 제거용 크린 키트의 원 바디 타입 플레이트
CN115142048B (zh) * 2022-06-30 2023-07-07 北海惠科半导体科技有限公司 晶圆载具及氮化硅介质膜的制备方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970030477A (ko) * 1995-11-28 1997-06-26 김광호 실리콘 질화막 형성방법
US5895530A (en) * 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2875945B2 (ja) 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
JP2776726B2 (ja) * 1993-09-21 1998-07-16 日本電気株式会社 半導体装置の製造方法
DE69424759T2 (de) * 1993-12-28 2001-02-08 Applied Materials Inc Gasphasenabscheidungsverfahren in einer einzigen Kammer für Dünnfilmtransistoren
JPH07249618A (ja) * 1994-03-14 1995-09-26 Fujitsu Ltd 半導体装置の製造方法
JPH08167605A (ja) 1994-12-15 1996-06-25 Mitsubishi Electric Corp シリコン窒化膜の製造方法
US5670431A (en) * 1996-06-13 1997-09-23 Taiwan Semiconductor Manufacturing Company Ltd. Method of forming an ultra thin dielectric film for a capacitor
JP3729578B2 (ja) * 1996-11-25 2005-12-21 株式会社ルネサステクノロジ 半導体製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970030477A (ko) * 1995-11-28 1997-06-26 김광호 실리콘 질화막 형성방법
US5895530A (en) * 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber

Also Published As

Publication number Publication date
US20020045362A1 (en) 2002-04-18
JP2003504883A (ja) 2003-02-04
EP1204783A1 (en) 2002-05-15
WO2001004376A1 (en) 2001-01-18
KR20020031384A (ko) 2002-05-01
US6645884B1 (en) 2003-11-11
JP4889173B2 (ja) 2012-03-07
WO2001004376A9 (en) 2002-08-15

Similar Documents

Publication Publication Date Title
KR100797929B1 (ko) 반도체 웨이퍼에 실리콘 질화물층을 형성하는 방법
US6586343B1 (en) Method and apparatus for directing constituents through a processing chamber
KR100640553B1 (ko) 텅스텐을 증착하기 위하여 기판 처리 장치에 이용되는 개선된 히터
US9175394B2 (en) Atomic layer deposition chamber with multi inject
US6374512B1 (en) Method for reducing contamination of a substrate in a substrate processing system
KR101002445B1 (ko) 단일 웨이퍼 저압 화학 기상 증착을 이용한 실리콘 산화물 및 옥시나이트라이드 증착 방법
JP2004533722A (ja) 抵抗加熱された単一ウエハチャンバ内のドープ処理済みシリコン堆積処理
US6068703A (en) Gas mixing apparatus and method
US20090194024A1 (en) Cvd apparatus
US20060124060A1 (en) Heat-treating apparatus
KR20060098373A (ko) 교차 유동 라이너를 구비한 열처리 시스템
US20210375591A1 (en) Edge exclusion control
JPH07172985A (ja) ジクロロシランガスを用いて半導体ウエハ上に珪化タングステンを形成するプロセス及び装置
US6530992B1 (en) Method of forming a film in a chamber and positioning a substitute in a chamber
KR20220142527A (ko) 배면 반응 억제 가스
JP3636864B2 (ja) 処理装置およびステージ装置
US5044315A (en) Apparatus for improving the reactant gas flow in a reaction chamber
CN109868459B (zh) 一种半导体设备
US6555166B2 (en) Method for reducing the microloading effect in a chemical vapor deposition reactor
JP2002261028A (ja) 半導体装置の製造用基板載置治具と縦型炉の組合わせ、基板載置治具、及び半導体装置の製造方法
JPH09153485A (ja) 気相成長装置

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
G170 Publication of correction
FPAY Annual fee payment

Payment date: 20121227

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131227

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee