JP2002513091A - タングステンを堆積させるための基板処理装置用の改良型ヒータ - Google Patents

タングステンを堆積させるための基板処理装置用の改良型ヒータ

Info

Publication number
JP2002513091A
JP2002513091A JP2000546387A JP2000546387A JP2002513091A JP 2002513091 A JP2002513091 A JP 2002513091A JP 2000546387 A JP2000546387 A JP 2000546387A JP 2000546387 A JP2000546387 A JP 2000546387A JP 2002513091 A JP2002513091 A JP 2002513091A
Authority
JP
Japan
Prior art keywords
vacuum
purge gas
metal block
metal pedestal
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000546387A
Other languages
English (en)
Inventor
ユン ツァオ
ターレックス サジョート
レオニード セリューティン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002513091A publication Critical patent/JP2002513091A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 本発明は、直径の異なる基板を処理する装置に合わせて設計でき、そして、高集積デバイスの製造において膜の均一性といった厳しい要求に合致する基板を経済的に処理することができる、簡潔なヒータ設計を提供する。本発明は、直径がますます大きくなる基板、特に、直径12インチ(あるいは300mm)或いはそれ以上の基板を用いる集積回路を、経済的かつ効率的に製造する場合に非常に有用である。一つの具体例として、本発明は、基板処理装置において使用するヒータ組立体を提供する。このヒータ組立体は、基板を支持する表面が設けられた金属ペデスタルと、当該金属ペデスタル内に配置された抵抗加熱エレメントとを含んでいる。ヒータ組立体にはまた、金属ペデスタル内に、パージガス流路系が含まれている。このパージガス流路系は、金属ペデスタルのほぼ中央に配置されたパージガス入口を含んでいる。中央パージガス入口は、パージガスを与えるために設けられている。パージガス流路系はまた、中央パージガス入口から金属ペデスタルの外周に向かって放射状に延びる複数の放射状パージガス流路と、金属ペデスタルの外周に形成された環状パージガス流路とを含んでいる。パージガス流路は実質的に対称なパターンを形成し、各パージガス流路は実質的に同じ長さとされている。詳細な具体例では、この組立体には、外周近傍の多数の穴を介して表面とつながり、金属ペデスタルと一体的なパージガイドリングを形成する、環状パージガス流路が含まれている。これ以外にも、本発明の具体例が示されている。

Description

【発明の詳細な説明】
【0001】 (発明の背景) 本発明は、半導体処理に関する。より詳しくは、本発明は、様々な種類の膜を
均一に形成するための方法及び装置に関する。本発明の具体例は、種々の薄膜の
堆積に用いる場合に非常に有用である。これらの薄膜には、タングステン(W)
膜あるいはタングステンシリサイド(WSiX)膜などの金属を含む膜、USG
(undoped silicate glass)膜などのドープされていない絶縁体膜、BPSG(
borophosphosilicate glass)、PSG(phosphosilicate)、BSG(borophos
hosilicate glass)膜などのドープされた絶縁膜、その他の膜が含まれる。更に
、本発明の他の具体例は、様々な直径の処理基板から、経済的かつ効率的に半導
体デバイスを製造する目的で利用することができる。
【0002】 近年の半導体デバイス製造の主要なステップの一つは、半導体基板上に膜を形
成することである。よく知られているように、このような膜は化学気相成長法(
CVD)によって堆積させることができる。これまでの熱CVDプロセスでは、
熱に誘起された化学反応(同種のあるいは異種の)が起こって所望の膜を生成す
る部分である基板表面に、反応ガスが供給される。これまでのプラズマ処理では
、制御されたプラズマが生成され、これにより反応種を分解し、および/又は、
エネルギーを与えて、所望の膜を堆積させている。一般に、熱処理及びプラズマ
処理における反応速度は、温度、圧力、及び反応ガスの流速のうちの一つあるい
は二つ以上を制御することによって制御することができる。
【0003】 半導体デバイスの幾何学的形状は、数十年前に初めて出現して以来、その寸法
が劇的に縮小した。それ以来集積回路は一般に、「2年で半分になる」という規
則(しばしば「ムーアの法則」と呼ばれる)に従っている。このことは、チップ
上に形成されるデバイスの数が2年ごとに倍になることを意味している。今日の
ウェハ製造プラントは、大まかに言って0.35μm、さらには0.25μmの
加工寸法(feature size)のデバイスを製造しており、将来のプラントは間もな
く、より小さい加工寸法のデバイスを製造するようになる。また、良品デバイス
の歩留まり低下を生じさせる基板の汚染を少なくするために、処理チャンバ内に
おける粒子の発生を回避することも重要である。デバイスの均一性のために堆積
させた薄膜の厚さを基板全体で均一にすることは、ますます重要である。
【0004】 基板処理装置では、膜は、堆積させたい基板の上面だけに堆積するのではなく
、望んでいない基板のエッジ部や裏側にも堆積してしまうために、種々の問題が
生じうる。たとえはタングステンなどの堆積膜はシリコン基板のエッジ部や裏側
には付着しないので、エッジ部や裏側に堆積された材料は剥がれ落ちやすく、処
理チャンバを汚染してしまう。また、意図していないのにエッジ部や裏側へ堆積
し、これに起因して基板が平坦にならないと、化学的機械的研磨(CMP)のス
テップにおいて剥がれ落ちるという問題が生じたり、これに続くデバイス製造ス
テップにおいて他の問題を生じさせる。このようなことは、たとえば強い揮発性
のガスである六フッ化タングステン(WF6)を用いてタングステンを堆積させ
るといった、金属の堆積に用いられるCVDチャンバでは、従来から直面してい
る問題である。堆積の際に基板の周囲をカバーしあるいは保護するためのエッジ
・リング(edge ring)は従来から用いられており、これにより、堆積ガスがウ
ェハのエッジ部や裏側の面へ達するのを防止している。しかしながら、たとえば
WF6の揮発性により、基板の裏側あるいはエッジ部のエッジ・リングの裏側へ
導くパージ・ガス(purge gas)を使うことが試みられてきた。パージ・ガスは
正の圧力を生じるので、処理ガスが基板のエッジ部や裏側の面へ達する可能性を
下げる。
【0005】 エッジ・リングやパージ・ガスを用いているにも拘わらず、タングステンなど
の金属膜を含む薄膜をCVDで堆積させる場合は、いくつかの適用例において、
希望するような均一なものはできないことがある。従来からのCVD装置を用い
た場合、パージ・ガスの流路における圧力が不均一なことに起因して、堆積させ
た膜が不均一になるという問題が生じる。特に、従来からのCVD装置のいくつ
かは、図1A及び図1Bに示すような加熱装置1を用いており、これは基板16
を上に支持するための上部金属ブロック2、一巻きのコイルからなる抵抗加熱エ
レメント4が中に埋め込まれた下部金属ブロック3、そして金属製のエッジ・リ
ング5を含んでいる。エッジ・リング5は別体の金属リングで、上部金属ブロッ
ク2の上に溶接されている(矢印wで示すようにその周囲に沿って)。コイル4
は、上部金属ブロック2を一様に加熱するために、そしてこの上に取り付けられ
た基板16を一様に加熱するために、加熱装置1の大半と直接接している。さら
に、上部金属ブロック2上に真空吸着された基板16は、加熱装置1によって迅
速に一様に加熱される。このような従来のCVD装置では、エッジ・リング5及
び上部金属ブロック2によって環状の隙間6が形成され、ここを通ってパージ・
ガスが下部金属ブロック3から、上部金属ブロック2のエッジ部に沿ってエッジ
・リングと基板の周囲との間を流れ、基板16のエッジ部や裏側の面に有害な堆
積がなされるのを防止している。加熱装置1を上から見た平面図である図1Bに
示すように(基板16は省略してある)、下部金属ブロック3には、上部金属ブ
ロック2に近い部分に様々なパージ流路7が複雑な直線パターンで形成されてい
る。パージガスは、下部金属ブロック3の底部を通過して、垂直のパージ入口流
路8を通って流入し、下部金属ブロック3の直径に沿ったメインの直線的なパー
ジ流路7の中央部へ入り、そしてその他のパージ流路へも入る。特に、メインの
パージ流路に垂直な部分や、メインのパージ流路から枝分かれした部分などの複
数の水平なパージ流路の支流は、下部金属ブロック13の環状のパージ流路9へ
導かれる。環状のパージ流路9からは、パージガスは、エッジ・リング5と基板
16のエッジ部に沿った部分の間の隙間6を通って流れ、これにより基板16上
への有害な堆積を防止する。このような様々なパージ流路7の中を移動するパー
ジガスには、どの流路7をパージガスが移動しているかによって、しばしば異な
る位置で圧力の違いが生じる。このようなパージガスの異なる位置における異な
る圧力は、堆積した膜に不均一さを生じさせる。さらに、このような従来からの
CVD装置には、時間とともに不均一さが悪化するという問題がある。このよう
な装置では、エッジ・リング5の上の部分は、下部金属ブロック3に近いエッジ
・リング5の狭く溶接された底部よりもかさばっている。処理温度が約400℃
に達すると、エッジ・リング5の上部の重い部分は、上部金属ブロック2から半
径方向外側へ向かって変形する傾向があり、これは隙間6を歪ませ、パージガス
の流れを不均一にする。このようなエッジ・リングの変形は、不均一に変形した
エッジ・リングに沿ったパージガスの潜在的な不均一な流れに起因する膜の不均
一さという問題につながる。一旦エッジ・リングが変形し始めると元の状態には
戻らないので、パージガスの流れを不均一にするエッジ・リングは使えず、交換
しなければならなくなる。
【0006】 製造業者は、より経済的かつ効率的にデバイスを製造するために、さらに直径
の大きな基板、たとえば直径12インチ(あるいは300mm)、あるいはこれ
よりも大きな基板を用いてデバイスを製造することを望んでいる。より大きな直
径の基板を処理するには、このような大きな基板を物理的に収容できるだけでな
く、高集積度のデバイスを製造するための更に厳しい条件(たとえば十分な基板
加熱能力、均一な膜の堆積など)を満たす基板処理装置が必要となる。しかしな
がら、このような高い性能が要求される分野に適用できる十分な性能を持った基
板処理装置を設計することは、出費のかさむ試みとなる。たとえば、加熱エレメ
ント4が一巻きのコイルからなる前述の加熱装置1では、より大きな直径の基板
に均一な膜を堆積させるのに必要な加熱能力を持たせるのは不適当である。上で
述べた、複雑なパージ流路7が設けられた下部金属ブロック3に溶接された金属
のエッジ・リング5を有する加熱装置1の場合、パージガスを均一な流れにする
ための、あるいは、ますます高集積化するデバイスに要求される薄膜の堆積のた
めの広範囲の実験や最適化調整を行わないで、これをより大きな直径の基板に対
応するように拡大するのは困難である。さらに、たとえ上述の加熱装置1をより
大きな直径の基板用にスケールアップしたとしても、ヒータの直径が大きくなる
のでさらに大きなエッジ・リングが必要となり、これは薄くて大きくなるため、
より簡単に変形してしまう可能性が高い。より大きな直径の基板用に、ヒータの
ためのコストのかかる再設計を行わなければならないという問題および変形とい
う問題を回避するために、直径の異なる基板を処理するために設計上の寸法を調
整可能であること、および処理された基板の直径を考慮せずに動作可能であるこ
と、のいずれか一方又は両方を備えた基板処理装置を提供することが望まれてい
る。このような基板処理装置の設計上の柔軟性は、特により大きな直径の基板に
対応して基板処理装置が進展してゆく際に、基板処理における大幅なコスト削減
と効率化につながる。
【0007】 上の説明をまとめると、膜の均一性などといった膜に要求される厳しい条件を
満足するために効率的かつ経済的に基板を処理するための、異なる直径の基板を
処理する装置のための、設計が簡素化された改良型加熱装置が必要とされている
【0008】 (発明の概要) 本発明は、直径がますます大きくなる基板、特に、直径12インチ(あるいは
300mm)或いはそれ以上の基板を用いる集積回路を、経済的かつ効率的に製
造する場合に非常に有用である。本発明は、直径の異なる基板を処理する装置に
合わせて設計でき、そして、高集積デバイスの製造において膜の均一性といった
厳しい要求に合致する基板を経済的に処理することができる、簡潔なヒータ設計
を提供する。
【0009】 一つの具体例として、本発明は、基板処理装置において使用するヒータ組立体
を提供する。このヒータ組立体は、基板を支持する表面が設けられた金属ペデス
タルと、当該金属ペデスタル内に配置された抵抗加熱エレメントとを含んでいる
。ヒータ組立体にはまた、金属ペデスタル内に、パージガス流路系が含まれてい
る。このパージガス流路系は、金属ペデスタルのほぼ中央に配置されたパージガ
ス入口を含んでいる。中央パージガス入口は、パージガスを与えるために設けら
れている。パージガス流路系はまた、中央パージガス入口から金属ペデスタルの
外周に向かって放射状に延びる複数の放射状パージガス流路と、金属ペデスタル
の外周に形成された環状パージガス流路とを含んでいる。パージガス流路は実質
的に対称なパターンを形成し、各パージガス流路は実質的に同じ長さとされてい
る。詳細な具体例では、この組立体には、外周近傍の多数の穴を介して表面とつ
ながり、金属ペデスタルと一体的なパージガイドリングを形成する、環状パージ
ガス流路が含まれている。詳細な具体例によると、ヒータ組立体はまた、金属ペ
デスタルに形成された真空固定装置を含んでいる。その他の詳細な具体例では、
金属ペデスタルは、直径300mmあるいはそれ以上の基板を支持し、均一に加
熱つする能力を有する。
【0010】 他の具体例によると、本発明は、処理チャンバ及び加熱装置を含んだ基板処理
装置を提供する。加熱装置は基板を保持することができ、指定した温度に加熱す
ることができる。ヒータ組立体は、基板を支持する表面を有した金属ペデスタル
、表面を均一に加熱する抵抗加熱エレメント、そしてパージガスを与える中央パ
ージガス入口を含んでいる。中央パージガス入口は、金属ペデスタルのほぼ中央
に位置している。ヒータ組立体はまた、金属ペデスタルに形成された複数の放射
状パージガス流路を含んでおり、これは中央パージガス入口から金属ペデスタル
の周囲に向かって放射状に延び、実質的に対称なパターンを形成している。ヒー
タ組立体はまた、金属ペデスタルの周囲に形成された環状パージガス流路を含ん
でいる。環状パージガス流路は、金属ペデスタルに一体化されたエッジパージガ
イドを与えるよう、周囲近傍の多数の穴を介して表面につながっている。
【0011】 本発明の前述の具体例及びその他の具体例、そして効果及び特徴については、
以下の記載及び添付図面との関連で、より詳細に説明される。
【0012】 (詳細な具体例の説明) I.イントロダクション 本発明の装置は、タングステン膜などの薄膜の均一な堆積を可能とする。本発
明の装置を用いて堆積させた薄膜は、デバイスの幾何学的形状の小さな集積回路
の製造に利用するのに適している。この装置は、特に、金属を含む膜、ドープさ
れていない絶縁膜、ドープされた絶縁膜、その他の膜の堆積に利用することがで
きる。この膜は、金属の接続線、非常に浅いドープされた領域、金属層の堆積を
行う前の(premetal)絶縁層、金属間の絶縁層、キャッピング層、酸化膜を満た
した層、その他の層などの形成に利用することができる。
【0013】 様々なタイプの均一な薄膜の堆積に利用するのに加え、本発明の装置は、直径
のより大きな基板に合わせて調整すること、あるいは任意の直径の基板用のCV
D装置とともに動作させることが可能であるという利点がある。さらに本発明の
装置は、汚染によって意図していない膜が形成されるのを回避して、ウェハ表面
上に均一な薄膜を希望する通りに堆積させることができる。以下では、タングス
テンの均一な薄膜を堆積させる場合に基づいて装置の説明を行うが、本発明はこ
のような場合だけに限定されるものではない。 II.典型的なCVD反応チャンバ 図2A及び図2Bは、平行プレートのコールドウォール型化学気相成長装置1
0を例示している。これには真空チャンバ12が設けられており、ここで本発明
に基づいてタングステン膜などの一様な薄膜を堆積させることができる。CVD
装置10には、ガス分散マニホールド14が設けられており、ここには、抵抗加
熱されるサセプタ/ヒータ18上に載置された基板もしくはウェハ16に対して
プロセスガスを散布するための穴11が、あるパターンで設けられている。
【0014】 チャンバ12は、中央の移送チャンバに接続され、ロボットが作業する多重処
理チャンバが設けられている真空処理装置の一部とすることもできる。基板16
は、ロボットのブレードによって、チャンバ12の側壁に設けられたスリット・
バルブ15からチャンバ12へ搬入される。シャフト65を有するヒータ18は
、昇降機構と接続されたモータ20により、処理位置とその下のローディング位
置との間で上下に移動可能とされている。このよう昇降機構の例は、米国特許出
願第08/738,240号(1996年10月25日出願、発明者Lenid Sely
utin and Jun Zhao)「セルフアライン昇降機構」(Attorney Docket No. AM135
3)及び米国特許出願第08/892,612号(1997年7月14日出願、
発明者:Lenid Selyutin, Talex Sajoto and Jun Zhao)「改良型セルフアライ
ン昇降機構」(Attorney Docket No. AM2137)において詳細に説明されており、
これらの開示内容は、参照により、ここに組み入れられる。複数のリフト・ピン
22は、ヒータ18の内部でスライド可能であるが、上端の円錐の頭部によって
抜け落ちるのが防止されている。リフト・ピン22の下端部は、垂直に移動でき
る昇降リング21にはめ込まれ、これによりヒータの表面よりも上に持ち上げら
れるようになる。ヒータ18が下のローディング位置(図2Aに示すように、ス
リット・バルブ15よりもわずかに下)にあるときに、ロボットのブレード(不
図示)が、リフト・ピン及び昇降リングと協働して、スリット・バルブ15を介
して、基板をチャンバ12に搬入し又は搬出する。このチャンバ12は、スリッ
ト・バルブ15からガスの流れが出入りするのを防ぐために、真空密閉できるよ
うになっている。スリット・バルブと対向する第一の位置13にある基板16は
、ヒータ18が下のローディング位置にあるときに、チャンバ内へ搬入される。
位置13において、基板16は最初、複数のリフト・ピン22の組によって支持
されている。リフト・ピン22はヒータ18に設けられた対応するリフト・ピン
穴(図2Aには示されていない)に通され、ヒータ18に接続されている。複数
のピン22は、単一のモータ組立体によって駆動される。リフト・ピン22は、
挿入されたウェハ(図示しない)をロボットのブレードから持ち上げており、そ
の後ヒータ18が、上昇して、ヒータ18の上面に設けられたウェハポケットに
載せるようにしてヒータ18がリフト・ピンからウェハを持ち上げる。本発明と
共に用いるのに相応しいロボット搬送機構が、Maydanに付与された米国特許第4
,951,601において説明されており、その開示内容全体は、参照により、
ここに組み入れられる。
【0015】 ヒータ18がガス分散マニホールド14に対向する処理位置32(破線で示す
)に来ると、ピン22はヒータ18の中へ降りて行って、基板16はヒータ18
の上面に置かれる。本発明では、特に図2Bに詳細に示したヒータ18が設けら
れている。同図に示すように、ヒータ18の上部には、基板16を支持するため
の上部金属ブロック31、マルチループの抵抗(この例では3ループからなる)
のヒータ・エレメント34が埋め込まれた下部金属ブロック33、そして金属製
のエッジ支持リング35が設けられている。上部金属ブロック31、下部金属ブ
ロック33、および金属製のエッジ支持リング35は、アルミニウムその他の金
属から製造することができる。金属ブロック31には一体化されたエッジ・リン
グ37が含まれており、これは金属ブロック31を通る複数のエッジ・パージガ
スノズル38によって形成されている。複数のノズル38は、上部金属ブロック
31の外側半径よりも小さい半径の円周に沿って均等に配置されている。図2B
にはノズル38を簡略化して示してあるが、これについては後にさらに詳述する
。エッジ・リング37は上部金属ブロック31と一体化され、その一部となって
いる。図2A、図2Bに簡略化して示したエッジ・リング37の詳しい具体例に
ついては、後に図3A乃至図3Eにおいて、基板16との関連でさらに詳しく説
明する。エッジ・リング37を上部金属ブロック31の一部として形成すること
の利点は、図1A及び図1Bとの関連して述べたように、400℃あるいはそれ
以上に達する処理温度において従来のヒータ装置でしばしば起こっていたエッジ
・リングの変形を防止できるという点である。本発明のヒータ18は、上部金属
ブロック31のバルク状の金属内の決められた位置に形成されたノズル38によ
って、エッジ部におけるパージガスの流れを均一にすることができる。ヒータ・
エレメント34は、上部金属ブロック31の加熱の不均一さを抑え、この上に載
置された基板16を均一に加熱するために、ヒータ組立体18の下部金属ブロッ
ク33に埋め込まれている。
【0016】 図3A乃至図3Eは、本発明の種々の具体例に基づいて、一体的なエッジ・リ
ング37に関連する基板16の典型的な断面図を示している。図3A、図3B、
図3Cはそれぞれ、基板16をヒータ組立体18の上部金属ブロック31の上面
に載置するめたの深いポケット、平らなポケット、浅いポケットが形成されたエ
ッジ・リング37の具体例を例示している。図3A乃至図3Cでは、基板16は
、上部金属ブロック31のうちのいくらかのスペースが基板16のエッジ部によ
って露出するように、上部金属ブロック31上に配置されている。図3Dでは、
基板16は、そのエッジ部によって上部金属ブロック31に露出部分がなく、ノ
ズル38の開口部とちょうど並ぶように上部金属ブロック31上に配置されてい
る。図3Eでは、基板16は、そのエッジ部によって上部金属ブロック31に露
出分がなく、ノズル38の開口部に突き出るようにして上部金属ブロック31上
に配置されている。図3D及び図3Eにはまた、エッジ・リング37の種々の具
体例(図3A乃至図3Cのそれと類似したもの)が破線で示されている。もちろ
ん、基板の外径は、ヒータ組立体18が基板を適切に支持できるよう、エッジ・
リング37の内側の直径よりも小さい寸法とする。
【0017】 ヒータ18上の位置が決まると、基板16は、真空固定又はチャック装置によ
って、ヒータ18の上面に固定される。図2Bから分かるように、真空固定装置
には、上部金属ブロック31の上面に形成された多数の溝29、上部金属ブロッ
ク31を通って溝29へつながる多数の垂直の真空導管45(図2Bにはこのう
ち二つだけを示してある)、垂直の真空導管45につながる真空通路47、そし
て真空通路47につながる垂直の真空入口49が含まれている。下部金属ブロッ
ク33の底部を通ってヒータ組立体18につながる真空入口49を、チャンバ1
2から離れたところに置かれている真空装置に接続することによって、固定装置
の真空が保たれる。固定装置の詳しい具体例については後述する。基板16は、
真空溝29によって上部金属ブロック31上に取り付けられることにより、ヒー
タ組立体18によって迅速かつ均一に加熱される。基板16の真空固定は、熱的
な接触を改善し、これにより温度の制御及び均一性がよくなる。
【0018】 堆積ガス及びキャリアガスは、バルブもしくはマスフロー・コントローラ(ma
ss flow controllers: MFC)17の制御に応答して、ガスライン19からマニホ
ールド14を通って供給される。処理の際、マニホールド14に供給されるガス
は、矢印27で示すように、基板の表面全体に均一に散布される。使用後のガス
及び副生成物のガスは、排気装置36によってチャンバから排出される。排気装
置36から排気ライン21へ排出されるガスの速度は、スロットル・バルブ28
によって制御される。
【0019】 ヒータ18が処理位置32に向かって上方に移動すると、基板16は、上のエ
ッジ部に意図していない堆積がなされるのを防ぐためのシャドー・リング54に
接触する。パージガス25は、ヒータ18の周囲への堆積を最小限に抑えるため
に、ヒータ18の周囲にも流される。これらのパージガス25はパージ・ライン
(図2A、24)から供給され、これらはまた、処理中にチャンバに導入される
侵蝕性のガスによってステンレス製のベロー26が損傷を受けるのを防止するの
にも用いられる。堆積の際に、エッジ・パージガス23は、堆積ガスが基板16
のエッジ部及び裏側の部分に接触するのを防止するために、基板のエッジ部に流
される。エッジ・パージガス23は、シャフト65内の垂直のパージ入口通路3
9aに入り、下部金属ブロック33内の垂直なパージ通路39bを通過して、上
部金属ブロック31の底面に形成されている多数のパージ通路40の中央連結部
39cに達する。そして、エッジ・パージガス23は、多数のパージガス通路4
0を流れて環状のパージ流路53に導かれ、複数のエッジ・パージ・ノズル38
に達する。環状のパージ流路53と各エッジ・パージ・ノズル38の間には、後
述のように、中間のノズル流路38aが設けられている。エッジ・パージガス2
3は、以下にさらに詳しく述べるように、エッジ・パージ・ノズル38(図2A
)からウェハ16の縁とは反対側に流れる。
【0020】 チャンバの最大電力プラズマCVD(PECVD)洗浄のために、マニホール
ド14にRF電源48を接続することもできる。別の具体例では、底部に電力を
供給するPECVD洗浄を備えることもできる。あるいは、チャンバの遠隔プラ
ズマ洗浄を行うために、離れたところに設けられたマイクロ波プラズマ装置(不
図示)をマニホールド14あるいはチャンバに接続することもできる。
【0021】 A.装置の制御 スロットル・バルブ28、ガス供給バルブ/MFC17、モータ20及び昇降
機構、ヒータ18内の抵抗ヒータ・エレメント、RF電源48その他のCVD装
置10の各部は、制御ライン44(その一部だけを図示する)を介して、プロセ
ッサ42によって制御される。プロセッサ42は、メモリ46などのコンピュー
タ読み取り可能な媒体に格納されたコンピュータ・プログラムの制御のもとで動
作する。コンピュータ・プログラムは、温度、チャンバ圧力、タイミング、ガス
の混合、RF電源のレベル、ヒータの位置その他の、それぞれの処理パラメータ
を指示する。
【0022】 好適な具体例では、システム・コントローラには、ハードディスク・ドライブ
、メモリ46、フロッピーディスク・ドライブ、プロセッサ42が設けられてい
る。プロセッサには、シングル・ボード・コンピュータ(SBC)、アナログ/
ディジタル入出力ボード、インターフェース・ボード、およびステッパ・モータ
制御ボードが含まれている。CVD装置10の種々のパーツは、ボード、カード
・ケージ(card cage)、コネクタ寸法などを定義するVersa Modular European
(VME)規格に適合している。VME規格はまた、16ビットデータバス及び
24ビットアドレスバスを有するバス構造を定義している。
【0023】 システム・コントローラ42は、CVD装置の動作のすべてを制御する。シス
テム・コントローラは、メモリ46等のコンピュータ読み取り可能な媒体に格納
されたコンピュータ・プログラムである、システム・コントローラ用のソフトウ
ェアを実行する。メモリ46はハードディスク・ドライブであることが望ましい
が、これ以外の種類のメモリであってもよい。コンピュータ・プログラムは、タ
イミング、ガスの混合、チャンバの圧力、チャンバの温度、RF電源のパワーレ
ベル、ヒータの位置、などの処理に関するパラメータを指示する命令セットを含
んでいる。たとえばフロッピーディスクなどの他の適当なドライブを含むその他
のメモリ装置に格納された別のコンピュータ・プログラムを用いてコントローラ
42を動作させることも可能である。
【0024】 基板処理装置内のシステム・モニタ及びCVD装置10の簡略化した図である
図2Cに示したように、CRTモニタ60aとライトペン60bが、ユーザーと
コントローラ42との間のインターフェースとなる。基板処理装置は、一又は二
以上のチャンバを含んでいてもよい。好適な具体例では二つのモニタを用いてお
り、一つはオペレータ用にクリーンルームの壁に取り付けられ、もう一つはサー
ビス技術者のために壁の後ろに設けてある。二つのモニタ60aは同時に同じ情
報を表示するが、ライトペン60bについては一方のみが有効とされる。ライト
ペン60bの先端の光センサは、CRTディスプレーから放射される光を検知す
る。特定のスクリーン或いは機能を選択するために、オペレータはディスプレー
のスクリーンの指定された領域をタッチして、ペン60bのボタンを押す。タッ
チされた領域は、ライトペンとディスプレーのスクリーンとの間の対話を確認し
ながら、ハイライト表示に変わるか、あるいは新しいメニューやスクリーンが表
示される。キーボード、マウスその他のポインティングデバイスや対話デバイス
などを、ライトペン60bの変わりに、あるいはライトペン60bと共に用いて
ユーザがコントローラ42と対話するようにしてもよい。
【0025】 膜の堆積処理は、コントローラ42によって実行されるコンピュータ・プログ
ラムを用いて行うことができる。コンピュータ・プログラムのコードは、コンピ
ュータが読み取り可能な従来からのプログラム言語であれば、任意のもので記述
できる。たとえば、68000アセンブリ言語、C、C++、Pascal、F
ortranその他である。適当なプログラム・コードは通常のテキストエディ
タを用いて単一のファイルあるいは複数のファイルに入力され、そしてコンピュ
ータのメモリ・システム等のコンピュータが利用できる媒体に格納され或いは統
合される。入力されたコードのテキストが高級言語である場合、コードはコンパ
イルされ、その結果得られるコンパイラのコードは予めコンパイルされているウ
ィンドウズのライブラリ・ルーチンのオブジェクト・コードとともにリンクされ
る。リンクされ、コンパイルされたオブジェクトコードを実行するには、システ
ムのユーザがオブジェクト・コードを呼び出し、コンピュータのメモリに当該コ
ードをロードさせる。その後、CPUはコードを読んで実行し、プログラムに定
められているタスクを実行する。
【0026】 図2Dは、詳細な具体例における、システムの制御ソフトウェアであるコンピ
ュータ・プログラム70の階層的な制御構造を例示したブロック・ダイアグラム
である。ユーザーは、CRTモニタに表示されたメニューあるいはスクリーンに
応じて、ライトペンのインターフェースを用いて、処理セットの番号及び処理チ
ャンバの番号を処理選択サブルーチン73に入力する。処理セットは、特定の処
理を実行するために必要な、予め決められている処理パラメータの組であり、予
め定義されているセット番号によって特定される。処理選択サブルーチン73は
、(i)希望の処理チャンバと、(ii)希望する処理を実行するための処理チャ
ンバを動作させるのに必要な希望の処理パラメータの組を特定する。特定の処理
を実行するための処理パラメータは、たとえばプロセスガスの組成、流速、温度
、圧力、RF電力レベルや低周波RF周波数などのプラズマ条件、冷却ガスの圧
力、チャンバ壁の温度などの処理条件に関するものである。これらのパラメータ
は、レシピ形態でユーザーに提示され、ライトペン/CRTモニタのインターフ
ェースを利用して入力される。
【0027】 処理をモニタするための信号は、システム・コントローラのアナログ及びディ
ジタルの入力ボードから入力され、処理をコントロールするための信号は、CV
D装置10のアナログ及びディジタルの出力ボード上に出力される。
【0028】 処理シーケンサ・サブルーチン75には、特定された処理チャンバ及び処理パ
ラメータの組を処理選択サブルーチン73から受け取るためのプログラム・コー
ド、および種々の処理チャンバの動作を制御するためのプログラム・コードが含
まれている。複数のユーザーが、処理セット番号及び処理チャンバの番号を入力
することができるし、また、単一のユーザーが複数の処理セットの番号及び処理
チャンバの番号を入力することもできる。そして、シーケンサ・サブルーチン7
5は、選択された処理を希望の順序となるようスケジュールを組み立てる。シー
ケンサ・サブルーチン75には、望ましくは(i)処理チャンバの動作をモニタ
してそのチャンバが使用されているかどうかを決定するステップ、(ii)使用さ
れているチャンバ内でどのような処理が実行されているかを決定するステップ、
(iii)処理チャンバを利用できるかどうか及び行おうとする処理が実行できる
かどうかに基づいて希望の処理を実行するステップ、の各ステップを実行するた
めのプログラム・コードが含まれている。処理チャンバをモニタする方法には、
ポーリング(polling)などの従来からの方法を用いることができる。どの処理
をどのように実行するかというスケジュールの組み立て(スケジューリング)を
行うときには、シーケンサ・サブルーチン75は、選択された処理に対する希望
の処理条件と比較した現在使用されている処理チャンバの状況や、リクエストを
入力した特定のユーザーの「年齢」や、あるいはシステム・プログラマがスケジ
ュール組立の際の優先順位を決定するために含めたいと考えたその他の関連する
ファクターを考慮に入れる。
【0029】 一旦シーケンサ・サブルーチン75が、次にどの処理チャンバ及び処理セット
の組み合わせを実行するかを決定したら、シーケンサ・サブルーチン75は、特
定の処理パラメータの組をチャンバ・マネージャ・サブルーチン77a〜77c
に渡して処理セットの実行を開始する。ここでチャンバ・マネージャ・サブルー
チン77a〜77cは、シーケンサ・サブルーチン75によって決定された処理
セットに基づいて、処理チャンバ12内において複数の処理タスクを制御する。
たとえばチャンバ・マネージャ・サブルーチン77aは、処理チャンバ12内に
おけるスパッタリング処理やCVD処理の動作を制御するためのプログラム・コ
ードを含んでいる。チャンバ・マネージャ・サブルーチン77はまた、種々のチ
ャンバ部品のサブルーチンも実行する。チャンバ部品のサブルーチンは、選択さ
れた処理セットを実行するのに必要なチャンバ部品の動作を制御するものである
。いくつかの具体例におけるチャンバ部品サブルーチンの例としては、基板位置
決めサブルーチン80、プロセスガス制御サブルーチン83、圧力制御サブルー
チン85、ヒータ制御サブルーチン87、およびプラズマ制御サブルーチン90
などがある。当該分野の通常の技術知識を有する者であれば、処理チャンバ12
内で実行しようとする処理がどのようなものであるかによって他のチャンバ制御
サブルーチンを含めることが可能なことは、容易に認識される。動作時に、チャ
ンバ・マネージャ・サブルーチン77aは、実行しようとする特定の処理セット
に基づいて、プロセス部品のサブルーチンの選択的なスケジューリングあるいは
を呼び出しを行う。チャンバ・マネージャ・サブルーチン77aは、ちょうどシ
ーケンサ・サブルーチン75がどの処理チャンバ12及び処理セットを次に実行
させるかをスケジューリングするのと同様に、プロセス部品サブルーチンをスケ
ジューリングする。チャンバ・マネージャ・サブルーチン77aには、典型的に
は、種々のチャンバ部品をモニタするステップ、実行しようとする処理の処理パ
ラメータに基づいて動作させるのにどの部品が必要かを決定するステップ、前記
モニタステップ及び決定ステップに応答してチャンバ部品サブルーチンの実行を
行わせるステップが含まれている。
【0030】 ここでは、特定のチャンバ部品サブルーチンについて、図2Dを参照して説明
する。基板位置決めサブルーチン80は、基板をヒータ18上にロードし、そし
て、基板とガス散布マニホールド14との間の間隔をコントロールするため基板
をチャンバ12内の所望の高さに持ち上げるのに用いるチャンバ部品を制御する
プログラム・コードを選択的に含んでいる。基板が処理チャンバ12内へロード
されると、ヒータは、基板を受け入れるために下げられ、その後ヒータ18はチ
ャンバ内で所望の高さまで持ち上げられて、CVD処理を行っているあいだ、ガ
ス散布マニホールド14から基板までを第一の距離もしくは間隔に維持する。基
板位置決めサブルーチン80は、動作時に、チャンバ・マネージャ・サブルーチ
ン77aから転送されてきた支持高さに関する処理セットパラメータに基づいて
、ヒータ18の動作を制御する。
【0031】 プロセスガス制御サブルーチン83は、プロセスガスの組成及び流速を制御す
るためのプログラム・コードを含んでいる。プロセスガス制御サブルーチン83
は、安全遮断バルブの開閉を制御し、また、希望するガスの流速を得るようマス
フロー・コントローラの傾斜を上下させる。プロセスガス制御サブルーチン83
は、他のすべてのチャンバ部品サブルーチンと同様に、チャンバ・マネージャ・
サブルーチン77aによって呼び出され、チャンバ・マネージャ・サブルーチン
から希望のガス流速に関する処理パラメータ受け取る。プロセスガス制御サブル
ーチン83は典型的には、ガス供給ラインを開き、(i)必要なマスフロー・コ
ントローラを読み、(ii)読んだ値をチャンバ・マネージャ・サブルーチン77
aから受け取った希望の流速と比較し、そして(iii)ガス供給ラインの流速を
必要に応じて調整する、という動作を繰り返す。プロセスガス制御サブルーチン
83はさらに、危険な状況が検知されたときにガスの流速の危険な流速をモニタ
するためのステップ、および安全遮断バルブを作動させるためのステップを含ん
でいる。
【0032】 いくつかの処理では、反応性のプロセスガスを流す前に、チャンバ12内の圧
力を安定化するために、ヘリウムやアルゴンなどの不活性ガスが流される。これ
らの処理では、プロセスガス制御サブルーチン83は、チャンバ内の圧力を安定
化するのに必要な時間だけ不活性ガスをチャンバ12内へ流すステップが含まれ
、それから上で述べたようなステップが実行されるようにプログラミングされて
いる。
【0033】 圧力制御サブルーチン85には、チャンバの排気系におけるスロットル・バル
ブの開口部の寸法を規制することによってチャンバ12内の圧力を制御するプロ
グラム・コードが含まれている。スロットル・バルブの開口部の寸法は、チャン
バ圧力が希望のレベルとなるように制御するよう設定されている。スロットル・
バルブの開口部のサイズは、排気系の合計のプロセスガス流、処理チャンバのサ
イズ、および排気設定点圧力に関する希望のレベルにチャンバ圧力を制御するよ
う設定される。圧力制御サブルーチン85が呼び出されると、所望の、あるいは
目標の圧力レベルが、パラメータとして、チャンバ・マネージャ・サブルーチン
77aから受け取られる。圧力制御サブルーチン85は、チャンバ12に接続さ
れた一又は二以上の通常の液柱圧力計を読むことによってチャンバ12内の圧力
を測定し、測定値を目標圧力値と比較し、格納されている目標圧力に対応した圧
力テーブルからPID(比例、積分、微分)値を得て、この圧力テーブルから得
られたPID値に基づいてスロットル・バルブを調整するよう動作する。あるい
はまた、チャンバ12を所望の圧力に規制するために、圧力制御サブルーチン8
5を、開口部が特定の寸法となるようにスロットル・バルブを開きあるいは閉じ
るように記述することもできる。
【0034】 ヒータ制御サブルーチン87は、基板16を加熱するための加熱ユニットに流
す電流を制御するためのプログラム・コードを含んでいる。ヒータ制御サブルー
チン87は、また、チャンバ・マネージャ・サブルーチン77aによっても呼び
出され、目標の或いは設定点の温度パラメータを受け取る。ヒータ制御サブルー
チン87は、ヒータ18内に設けられた熱電対の電圧出力を測定し、この測定値
を設定点温度と比較し、そして設定点温度となるよう、加熱ユニットに印加され
ている電流を増加させ或いは減少させる。温度は、測定された電圧値から格納さ
れている変換テーブル内の対応する温度を参照するか、あるいは四元多項式を用
いて温度を計算することによって得られる。埋め込まれたヒータ・エレメントを
用いてヒータ18を加熱するときは、ヒータ制御サブルーチン87は、ヒータ・
エレメントに印加されている電流の増加/減少を徐々に制御する。さらに、処理
が安全基準に沿っていることを検知するため内蔵型のフェイルセイフ・モードを
含めることができ、処理チャンバ12が適正に調整されていない場合には、ヒー
タの動作を停止させることができる。
【0035】 いくつかの具体例では、チャンバ12には、チャンバの洗浄その他の動作のた
めのRF電源48が備えられている。チャンバ洗浄プラズマ処理が行われるとき
は、プラズマ制御サブルーチン90には、チャンバ12内の処理電極に加えられ
るRF電源レベルの周波数を設定するためのプログラム・コードが含まれる。前
述のチャンバ部品サブルーチンと同様に、プラズマ制御サブルーチン90はチャ
ンバ・マネージャ・サブルーチン77aによって呼び出される。
【0036】 上記の一般的なCVD装置の説明は、基板処理装置の一つの例であり、主とし
て本発明を利用するための例示を目的としており、本発明の範囲を限定するもの
と解すべきではない。前記装置のRF電源の接続位置その他は、変えることがで
きる。本発明は、任意の具体的な処理装置には必ずしも限定されない。
【0037】 B.ヒータ組立体 図2Bは、図2Aの基板処理装置において用いられる、チャンバ12において
処理される基板16を支持するための抵抗加熱ペデスタル組立体18の具体例の
、単純化した垂直断面図である。本発明に基づいて、ヒータ組立体18には、基
板を支持するための上部金属ブロック31、埋め込まれたマルチループ抵抗加熱
エレメント34を有する下部金属ブロック33、金属のエッジ支持リング35が
含まれている。上部金属ブロック31の底面は、下部金属ブロック33の上面に
ろう付けして、上部及び下部の金属ブロック31及び33の間の熱移動を最大に
するのが望ましい。いくつかの具体例では上部及び下部の金属ブロック31及び
33は一緒にろう付けされいるが、他の具他例では上部及び下部の金属ブロック
31及び33及びシャフト65を一緒にろう付けしてもよい。詳細な具体例では
、上部金属ブロック31は約0.500〜0.700インチの厚さを有し、下部
金属ブロック33は約1.30〜1.55インチの厚さを有する。金属のエッジ
支持リング35は、上部金属ブロック31の外周にちょうどはまるように配置さ
れ、下部金属ブロック33に対する上部金属ブロック31のためのエッジ部の支
持手段となる。エッジ支持リング35の厚さは、詳細な具体例では0.15〜0
.25インチである。もちろん、ヒータ18の上部及び下部の金属ブロック31
及び33のいずれにも、これらを貫通する複数(たとえば3つ)のリフト・ピン
用の穴49が設けられている。これによりリフト・ピンは、穴49にはめ込まれ
たセラミックのスリーブを通して、基板をヒータ18に載せたり降ろしたりする
ことができる。詳細な具体例では、上部及び下部の金属ブロック31、33及び
金属のエッジ支持リング35は、アルミニウム製とする。支持リング35は、上
部及び下部の金属ブロック31及び33の側面の少なくとも一部に沿って延在し
ており、環状のパージ流路53の外側の壁を形成して、パージガスを流路53内
に閉じ込める。上で述べたように、ヒータ組立体18は、上部金属ブロック31
に形成された複数のノズル38とともに形成される一体的なエッジ・リング37
を含んでいる。支持リング35は、また、上部金属ブロック31に関連してエッ
ジ・リング37に安定性を付与する。詳細な具体例によれば、エッジ支持リング
35は、アルミニウム製の金属ブロック31及び33に溶接され、また、エッジ
・リング37の外側のエッジに溶接されている。この溶接は、望ましくは、電子
ビーム溶接によって行う。この溶接は、図2Bにおいて矢印wとして示されてい
る。もちろん、他の詳細な具体例では、ブロック31及び33及びリング35を
、異なる材料から製造し、異なる方法(ろう付け、溶接、あるいは接続など)で
違いに接合することもできる。
【0038】 後に図2B、図4A、図4B、図5A、図5B及び図6との関連で説明するよ
うに、ヒータ組立体18は、上部及び下部の金属ブロック31及び33が並べら
れ、そして支持リング35によって一緒に接続される。特に図4A及び図4Bは
それぞれ、図2に示したヒータ組立体18の上部金属ブロック31の一具体例を
下から見た図及び上から見た図である。図5A及び図5Bは、それぞれ、図2B
のヒータ組立体18の下部金属ブロック33の一具体例を上から見た図及び下か
ら見た図である。ヒータ組立体18を構成したときに、上部金属ブロック31の
底面は下部金属ブロック33の上面と向かい合っている。図6は、シャフト65
を除いて示したヒータ組立体18の詳細な具体例の一部を切り取った(図4A及
び図5Aにおける線B−B′に沿って)三次元の図を示している。図2A、図2
B、図4A、図4B、図5A、図5B、図6、図7A、図7Bは、寸法を定める
ものではないことに注意する。
【0039】 詳細な具体例によれば、ヒータ・エレメント34は、普通の材料(たとえばM
gOの絶縁体で囲まれ、金属の鎧装に入れられたニクロム線)から作られ、ヒー
タ組立体18の下部金属ブロック33に埋め込まれたマルチループの加熱エレメ
ントである。好適な具体例では下部金属ブロック33の中に設けられるエレメン
ト34(Incoloy(商標)、Inconel(商標)或いはステンレススチールの鎧装或
いはその他のアルミニウムの鎧装材が適さない、高温を必要とする鋳造/溶接の
具体例に適する鎧装材を用いる)は、バルク状のヒータ18と良好に接触し、上
部及び下部金属ブロック31及び33を均一に加熱し、また、この上に取り付け
られた基板16を均一に加熱することができる。他の具体例では、加熱エレメン
ト34を、下部金属ブロック33の上半分と下半分(たとえば図2Bの破線で示
すように)の間でろう付けし、加熱エレメント34にアルミニウム或いはその他
の材料(バルク状のヒータ材料にろう付けできるもの)の鎧装を持たせてもよい
【0040】 ヒータ組立体18は、基板16の後ろ側及びエッジ部への意図していない堆積
を防ぐためのエッジ・パージガスを与える。詳細な具体例に基づいて、図4A及
び図4Bそれぞれに、図2Bに例示した上部金属ブロック31の底部及び上部の
図を示す。上で述べたように、エッジ・パージガス23は、シャフト65内の垂
直パージ入口通路39aを通り、下部金属ブロック33内の垂直パージ入口通路
39bへ達し、そして上部金属ブロック31の底面に形成された多数のパージ通
路40の中央連結部39cに達する。詳細な具体例によれば、垂直パージ入口通
路39bの直径は約0.250〜0.500インチであり、中央連結部39cの
直径は約0.500〜1.00インチである。複数のパージ流路40は、上部金
属ブロック31の底面41に(図2Bに破線で示す)、中央連結部39cから上
部金属ブロック31の外周へ向かって放射状に広がるように形成されている。中
央連結部39cからパージ流路40のそれぞれへつながるように流路導管40a
が設けられており、その直径或いは幅(形状が円形か四角形かによる)は約0.
170〜0.190インチである。他の具体例では、流路導管40aを、中央連
結部39cから各流路40の開口部へ向かってテーパーを付けた形状とすること
もできる。パージ流路40の幅は、詳細な具体例において約0.250〜0.3
50インチであり、長さは約2.5〜3.75インチである。パージ流路40の
幅を流路導管40aに比べて太くしたことにより、エッジ・パージガスの圧力低
下が緩和され、ガス流の流動性が高められ、これにより流れの乱れが防止される
。パージ流路40の幅及び長さはまた、中央連結部39cを介して垂直なパージ
入口通路39a、39bから入る入口での圧力に起因する流路40内におけるエ
ッジ・パージガス23の乱れを防止するよう最適化される。パージ流路40の上
面及び側面は上部金属ブロック31から機械加工で形成され、パージ流路40の
底面は、図6に示すように下部金属ブロック33の上面61によって与えられる
。好適な具体例では、パージ流路40のエッジ部は流れの乱れを考慮して、図4
Aに示すように曲線形状とされている。複数のパージ流路40は均等に、望まし
くは対称に配置され、かつ容易に描くことができる設計とする。上部金属ブロッ
ク31の適当な位置には、内周51から各パージ流路40へつながる、ドリルで
開けられた穴52が設けられている(図4Aに破線で示す)。詳細な具体例では
、穴52の幅或いは直径は、0.200〜0.300インチであるが、穴52は
円錐型にテーパーを付けたものなど他の形状とすることもできる。これにより、
エッジ・パージガス23は、パージ流路40から穴52を通って、環状のパージ
流路53に達する。
【0041】 本発明のヒータ18では、図2Bに示すように、エッジ・リング37の底面4
3が、環状のパージ流路53の上面を形成する。図2B、図4A、図4Bから分
かるように、上部金属ブロック31には、外側の周50と内側の周51がある。
上部金属ブロック31の内側の周、エッジ・リング37の底面43、下部金属ブ
ロック33の上面61、そしてエッジ支持リング35の内側の面は、環状のパー
ジ流路53を形成し、パージ流路40からのエッジ・パージガス23はここから
流れ出る。流路53内のエッジ・パージガス23は、エッジ・リング37の底面
43に設けられた中間のノズル流路38aへ入り、真空溝29によって上部金属
ブロック31上に真空固定された基板の周囲の近傍に設けられたノズル38から
流れ出る。これにより、基板16のエッジ部や裏側の面への意図していない堆積
は、最小限に抑えられる。
【0042】 本発明の詳細な具体例では、エッジ・パージガスは、パージガスライン38c
から流路導管40aを介して、半径方向に一様に配置された複数のパージ流路4
0へ移動し、そして穴52を通って環状のパージ流路53に達する。したがって
、本発明のヒータ組立体では、パージガスがどの流路40を移動するかに関係な
く、エッジ・パージガスは、異なる位置でもほとんど均一で有効な圧力となる。
全体として対称で均等に配置され、パージガス入口39b〜39cから半径方向
に延在する複数のパージ流路40(種々の具体例で、たとえば3個から9個、あ
るいはそれ以上とすることができる)によって、パージガスの流れは、乱れずに
スムーズに環状のパージ流路53に達する。またこのヒータによれば、環状のパ
ージ流路53内の異なる位置においてパージガスの有効圧力の違いが最小限に抑
えられることによって、良好な膜の均一性が得られる。
【0043】 エッジ・パージガスは、環状のパージ流路53から各中間ノズル流路38aへ
入って、対応するノズル38に流入し、ここでエッジ・パージガスは基板16の
エッジ面に一様に分散される。上で言及したように、上部金属ブロック31は一
体化されたエッジ・リング37を含んでおり、これは上部金属ブロック31を通
る図4Bに示すような多数のエッジ・パージガスノズル38によって形成されて
いる。詳細な具体例では、ノズル38の直径は約0.015〜0.060インチ
の範囲が望ましく、最も望ましくは約0.020〜0.025インチの範囲であ
り、長さは約0.060〜0.24インチの範囲が望ましく、最も望ましくは約
0.08〜0.10インチの範囲である。そして上部金属ブロック31の外周の
直径よりも小さな直径(詳細な具体例では望ましくは約11.72インチとする
)の円に沿って均等に配置されている。ノズル38の数は、詳細な具体例では、
約180〜720個の範囲が望ましく、より望ましくは240〜480個の範囲
である。ノズル38とノズル38の間隔は、中心間の間隔が望ましくは約0.2
00〜0.052インチの範囲であり、より望ましくは約0.155〜0.07
6インチ、最も望ましくは約0.100インチである。各ノズル38と環状のパ
ージ流路53の間には中間ノズル流路38aがあり、図4Aから分かるように、
これは上部金属ブロック31の底部から形成されている。中間ノズル流路38a
の直径は、ノズル38のそれよりも大きい。中間ノズル流路38aの直径は0.
030〜0.125インチの範囲が望ましく、最も望ましくは約0.040〜0
.050インチの範囲であり、深さは約0.040〜0.100インチの範囲、
最も望ましくは約0.060〜0.080インチの範囲であり、中間ノズル流路
38aは、上部金属ブロック31の外周の直径よりも小さな直径(詳細な具体例
では望ましくは約11.85インチとする)の円に沿って均等に配置されている
が、この円の直径は上部金属ブロック31の上面にノズル38によって形成され
る円の直径よりも僅かに大きい。中間ノズル流路38aの直径をノズル38のそ
れよりも大きくすることによって、環状のパージ流路53から出てゆくエッジ・
パージガス23には中間的な空間が与えられ、これにより流れと圧力がより安定
化して、均一なノズル速度及びガス分布でノズル38に入って行く。処理条件が
異なる場合のノズル38の望ましい数及びノズル間の望ましい間隔は、いくつか
のノズルを含む長さにわたってエッジ・パージガスの流れが均一となるようなも
のとして最適化する。注意すべき点として、多数のノズル38によって形成され
る円及び多数の中間ノズル流路38aによって形成される円の直径は、図3A〜
図3Eに示すような種々の詳細な具体例に応じて、一つには、基板寸法の直径と
、その縁部のエッジ・リング37に対する関係とから決定される。多数のノズル
38からなる円は、有効に溝のように振る舞うと同時に、エッジ・リング37の
上部金属ブロック31に対する構造的な一体性及び強度を維持し、これにより4
00℃あるいはこれを越えるような処理温度でも、エッジ・リングの変形が有効
に防止される。
【0044】 上で議論したように、ヒータ組立体18は、上部金属ブロック31の上面に形
成された多数の溝29を有する真空固定装置を含んでいる。図4Bから分かるよ
うに、溝29は全体的には同心円状の複数の溝からなり、これらが上部金属ブロ
ック31に形成された垂直な真空導管45の位置に対応する位置に設けられた半
径方向の溝によってつながっている。当然、真空溝29は、ヒータ組立体18の
リフト・ピン穴49を避けるように配置されている。真空固定装置は、垂直真空
入口49に結合されて真空に維持される。垂直真空入口49は、ヒータ組立体1
8に入り下部金属ブロック33の底部を通って、チャンバ12から離れた位置に
設けられたポンプ装置につながっている。このようにほとんど均一な溝29のパ
ターンによって、基板はヒータ組立体18の上部金属ブロック31上に良好に固
定され(信頼性が高く、熱接触が改善される)、これによりヒータ18による基
板16の加熱の迅速性かつ均一性が向上する。他の具体例で、これ以外の溝パタ
ーンや他の幾何学的形状の溝29を用いることは当然可能である。
【0045】 ヒータ組立体18は、上部金属ブロック31の他に、下部金属ブロック33を
含んでいる。図5A及び図5Bはそれぞれ、図2Bのヒータ組立体18の下部金
属ブロック33の一具体例を上から見た図および下から見た図である。ヒータ・
エレメント34は、下部金属ブロック33の内部に設けられている(図2Bに示
してあり、また図5Bに破線で示す)。ヒータ・エレメント34は、下部金属ブ
ロック33の中で、下部金属ブロック33の上面61と下面62からほぼ等距離
の位置に設けられている。上で述べたように、ヒータ・エレメント34は、三重
のループからなるヒータ・エレメントである。ヒータ・エレメント34は、ヒー
タ18の中心の回りで半円の弧を描くようにループして三つの同心状の「切り離
された」半円を形成しているが、ヒータ・エレメント34は単一の抵抗加熱エレ
メントである。またその両端には、電極61a及び61bを有しており、これら
は下部金属ブロック33の中央の底部63から突き出ている。ヒータ・エレメン
ト34内に複数の半円状の弧をこのように配置することによって、直径の大きい
ヒータ18の全体にわたって良好に加熱できる。ヒータ・エレメント34は、当
然リフト・ピンの穴49を避けるように配置されている。中央の底部63は、シ
ャフト65と同様の形状とされ、シャフト65がろう付けにより或いはその他の
方法でここに接続される。また中央の底部63は、穴66を通して配置されたス
タブ(図5Bには示していない)と整列するよう配置される。
【0046】 図2B及び図5Aから分かるように、下部金属ブロック33内のヒータ・エレ
メント34の上には真空通路47が配置されている。詳細な具体例では、真空通
路47は、下部金属ブロック33の上面61から機械加工によって形成される。
図6に示すように、真空通路47の側面及び底面は、下部金属ブロック33によ
って形成され、真空通路47の上面は、上部金属ブロック33の底面41によっ
て与えられる。詳細な具体例の下部金属ブロック33を上から見た平面図である
図5Aに示すように、真空通路47はスポークを有する環状の真空通路を含んで
いる。このスポークの向きは半径方向であるが、下部金属ブロック33の中央ま
では達しておらず、途中で途切れている。下部金属ブロック33の中央にはパー
ジガス入口39bが設けられており、これは下部金属ブロックを厚さ方向に貫通
している。真空ガス通路47は、切り詰められたスポークを複数(種々の具体例
に応じて3個から9個あるいはそれ以上。この具体例では7個)含んでいてもよ
い。切り詰められたスポークのうち他のものよりも長い一本のスポークは、その
端部が垂直真空入口49に達しており、真空溝29へつながる垂直の真空導管4
5を介して、上部金属ブロック31の上面に基板16を真空固定する際に用いら
れる真空ポンプへ導かれている。詳細な具体例では、真空通路47は全体として
は約0.250〜0.500インチの幅で、真空通路47の切り詰められたスポ
ークの長さは約2.5〜3.5インチである。好適な具体例では、真空通路47
のエッジ部にはカーブが設けられている。垂直の真空導管45(上部金属ブロッ
ク31の厚さ方向に貫通して形成されている)は、下部金属ブロック33の真空
通路47の切り詰められたスポークの位置に実質的に対応する位置に設けられて
いる。詳細な具体例では、真空通路47の切り詰められたスポークのうち垂直真
空通路49につながるものは入力スポークとなるので、垂直の真空導管45の位
置には対応していない。
【0047】 詳細な具体例に基づいて、上部金属ブロック31の底面41に設けられている
アライメント・スタブ63は、上部金属ブロック31及び下部金属ブロック33
をエッジ支持リング35とともに正しく整列してろう付けして(あるいは他の方
法で接合して)ヒータ組立体18を形成することができるよう、下部金属ブロッ
ク33の上面61上に位置するアライメント凹部64内にはめ込まれる。この詳
細な具体例では、アライメント・スタブ63及びアライメント凹部64は、リフ
ト・ピン用の穴49とともに、ヒータ組立体18の製造の際に位置決に供される
。もちろん他の具体例で製造するときには、他の位置決め方法を用いることもで
きる。この詳細な具体例では、上部及び下部金属ブロック31及び33は、上部
金属ブロック31に形成された複数のパージ流路40が、下部金属ブロック33
に形成された真空通路47の複数の切り詰められたスポークの位置からずらして
、あるいは一致しないように位置決めされる。パージ流路40の底面は、下部金
属ブロック33の上面61を用いて形成され、真空通路47の上面は、上部金属
ブロック31の底面を用いて形成される。このようにパージ流路40は、切り詰
められたスポークの真空通路47から切り離されており、これにより、パージ流
路からのガスは真空通路に入ることはできず、また、真空通路からのガスはパー
ジ流路へ入ることはできない。当然、パージ流路40を下部金属ブロック33上
に形成し、真空通路47を上部金属ブロック31上に形成することも可能であり
、また、本発明の他の具体例では、パージ系と真空系が互いに分離されているこ
とを条件として、適当な変更を加えることによって、パージ流路40と真空通路
47の両方を、上部金属ブロック31或いは下部金属ブロック33のいずれか一
方に形成することもできる。
【0048】 詳細な具体例では、ヒータ組立体18に熱電対を設けることができ、これはシ
ャフト65、下部金属ブロック33及び上部金属ブロックの底面61を通るよう
形成された穴69を通して挿入できる。これにより、熱電対は、上部金属ブロッ
ク31の表面に接近し、この上に支持されている基板の近くでヒータ18の温度
を測定することができる。図5bから分かるように、詳細な具体例では、垂直パ
ージ入口39bは、下部金属ブロック33の中央の底部63においてスロット6
8に接続されている。詳細な具体例では、シャフト65の中央からずらされてい
る垂直パージ入口39aとスロット68が一致するように、スロット68はヒー
タ組立体18の中央からずらされている。スロット68が中央からずらされてい
るのは、主としてシャフト65内におけるスペースと幾何学的な制限という問題
による。
【0049】 図7A及び図7Bはそれぞれ、本発明の一具体例に基づいた、図2Bに示した
ヒータ組立体18のシャフト65の、上から見た平面図および横から見た断面図
である。シャフト65は、この詳細な具体例ではアルミニウム製であるが、他の
具体例では別の材料で製造することもできる。好適な具体例では、シャフト65
は中空とされているが、これは、長さ方向に沿って下方に熱が伝わりにくくして
ヒータの加熱エレメント34からの熱の損失を少なく抑え、ヒータ組立体18の
温度の均一性を改善するためである。図7Aはシャフト65を上から見た平面図
である。シャフト65の上面には、ヒータ組立体18の下部金属ブロック33の
中央底部63に取り付けるための、適当な通路及びスタブが設けられている。詳
しく言うと、上面91には、穴66a、66b(図5B)のそれぞれへ挿入する
ためのアライメント・スタブ93a及び93bが設けられている。またシャフト
65には、スタブ穴95a及び95bが設けられている。ここにはそれぞれ、ヒ
ータ・エレメント34の電極61a及び61bの端部が挿入され、電源及び加熱
制御装置に接続される。シャフト65の内部では、電極61a及び61bの端部
に接続される配線は、たとえばセラミックスリーブ105などによって分離され
る。セラミックスリーブ105は、図7Bに示すように、その中に電極61b(
穴95bを介してシャフトに入る)に接続される配線が配置される。さらに、シ
ャフト65の上面91には適当な穴99が設けられており、ここを通して熱電対
を下部金属ブロック33の穴69へ挿入することが出きる。一つの選択肢として
、シャフト65内でガイドとして用いる熱電対用の穴99につながる通路を設け
ることもできる。通路39aは、垂直のパージ入口39aとしての役割を果たし
、スロット68を介して、中央底部63において垂直のパージ入口39bにつな
がる。通路101は、通路101と39aの間、通路101とシャフト65の外
側との間、そして通路39aとシャフト65の外側との間に漏れ経路が生じない
ように、シャフト65内において通路39aと同様に形成される。真空固定装置
に対して入口を設けることによって、通路101は、ずらして上面91に形成さ
れたスロット103を介して接続され、中央底部63の真空入口49につながる
。シャフト65は、また、上で参照として組み入れられた米国特許出願第08/
738,240号に記載されているような昇降機構において利用するための肩部
109を選択的に含むようにしてもよい。肩部109が設けられていないシャフ
ト65の具体例は、上で参照として組み入れられた米国特許出願第08/892
,612号に記載されているような昇降機構と共に用いることができる。図7B
には示していないが、シャフト65の底部における垂直なパージ通路39aのベ
ース部には、ガスの密閉を維持するためのOリングなどの密閉部材が設けられて
いる。同様に、シャフト65の底部における真空通路101のベース部には、真
空密閉を維持するための密閉部材が設けられている。電極61a及び61bから
の配線のための適当な電気的な接続手段もまた、必要に応じて密閉部材と共に、
シャフト65のベース部に形成されている。
【0050】 上記のCVD装置の説明は、主として例示を目的としたものであり、本発明の
範囲を制限するものと解すべきではない。上で説明した装置は、たとえばプラテ
ンあるいはサセプタの設計、ヒータの設計、或いはRF電源の接続部の位置その
他について変形することが可能である。本発明のヒータの設計は、特定の処理装
置と共に利用する場合に限定されるものではない。
【0051】 III.CVD反応装置を用いた高温の多段処理 A.典型的な構造及び応用 (典型的な構造) 図8は、本発明の具体例に基づいて堆積された典型的なタングステン膜を利用
した集積回路200の簡略化した断面図を例示している。同図に示すように、集
積回路200は、NMOS及びPMOSトランジスタ203及び206を含んで
いる。各トランジスタ203及び206は、ソース領域212、ドレイン領域2
15、ゲート領域218を含んでいる。これらのトランジスタは、局所的なシリ
コンの酸化(LOCOS)その他の技術によって形成されたフィールド酸化物領
域220によって、互いに電気的に分離されている。あるいは、トランジスタの
分離にトレンチ分離構造を用いてもよい。
【0052】 コンタクト224によるメタル層240と各トランジスタとの間の接続部を有
する、メタル層を堆積する前の絶縁層(PMD)221は、トランジスタ203
及び206をメタル層240から分離している。メタル層240は、集積回路2
00に含まれる四つのメタル層(240、242、244、246)のうちの一
つである。各メタル層は、間の絶縁層227、228、229によって相互に分
離されている。隣接するメタル層は、選択的な開口部においてバイアス(vias)
226により接続されている。これらは、通常、タングステンプラグである。メ
タル層246の上には、平坦化されたパッシベーション層230が堆積されてい
る。
【0053】 簡略化して示した集積回路200は、例示のみを目的としたものであることを
理解すべきである。当業者であれば、マイクロプロセッサ、特定用途向け集積回
路(ASIC)、メモリデバイスなどの集積回路において用いられるその他の膜
の形成のために、本発明を実施することができる。
【0054】 (典型的な処理) 本発明は、典型的なCVD装置10あるいはその他の基板処理装置内で、タン
グステンなどの薄膜の堆積に利用することができる。以下に基板上へのタングス
テン膜のCVD堆積の処理を、CVD処理の例として説明する。本発明は、この
CVD処理とともに利用することができる。以下の例示は、例示のみを目的とす
るものであり、本発明が、典型的な処理から堆積される膜に限定されるものでは
ないことを理解すべきである。この処理は、CVD装置10のメモリ46に格納
されたコンピュータ・プログラムを利用して実施され、制御される。
【0055】 典型的な処理では、処理チャンバ12内に配置されたウェハ16上にタングス
テン膜が堆積される。この堆積手順には二つの主要なステップ、すなわち、核化
(nucleation)とバルク堆積(bulk depositio)が含まれる。核化のステップで
は、その後に堆積する膜に対する成長サイトとしての役割を果たす、タングステ
ンの薄い層を成長させる。核化の成長ステップでは、六フッ化タングステン(W
6)、シラン(SiH4)、窒素(N2)、水素(H2)、アルゴン(Ar)を含
む処理ガスがチャンバに導入され、チャンバは指定されているレベルまで加熱及
び加圧され、更なる堆積に必要な最初のタングステンの種となる層を堆積させる
【0056】 核化のステップの完了後、バルク堆積のステップが開始され、残りのタングス
テン膜が堆積される。バルク堆積のステップではWF6、N2、H2、Arを含む
混合された処理ガスがチャンバに導入される。この処理ガスには、核化ステップ
のときよりも高い濃度のWF6が含まれている。ウェハのエッジ部及び裏側への
堆積、そして典型的なチャンバを参照して説明したサセプタへの堆積を防ぐため
に、核化のステップ及びバルク堆積のステップの両方でエッジ・パージガスを用
いることができる。しかしながら、パージガスは、チャンバの堆積を意図してい
ないすべての領域での堆積を防ぐものではないので、乾式洗浄のステップが必要
であることは変わらない。
【0057】 一つの好適な処理では、核化の層の堆積処理の間チャンバへは、WF6は毎分
約30〜50標準立方センチメートル(sccm)の速度で導入され、SiH4
は約15〜25sccmの速度で導入され、N2は約450〜750sccmの
速度で導入され、H2は約1500〜2500sccmの速度で導入され、Ar
は約1500〜2500sccmの速度で導入される。また、核化の層の堆積処
理の間ウェハは約450℃に加熱され、圧力は約1〜10torrの範囲、望ま
しくは4.5torrに維持される。次に、バルク層の堆積の間、チャンバへは
、WF6が約140〜240sccmの速度で導入され、N2は約450〜750
sccmの速度で導入され、H2は約1050〜1750sccmの速度で導入
され、Arは約1500〜2500sccmの速度で導入される。
【0058】 この好適な処理では、核化ステップの間は、エッジ・パージガスはArだけか
らなり、約750〜1250sccmの速度で導入され、バルク堆積ステップの
間は、エッジ・パージガスはArとH2からなり、それぞれ2700〜4000
sccm、495〜825sccmの速度で導入される。パージガスに水素を加
えるのは、基板のエッジ部における堆積を良くするためである。
【0059】 上で述べたタングステン堆積処理のための条件は本発明の典型的なものではあ
るが、これ以外の条件を用いることもできる。上記の説明は、タングステンの堆
積を、300mmウェハに適用可能で、合計体積が約15リットルである、アプ
ライドマテリアルが提供するWxZチャンバにおいて可能な、基板処理の単なる
例として議論しているが、他の種々の基板処理ももちろん可能である。上記の堆
積の説明は、好適な温度(たとえば約450℃)で行う旨を述べたが、本発明は
、これよりも低い、あるいは高い温度の他の処理に対しても利用することができ
る。
【0060】 IV.結論 上記の説明は例示を意図したものであり、限定的なものでないことが理解され
るべきである。上記の説明を参照することにより、多くの具体例が当業者にとっ
て自明となる。ここでは本発明を、主としてタングステン処理の方法に関して例
示したが、本発明はこれらに限定されるものではない。ヒータ18は、約400
℃の処理温度でタングステン膜などの薄い均一な膜を堆積させるのに有用である
。しかし、ヒータ18は、他の適用例では、主としてアルミニウムから作られた
ヒータで、200℃〜450℃の範囲の温度で、薄くて一様な別の種類の膜を堆
積させるのにも利用することができる。ヒータ18を、Hastelly(商標)、Hayn
es(商標)242 その他の、より高い温度に加熱できる金属合金などの材料から作
られた他の詳細な具体例で、ヒータ18を、450℃より高い温度で薄膜を堆積
させるのに利用することができる。詳細な具体例に対して上で説明した種々の寸
法は限定的なものと解すべきではなく、より大きな或いはより小さな直径を有す
るヒータ組立体とすることが可能である。当然、上の説明は、発明の範囲を限定
するものと解すべきてはない。他の具体例に基づいて形成された膜は、別の種類
の金属を含む膜、ドープされていない絶縁膜、ドープされた絶縁膜、ポリシリコ
ン膜などであってもよい。もちろん、上で議論したCVD装置は、400℃より
も低い温度、あるいは500℃よりも高い温度での絶縁層の堆積にも利用するこ
とができる。加えて、本発明の種々の特徴は、他の応用にも利用することができ
る。当業者であれば、本発明の特許請求の範囲の記載範囲内において、他の等価
な或いは代替可能な具体例を認識することができる。したがって、本発明の範囲
は、上記の説明を参照して判断されるべきではなく、特許請求の範囲の各請求項
の記載と、各請求項に対して均等と認められる範囲の全体に基づいて判断される
べきである。
【図面の簡単な説明】
【図1A】 従来技術の加熱装置1の概略垂直断面図である。
【図1B】 図1Aの加熱装置1を上から見た概略平面図である。
【図2A】 本発明の化学気相成長装置の一具体例の垂直断面図である。
【図2B】 図2Aのチャンバにおいて使用される、チャンバ内で処理される基板を支持す
るための抵抗加熱型ヒータ/サセプタの一具体例の概略垂直断面図である。
【図2C】 マルチチャンバ装置におけるシステム・モニタとCVD装置10の概略図であ
る。
【図2D】 詳細な具体例に基づく、システム・コントローラ・ソフトウェア、コンピュー
タ・プログラム70の階層制御構造の例示的なブロック・ダイアグラムである。
【図3A】 本発明の種々の詳細な具体例に基づいた、一体的なエッジ・リング37に関す
る、基板16の典型的な図を示す。
【図3B】 本発明の種々の詳細な具体例に基づいた、一体的なエッジ・リング37に関す
る、基板16の典型的な図を示す。
【図3C】 本発明の種々の詳細な具体例に基づいた、一体的なエッジ・リング37に関す
る、基板16の典型的な図を示す。
【図3D】 本発明の種々の詳細な具体例に基づいた、一体的なエッジ・リング37に関す
る、基板16の典型的な図を示す。
【図3E】 本発明の種々の詳細な具体例に基づいた、一体的なエッジ・リング37に関す
る、基板16の典型的な図を示す。
【図4A】 図2Bに示したヒータ組立体18の上部金属ブロック31の一具体例を下から
見た平面図である。
【図4B】 図2Bに示したヒータ組立体18の上部金属ブロック31の一具体例を上から
見た平面図である。
【図5A】 図2Bのヒータ組立体18の下部金属ブロック33の一具体例を上から見た平
面図である。
【図5B】 図2Bのヒータ組立体18の下部金属ブロック33の一具体例を下から見た平
面図である。
【図6】 ヒータ組立体18の詳細な具体例をシャフト65を除いて三次元的に示した一
部切り欠き斜視図である。
【図7A】 本発明の具体例に基づいた、ヒータ組立体18のシャフト65を上から見た平
面図である。
【図7B】 本発明の具体例に基づいた、ヒータ組立体18のシャフト65の断面図である
【図8】 本発明のの具体例に基づいて製造された半導体デバイスの概略断面図である。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 サジョート ターレックス アメリカ合衆国 カリフォルニア州 95128 サン ホセ ティークウッド ド ライヴ 1373−#94 (72)発明者 セリューティン レオニード アメリカ合衆国 カリフォルニア州 94579 サン リーンドロ ブレッケンリ ッジ ストリート 1226 【要約の続き】 周に形成された環状パージガス流路とを含んでいる。パ ージガス流路は実質的に対称なパターンを形成し、各パ ージガス流路は実質的に同じ長さとされている。詳細な 具体例では、この組立体には、外周近傍の多数の穴を介 して表面とつながり、金属ペデスタルと一体的なパージ ガイドリングを形成する、環状パージガス流路が含まれ ている。これ以外にも、本発明の具体例が示されてい る。

Claims (21)

    【特許請求の範囲】
  1. 【請求項1】 基板処理装置において使用するヒータ組立体であって、 前記ヒータ組立体は、 基板を支持するための表面を含む金属ペデスタルと、 前記金属ペデスタル内に配置された抵抗加熱エレメントと、そして、 前記金属ペデスタル内に配置されたパージガス流路系とを有し、 前記パージガス流路系は、 前記金属ペデスタルの実質的に中央部に位置している中央パージガス入口を
    有し、これはパージガスを与えるためのものであり、 前記中央パージガス入口から前記金属ペデスタルの周囲に向かって放射状に
    設けられた複数の放射状パージガス流路を有し、これらは実質的に対称なパター
    ンを形成し、かつそれぞれが近似的に同じ長さである、 ことを特徴とするヒータ組立体。
  2. 【請求項2】 請求項1記載の装置において、さらに、前記金属ペデスタル
    の前記周囲に形成された環状パージガス流路が設けられ、前記環状パージガス流
    路は、前記金属ペデスタルと一体的なパージガイドリングを与えるよう、前記周
    囲の近傍の複数の穴を介して前記表面につながっていることを特徴とする請求項
    1記載の装置。
  3. 【請求項3】 前記金属ペデスタルは、上部金属ブロックと、前記上部金属
    ブロックに接続された下部金属ブロックを有することを特徴とする請求項1記載
    の装置。
  4. 【請求項4】 前記複数の放射状パージガス流路は、前記上部金属ブロック
    に形成されていることを特徴とする請求項3記載の装置。
  5. 【請求項5】 前記複数の放射状パージガス流路の上部及び側部は前記上部
    金属ブロックの底面に形成され、前記複数の放射状パージガス流路の底部は前記
    下部金属ブロックの上面により形成されていることを特徴とする請求項4記載の
    装置。
  6. 【請求項6】 前記金属ペデスタルは、さらに、前記上部金属ブロックの周
    囲に配置されたエッジ支持リングを含み、前記環状パージ流路の内側の壁及び上
    面は前記上部金属ブロックにより形成され、前記環状パージ流路の外側の壁は前
    記エッジ支持リングにより形成され、前記環状パージ流路の底面は前記下部金属
    ブロックの前記上面により形成されていることを特徴する請求項5記載の装置。
  7. 【請求項7】 前記抵抗加熱エレメントは前記下部金属ブロックの内部に設
    けられ、前記抵抗加熱エレメントは前記金属ブロック内で三つの「切り離された
    」同心状の半円を形成する多重ループを有することを特徴とする請求項1記載の
    装置。
  8. 【請求項8】 請求項6記載の装置において、さらに、前記ペデスタルに形
    成された真空固定装置が設けられ、前記真空固定装置は、 前記金属ペデスタルの前記表面に配置された複数の真空溝を有し、これらは前
    記金属ペデスタルに基板を固定するものであり、 複数の真空通路を有し、これには環状の真空通路および前記環状の真空通路に
    接続された複数の切り詰められたスポーク状真空通路が含まれ、 複数の真空導管を有し、これらは、前記複数の真空通路のうちの少なくとも一
    つを、前記真空溝のうちの少なくとも一つに接続するものである、 ことを特徴とする請求項6記載の装置。
  9. 【請求項9】 前記複数の真空通路は前記下部金属ブロックに形成され、前
    記上部金属ブロックと前記下部金属ブロックは、前記複数の放射状パージ流路が
    前記複数の切り詰められたスポーク状真空通路からずらされ、かつ、隔てられる
    よう、整列されていることを特徴とする請求項8記載の装置。
  10. 【請求項10】 請求項1記載の装置において、さらに、前記金属ペデスタ
    ルに形成された真空固定装置が設けられ、前記真空固定装置は、 前記金属ペデスタルの前記表面に配置された複数の真空溝を有し、これらは基
    板を前記金属ペデスタルに固定するものであり、 前記金属ペデスタルの第一の部分に形成された複数の真空通路を有し、これは
    、環状真空通路と、それぞれそが前記環状真空通路に接続されている複数の切り
    詰められたスポーク状真空通路とを含んでおり、 複数の真空導管を有し、これらは、前記複数の真空通路の少なくとも一つを前
    記真空溝の少なくとも一つに接続するものであり、そして、 前記複数の放射状パージガス流路は、前記金属ペデスタルの第二の部分に形成
    され、前記金属ペデスタルの前記第一及び第二の部分は、前記複数の放射状パー
    ジ流路が前記複数の切り詰められたスポーク状真空通路からずらされ、かつ、隔
    てられるよう接続されて、前記複数の真空通路及び前記複数の放射状パージガス
    流路を形成していることを特徴とする請求項1記載の装置。
  11. 【請求項11】 前記上部金属ブロック及び前記下部金属ブロック及び前記
    エッジ支持リングはアルミニウム製であることを特徴とする請求項6記載の装置
  12. 【請求項12】 前記上部金属ブロック及び前記下部金属ブロックは、互い
    にろう付けされていることを特徴とする請求項11記載の装置。
  13. 【請求項13】 請求項12記載の装置において、さらに、前記上部金属ブ
    ロック及び前記下部金属ブロックにろう付けされたアルミニウム製のシャフトが
    設けられていることを特徴とする請求項12記載の装置。
  14. 【請求項14】 前記金属ペデスタルは、直径約300mm又はそれ以上の
    基板を支持し、均一に加熱できる能力を有することを特徴する請求項1記載の装
    置。
  15. 【請求項15】 処理チャンバを有し、 加熱装置を有し、これは基板を保持し、かつ、指定された温度に加熱すること
    ができるヒータ組立体を有するものであり、 前記ヒータ組立体は、 前記基板を支持する表面を有する金属ペデスタルを含み、 前記表面を均一に加熱する抵抗加熱エレメントを含み、 パージガスを与える中央パージガス入口を含み、これは前記金属ペデスタル
    の実質的に中央部に位置するものであり、 前記金属ペデスタルに形成された複数の放射状パージガス流路を含み、これ
    らは前記中央パージガス入口から前記金属ペデスタルの周囲に向かって放射状に
    配置され、かつ、実質的に対称なパターンを形成するものであり、 前記金属ペデスタルの周囲に形成された環状パージガス流路を含み、これは
    、前記金属ペデスタルに一体的なエッジパージガイドを与えるよう、前記周囲の
    近傍の複数の穴を介して前記表面につながっているものである、 ことを特徴とする基板処理装置。
  16. 【請求項16】 前記加熱装置は、さらに、当該加熱装置を制御するよう構
    成されたコントローラを含むヒータ電力装置を有することを特徴とする請求項1
    5記載の装置。
  17. 【請求項17】 請求項16記載の装置において、さらに、前記パージガス
    を前記中央パージガス入口に送るガス送出装置と、 前記処理チャンバ内の圧力を指定された圧力に維持するよう構成された真空装
    置とが設けられ、 前記コントローラは、前記真空装置及び前記ガス送出装置を制御するよう構成
    されていることを特徴とする請求項16記載の装置。
  18. 【請求項18】 請求項16記載の装置において、さらに、前記基板処理装
    置の動作を管理するコンピュータ読み取り可能なコンピュータ・プログラムが格
    納されたコンピュータ読み取り可能な媒体を含んだ、前記コントローラに接続さ
    れているメモリを有し、前記コンピュータ読み取り可能なコンピュータ・プログ
    ラムは、 前記ガス送出装置を制御して前記パージガスを前記中央パージガス入口へ導入
    する第一のコンピュータ命令セットと、 前記真空装置を制御して前記処理チャンバ内における決められた圧力を維持す
    る第二のコンピュータ命令セットと、 前記加熱装置を制御して約100℃から550℃までの範囲の温度で前記表面
    を均一に加熱する第三のコンピュータ命令セットと、 を有することを特徴とする請求項16記載の装置。
  19. 【請求項19】 請求項16記載の装置において、さらに、前記金属ペデス
    タルに形成された真空固定装置が設けられ、前記真空固定装置は、 前記金属ペデスタルの前記表面に形成された複数の真空溝を含み、これらは前
    記基板を前記金属ペデスタルに固定するものであり、 前記金属ペデスタルに形成された複数の真空通路を含み、これらには、環状真
    空通路及び前記環状真空通路につながる複数の切り詰められたスポーク状真空通
    路が含まれており、 複数の真空導管を含み、これらは前記複数の真空通路のうちの少なくとも一つ
    を前記真空溝の少なくとも一つに接続するものであり、そして、 前記複数の放射状パージガス流路及び前記複数の真空通路は、前記複数の放射
    状パージ流路が前記複数の切り詰められたスポーク状真空通路からずらされ、か
    つ、隔てられるようにして、前記金属ペデスタルに形成されていることを特徴と
    する請求項16記載の装置。
  20. 【請求項20】 前記ガス送出装置はまた、第二の真空ガスを前記真空固定
    装置へ送出するよう構成され、前記コントローラはまた、前記真空固定装置を制
    御するよう構成されていることを特徴とする請求項19記載の装置。
  21. 【請求項21】 前記金属ペデスタルは、アルミニウムの下部金属ブロック
    にろう付けされたアルミニウムの上部金属ブロックと、前記上部金属ブロックの
    周囲に配置されたアルミニウムのエッジ支持リングを有することを特徴とする請
    求項15記載の装置。
JP2000546387A 1998-04-28 1999-04-06 タングステンを堆積させるための基板処理装置用の改良型ヒータ Pending JP2002513091A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/067,618 US6179924B1 (en) 1998-04-28 1998-04-28 Heater for use in substrate processing apparatus to deposit tungsten
US09/067,618 1998-04-28
PCT/US1999/007594 WO1999056307A1 (en) 1998-04-28 1999-04-06 Improved heater for use in substrate processing apparatus to deposit tungsten

Publications (1)

Publication Number Publication Date
JP2002513091A true JP2002513091A (ja) 2002-05-08

Family

ID=22077239

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000546387A Pending JP2002513091A (ja) 1998-04-28 1999-04-06 タングステンを堆積させるための基板処理装置用の改良型ヒータ

Country Status (5)

Country Link
US (1) US6179924B1 (ja)
EP (1) EP1080485A1 (ja)
JP (1) JP2002513091A (ja)
KR (1) KR100640553B1 (ja)
WO (1) WO1999056307A1 (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005045207A (ja) * 2003-07-23 2005-02-17 Samsung Electronics Co Ltd ウエハー用静電チャック
JP2011109076A (ja) * 2009-10-23 2011-06-02 Semiconductor Energy Lab Co Ltd 微結晶半導体及び薄膜トランジスタの作製方法
JP2013211586A (ja) * 2006-02-21 2013-10-10 Lam Research Corporation 基板縁部からの処理調整ガスの注入
WO2013162000A1 (ja) * 2012-04-27 2013-10-31 日本発條株式会社 基板支持装置及び基板支持装置に熱電対を配設する方法
JP2016219820A (ja) * 2008-10-31 2016-12-22 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバの下側電極アセンブリ
JP2017527984A (ja) * 2014-07-03 2017-09-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated より均一なエッジパージを有する基板支持体
JP2018505551A (ja) * 2014-12-31 2018-02-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 複数の加熱ゾーンを有する基板支持体
KR20190057740A (ko) * 2017-11-20 2019-05-29 주식회사 원익아이피에스 기판 지지 어셈블리 및 이를 포함하는 기판 처리 장치
KR20190068163A (ko) * 2017-12-08 2019-06-18 주식회사 원익아이피에스 기판 지지 어셈블리 및 이를 포함하는 기판 처리 장치
JP2019125516A (ja) * 2018-01-18 2019-07-25 助川電気工業株式会社 基板ヒータ
KR20200118902A (ko) * 2018-03-05 2020-10-16 어플라이드 머티어리얼스, 인코포레이티드 선택적인 전-세정을 위한 신속 응답 페디스털 조립체

Families Citing this family (203)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW524873B (en) * 1997-07-11 2003-03-21 Applied Materials Inc Improved substrate supporting apparatus and processing chamber
US6494955B1 (en) * 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
US6223447B1 (en) * 2000-02-15 2001-05-01 Applied Materials, Inc. Fastening device for a purge ring
US6506291B2 (en) * 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US20030047138A1 (en) * 2001-09-11 2003-03-13 Ceramoptec Industries, Inc. Spiral gas flow plasma reactor
US6868800B2 (en) * 2001-09-28 2005-03-22 Tokyo Electron Limited Branching RF antennas and plasma processing apparatus
US7427329B2 (en) * 2002-05-08 2008-09-23 Asm International N.V. Temperature control for single substrate semiconductor processing reactor
JP2004146567A (ja) * 2002-10-24 2004-05-20 Sumitomo Electric Ind Ltd 半導体製造装置用セラミックスヒーター
DE10320597A1 (de) * 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
US20040250774A1 (en) * 2003-06-16 2004-12-16 Brent Elliot Wafer heater with protected heater element
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
WO2006006391A1 (ja) * 2004-06-28 2006-01-19 Kyocera Corporation ウェハ加熱装置と半導体製造装置
JP4133958B2 (ja) * 2004-08-04 2008-08-13 日本発条株式会社 ワークを加熱または冷却するための装置と、その製造方法
US20080314320A1 (en) * 2005-02-04 2008-12-25 Component Re-Engineering Company, Inc. Chamber Mount for High Temperature Application of AIN Heaters
JP4590363B2 (ja) * 2005-03-16 2010-12-01 日本碍子株式会社 ガス供給部材及びそれを用いた処理装置
TWI297908B (en) * 2005-03-16 2008-06-11 Ngk Insulators Ltd Processing device
US20070169703A1 (en) * 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
US8057633B2 (en) * 2006-03-28 2011-11-15 Tokyo Electron Limited Post-etch treatment system for removing residue on a substrate
JP5109376B2 (ja) * 2007-01-22 2012-12-26 東京エレクトロン株式会社 加熱装置、加熱方法及び記憶媒体
US8377207B2 (en) * 2007-05-09 2013-02-19 Ulvac, Inc. Purge gas assembly
US20090031955A1 (en) * 2007-07-30 2009-02-05 Applied Materials, Inc. Vacuum chucking heater of axisymmetrical and uniform thermal profile
KR101437522B1 (ko) * 2007-09-05 2014-09-03 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 반응기 챔버에서 웨이퍼 에지 가스 주입부를 갖는캐소드 라이너
US7879250B2 (en) 2007-09-05 2011-02-01 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection
US7832354B2 (en) * 2007-09-05 2010-11-16 Applied Materials, Inc. Cathode liner with wafer edge gas injection in a plasma reactor chamber
US20100177454A1 (en) * 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
CN102341902A (zh) * 2009-03-03 2012-02-01 东京毅力科创株式会社 载置台结构、成膜装置和原料回收方法
KR101126389B1 (ko) * 2009-12-29 2012-03-28 주식회사 케이씨텍 원자층 증착장치의 서셉터 유닛
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP4676567B1 (ja) * 2010-07-20 2011-04-27 三井造船株式会社 半導体基板熱処理装置
KR101943313B1 (ko) * 2010-07-29 2019-01-29 스트라티스 세미, 엘엘씨 기판 처리 장치 및 시스템
US10138551B2 (en) 2010-07-29 2018-11-27 GES Associates LLC Substrate processing apparatuses and systems
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9633889B2 (en) 2013-03-06 2017-04-25 Applied Materials, Inc. Substrate support with integrated vacuum and edge purge conduits
US20140251214A1 (en) * 2013-03-06 2014-09-11 Applied Materials, Inc. Heated substrate support with flatness control
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US20150162169A1 (en) * 2013-12-05 2015-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Etching apparatus and method
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10832931B2 (en) * 2014-05-30 2020-11-10 Applied Materials, Inc. Electrostatic chuck with embossed top plate and cooling channels
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
DE102014117228B4 (de) * 2014-11-25 2022-10-20 Suss Microtec Lithography Gmbh Backvorrichtung für einen Wafer, der mit einer ein Lösungsmittel enthaltenden Beschichtung beschichtet ist
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10704160B2 (en) * 2016-05-10 2020-07-07 Arizona Board Of Regents On Behalf Of Arizona State University Sample stage/holder for improved thermal and gas flow control at elevated growth temperatures
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6847610B2 (ja) * 2016-09-14 2021-03-24 株式会社Screenホールディングス 熱処理装置
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US20180102247A1 (en) * 2016-10-06 2018-04-12 Asm Ip Holding B.V. Substrate processing apparatus and method of manufacturing semiconductor device
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP6945314B2 (ja) * 2017-03-24 2021-10-06 株式会社Screenホールディングス 基板処理装置
KR102347123B1 (ko) * 2017-03-24 2022-01-05 주식회사 미코세라믹스 본딩 헤드 및 이를 갖는 본딩 장치
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
JP6837911B2 (ja) * 2017-05-17 2021-03-03 株式会社Screenホールディングス 熱処理装置
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102411272B1 (ko) * 2018-03-26 2022-06-22 엔지케이 인슐레이터 엘티디 정전척 히터
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
KR20210030074A (ko) * 2019-09-09 2021-03-17 삼성전자주식회사 진공 척 및 상기 진공 척을 포함하는 기판 처리 장치
KR102253957B1 (ko) * 2020-01-31 2021-05-20 정홍흔 반도체 플라즈마 물리기상증착 장치 및 볼록형 서스 히터
US11854839B2 (en) 2020-04-15 2023-12-26 Mks Instruments, Inc. Valve apparatuses and related methods for reactive process gas isolation and facilitating purge during isolation
CN112501579B (zh) * 2020-09-16 2022-08-16 北京北方华创微电子装备有限公司 一种半导体反应腔室
US11976363B2 (en) * 2021-08-19 2024-05-07 Applied Materials, Inc. Purge ring for pedestal assembly
CN116313878A (zh) * 2021-12-20 2023-06-23 中微半导体设备(上海)股份有限公司 一种基座、基座的制造方法及等离子体处理设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06306615A (ja) * 1993-04-05 1994-11-01 Applied Materials Inc 改良された化学気相蒸着チャンバ
JPH08191051A (ja) * 1994-08-23 1996-07-23 Novellus Syst Inc 化学蒸着方法及び化学蒸着用基板支持装置

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3695928A (en) 1970-12-07 1972-10-03 Western Electric Co Selective coating
WO1982001482A1 (en) 1980-11-06 1982-05-13 Patent Versuch Censor Method and installation for the processing of the upper side of a flat part by means of a liquid
JPH0444216Y2 (ja) 1985-10-07 1992-10-19
NL8602356A (nl) 1985-10-07 1987-05-04 Epsilon Ltd Partnership Inrichting en werkwijze voor een axiaal symmetrische reactor voor het chemische uit damp neerslaan.
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4990374A (en) 1989-11-28 1991-02-05 Cvd Incorporated Selective area chemical vapor deposition
US5447570A (en) * 1990-04-23 1995-09-05 Genus, Inc. Purge gas in wafer coating area selection
US5094885A (en) 1990-10-12 1992-03-10 Genus, Inc. Differential pressure cvd chuck
US5252807A (en) * 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5230741A (en) 1990-07-16 1993-07-27 Novellus Systems, Inc. Gas-based backside protection during substrate processing
DE69432383D1 (de) * 1993-05-27 2003-05-08 Applied Materials Inc Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
US5766365A (en) 1994-02-23 1998-06-16 Applied Materials, Inc. Removable ring for controlling edge deposition in substrate processing apparatus
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
JP3601153B2 (ja) * 1995-12-27 2004-12-15 東京エレクトロン株式会社 処理ガス供給装置のクリーニング方法
JPH1041251A (ja) 1996-07-26 1998-02-13 Sony Corp Cvd装置およびcvd方法
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
WO1998029704A1 (en) 1997-01-02 1998-07-09 Cvc Products, Inc. Thermally conductive chuck for vacuum processor
US5994678A (en) * 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06306615A (ja) * 1993-04-05 1994-11-01 Applied Materials Inc 改良された化学気相蒸着チャンバ
JPH08191051A (ja) * 1994-08-23 1996-07-23 Novellus Syst Inc 化学蒸着方法及び化学蒸着用基板支持装置

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005045207A (ja) * 2003-07-23 2005-02-17 Samsung Electronics Co Ltd ウエハー用静電チャック
JP2013211586A (ja) * 2006-02-21 2013-10-10 Lam Research Corporation 基板縁部からの処理調整ガスの注入
JP2016219820A (ja) * 2008-10-31 2016-12-22 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバの下側電極アセンブリ
JP2011109076A (ja) * 2009-10-23 2011-06-02 Semiconductor Energy Lab Co Ltd 微結晶半導体及び薄膜トランジスタの作製方法
WO2013162000A1 (ja) * 2012-04-27 2013-10-31 日本発條株式会社 基板支持装置及び基板支持装置に熱電対を配設する方法
JP2017527984A (ja) * 2014-07-03 2017-09-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated より均一なエッジパージを有する基板支持体
JP2018505551A (ja) * 2014-12-31 2018-02-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 複数の加熱ゾーンを有する基板支持体
KR20190057740A (ko) * 2017-11-20 2019-05-29 주식회사 원익아이피에스 기판 지지 어셈블리 및 이를 포함하는 기판 처리 장치
KR102329167B1 (ko) * 2017-11-20 2021-11-22 주식회사 원익아이피에스 기판 지지 어셈블리 및 이를 포함하는 기판 처리 장치
KR20190068163A (ko) * 2017-12-08 2019-06-18 주식회사 원익아이피에스 기판 지지 어셈블리 및 이를 포함하는 기판 처리 장치
KR102336497B1 (ko) 2017-12-08 2021-12-08 주식회사 원익아이피에스 기판 지지 어셈블리 및 이를 포함하는 기판 처리 장치
JP2019125516A (ja) * 2018-01-18 2019-07-25 助川電気工業株式会社 基板ヒータ
KR20200118902A (ko) * 2018-03-05 2020-10-16 어플라이드 머티어리얼스, 인코포레이티드 선택적인 전-세정을 위한 신속 응답 페디스털 조립체
KR102550680B1 (ko) 2018-03-05 2023-07-04 어플라이드 머티어리얼스, 인코포레이티드 선택적인 전-세정을 위한 신속 응답 페디스털 조립체

Also Published As

Publication number Publication date
KR100640553B1 (ko) 2006-11-06
US6179924B1 (en) 2001-01-30
KR20010043049A (ko) 2001-05-25
EP1080485A1 (en) 2001-03-07
WO1999056307A1 (en) 1999-11-04

Similar Documents

Publication Publication Date Title
JP2002513091A (ja) タングステンを堆積させるための基板処理装置用の改良型ヒータ
US6586343B1 (en) Method and apparatus for directing constituents through a processing chamber
JP4889173B2 (ja) 半導体ウエハ上に窒化珪素層を形成するための方法
US6303501B1 (en) Gas mixing apparatus and method
US5895530A (en) Method and apparatus for directing fluid through a semiconductor processing chamber
US6051286A (en) High temperature, high deposition rate process and apparatus for depositing titanium layers
US6616767B2 (en) High temperature ceramic heater assembly with RF capability
JP5028536B2 (ja) 半導体処理のためのリッドアセンブリ
US5994678A (en) Apparatus for ceramic pedestal and metal shaft assembly
JP2971847B2 (ja) 高温、腐食性、プラズマ環境下でのクリーニングプロセスのための方法及び装置
US5968379A (en) High temperature ceramic heater assembly with RF capability and related methods
US6035101A (en) High temperature multi-layered alloy heater assembly and related methods
US6374512B1 (en) Method for reducing contamination of a substrate in a substrate processing system
US6068703A (en) Gas mixing apparatus and method
EP0855735A2 (en) A high temperature, high flow rate chemical vapor deposition apparatus and related methods
EP0855452B1 (en) Process and apparatus for depositing titanium layers
EP1097473A1 (en) Plasma process to deposit silicon nitride with high film quality and low hydrogen content
US20240175134A1 (en) Conductive cooling of a low temperature pedestal operating in a high temperature deposition sequence
KR19980071012A (ko) 고온 및 고 증착율의 티타늄 막을 증착하기 위한 방법 및 장치
WO2024010887A1 (en) Improved pedestals for substrate processing systems
WO2024076477A1 (en) Showerhead for diffusion bonded, multi-zone gas dispersion
WO2024076479A1 (en) Adjustable pedestal
CN117352444A (zh) 具有清扫机构的主轴和升降销驱动组件

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060406

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090721

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091021

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091028

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100318