JPH06306615A - 改良された化学気相蒸着チャンバ - Google Patents

改良された化学気相蒸着チャンバ

Info

Publication number
JPH06306615A
JPH06306615A JP6067474A JP6747494A JPH06306615A JP H06306615 A JPH06306615 A JP H06306615A JP 6067474 A JP6067474 A JP 6067474A JP 6747494 A JP6747494 A JP 6747494A JP H06306615 A JPH06306615 A JP H06306615A
Authority
JP
Japan
Prior art keywords
susceptor
substrate
chamber
gas
scavenging
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP6067474A
Other languages
English (en)
Other versions
JP2918785B2 (ja
Inventor
Lawrence Chung-Lai Lei
チャン−ライ レイ ローレンス
Ilya Perlov
パーロヴ イリヤ
Karl A Littau
アンソニー リッタウ カール
Alan Ferris Morrison
フェリス モリソン アラン
Mei Chang
チャン メイ
Ashok K Sinha
ケイ. シンハ アショク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH06306615A publication Critical patent/JPH06306615A/ja
Application granted granted Critical
Publication of JP2918785B2 publication Critical patent/JP2918785B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/935Gas flow control

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】 【目的】 基板14周辺の温度低下及び基板14にわた
る温度の不均一性の原因が取り除くことを目的とする。 【構成】 上に取り付けられた基板14を均一に加熱す
るために、1個のコイル抵抗ヒータ200によって加熱
されるサセプタ210と、処理中に基板14をサセプタ
210に堅固に取り付け、これにより少なくとも部分的
に基板14裏側の蒸着を防止するとともに、加熱された
サセプタ210から基板14への熱の移動を促進する真
空ライン216と、処理ガスが基板14の底部エッジ及
び裏側に到達するのを防止する掃気ガスライン222
と、基板14上の均一なギャップを維持する掃気ガイド
226とを備えている。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】この発明は、改良された化学気相
蒸着(CVD)チャンバに関する。特に、この発明は、
薄膜を半導体基板上に均一に蒸着するCVD真空チャン
バに関する。
【0002】
【従来の技術】CVD真空チャンバは半導体基板上に薄
膜を蒸着するのに採用される。先行ガスは、基板の上方
に配置されたガスマニホールド板を通して真空チャンバ
に満たされる。この基板は一般的に約250〜650℃
の範囲にある処理温度まで加熱される。先行ガスは加熱
された基板面と反応してその上に薄膜を蒸着させ、揮発
性の副産物ガスを生成する。この副産物ガスはチャンバ
の排気システムを通して吸出される。
【0003】製造効率及びデバイス能力を増加させるた
めに、近年、基板上に形成されるデバイスのサイズは減
少し、基板上に形成されるデバイスの数は増加してき
た。従って、CVD蒸着薄膜が基板にわたって均一の厚
さであり、この結果、基板上のすべてのデバイスが均一
であることの重要さが増してきている。さらに、良好な
デバイスの歩留り(yield )を悪化させる基板の汚染を
減らすために、処理チャンバ内の粒子の形成を防止する
ことも重要になってきている。
【0004】さらに、半導体基板、例えばシリコンウエ
ハのサイズは増加し、現在の最新のシリコンウエハは直
径約8インチである。これによって、複数の、100個
までのウエハを一度に処理するバッチ型の処理とは異な
り、処理チャンバ内で一度に1個のウエハだけを処理で
きるようになった。処理中に問題が生じたときに多くの
高価なウエハが損失され廃棄しなければならないので、
大型のウエハを用いるバッチ処理の経済性は低下した。
さらに、一度に1個の基板だけが処理される場合には、
処理チャンバをより小さくすることができ、処理は制御
しやすくなる。
【0005】さらに、いくつかの連続的な処理ステップ
をウエハを真空環境から取り出すことなく実行すること
によってウエハ処理を自動化する装置が開発され、これ
により、移送時間及びウエハの汚染が減少した。このよ
うなシステムは、例えばメイダン(Maydan)らへの米国
特許第4,951,601 号によって開示されている。これは、
複数の処理チャンバが移送チャンバに接続されたもので
ある。中央移送チャンバ内のロボットが、種々の接続さ
れた処理チャンバ内のスリットバルブを通してウエハを
渡し、チャンバ内の処理が完了した後それを受け取る。
【0006】CVDチャンバの典型的な従来技術が図1
に開示されている。このチャンバはワング(Wang)らへ
の米国特許第4,892,753 号に記載されている。図1に示
すように、CVDチャンバ10、処理中にウエハ14が
上に載せられるサセプタ16は、垂直可動エレベータ
(図示せず)によって垂直に動きうる。ウエハが外部の
ロボットブレードからチャンバ内に運ばれてきたとき、
複数のピン20がウエハを支持する。複数のサセプタ支
持指状部(fingers )22が、ウエハ指状部20に接続
され、棒状部材(bar )40の上に取り付けられてい
る。棒状部材40もエレベータによって垂直に動きう
る。ウエハ14及びそれが上に取り付けられるサセプタ
16は、複数の高強度ランプ58によって透光性の石英
窓70を通して加熱される。2組の石英窓70があると
きに、2列のランプがチャンバ10の上部及び底部の双
方の外側に配置されているのが好ましい構成である。こ
れらの石英窓70はチャンバ壁にテフロンシール72に
よってシールされている。これらの外部の加熱ランプ5
8を使用することによって、非常にすばやいウエハ及び
サセプタの加熱が可能になり、処理サイクルと処理サイ
クルの間でランプが切られているときにチャンバを冷却
することが可能になる。
【0007】
【発明が解決しようとする課題】しかし、石英窓70の
寿命は比較的短い。すなわち、約1000〜2000回
の蒸着サイクルの後には石英窓が曇るほどの蒸着物(de
position)が生じて、高強度ランプからの光はもはや石
英窓70を透過することができなくなり、それは清掃さ
れなければならない。石英窓には、チャンバを清掃する
のに用いられるフッ素含有プラズマも付着し、これも粒
子を発生させる。窓70を横切って浄化ガスを流すこと
により清掃間隔は長くなるが、清掃または窓70の交換
のために必要な停止時間はやはり高価である。
【0008】これに加えて、高強度ランプ58も定期的
に交換されなければならず、付加的な装置の停止時間が
必要となる。
【0009】従来のCVDチャンバを用いる場合の別の
問題は、チャンバにおいて低い漏れ率を保持するため
に、ガスを抜くのに約6時間までの長時間を要すること
である。石英窓70をシールするのに用いられるテフロ
ンシール71は、ヘリウム浸透性であり、少しずつガス
を放出し(outgas slowly )、チャンバ10が十分な真
空に到達するには長時間を要する。チャンバの漏れにつ
いての現在の標準は、真空が断たれたときに、チャンバ
10内の圧力が72ミリトールまでもってこられ、温度
が450℃に上げられることを要求する。そして、チャ
ンバ内の圧力の上昇はモニターされる。1分当たり0.
5ミリリットルより大きくない漏れ率(leakage rate)
が現在の標準である。
【0010】このようなCVDチャンバがWF6 先行ガ
スからタングステンのような金属を蒸着するのに用いら
れている。WF6 は揮発性の高いガスであり、タングス
テンがウエハの上側だけでなくウエハの端部表面及び裏
側にも蒸着されるため、問題が生じる。これらの端部及
び裏側の面は、非常に磨かれた上面よりも粗く、スパッ
タされた窒化チタンのような粘着層で被覆されず、この
ため、蒸着された材料は端部及び底部の表面からはがれ
落ちやすく、チャンバを汚染する。余分の蒸着は同じま
たは異なるチャンバを用いて、エッチプラズマの中でエ
ッチングされうるが、このプロセス自身チャンバ内で粒
子を形成し、あるいはウエハの裏側にダメージを与え
る。
【0011】従って、締め付け(clamping)リングが用
いられる。締め付けリングは、蒸着の間、ウエハの周辺
部をカバーし、これにより蒸着ガスがウエハの端部及び
裏側の表面に到達するのを防止する。しかし、例えばW
6 の揮発性のために、締め付けリングだけではウエハ
の端部及び裏側の蒸着を防止することはできない。締め
付けリングの下方あるいは締め付けリングの下方のウエ
ハ端部に向けられた掃気ガスを使用することも試みられ
た。掃気ガスは、処理ガスがこれらの端部及び裏面に到
達する機会を減らす正の圧力を及ぼす。
【0012】しかし、締め付けリングの使用はいくつか
の欠点を有している。処理サイクルの間に締め付けリン
グは上下し、サセプタ及びウエハを摩擦しうる。これに
より、粒子が発生することになる。これに加えて、締め
付けリングはウエハの周辺の面を覆うため、金属が蒸着
されうるウエハ領域を減少させる。
【0013】締め付けリングの使用による別の問題は、
締め付けリングが、ウエハよりも厚いため、ウエハより
も冷えており、締め付けリングに接触するウエハの周辺
部を冷却してしまうことである。これにより、冷えたウ
エハ周辺部の蒸着速度が低下し、蒸着膜の不均一に至
る。
【0014】このように、これらの改良にもかかわら
ず、CVDによるタングステンのような金属の蒸着は望
むほどには均一にならない。サセプタ及びウエハを加熱
するための外部の高強度ランプの使用によっても完全に
均一な膜は得られず、蒸着された膜は均質にはならな
い。さらに、石英窓上へのタングステンや他の材料の蒸
着が時間とともに形成されるため、窓の透明度を低下さ
せ、それは定期的に清掃されなければならない。これは
チャンバを開けることを必要とし、停止時間を増加させ
るため、高価になる。このチャンバには、粒子発生や蒸
着の不均一性の他の問題もある。従って、粒子発生及び
蒸着膜の不均一性の原因の究明が続けられており、上記
問題の解決策が常に求められている。
【0015】
【課題を解決するための手段】この発明は、特にタング
ステンを前面または選択的に、またはケイ化タングステ
ン(tungsten silicide )、窒化チタン、銅等を蒸着す
るための単一基板式あるいは枚葉式のCVDチャンバを
備えており、このチャンバは蒸着膜の均一性を向上させ
る。この発明のCVDチャンバは以下のものを備えてい
る。すなわち、上に取り付けられた基板を連続的に均一
に加熱するために、サセプタ内に固着された1個のコイ
ル抵抗ヒータを用いて抵抗によって加熱されるサセプ
タ。処理の際に基板をサセプタに対して堅固に取り付
け、これにより、少なくとも部分的に基板裏側の蒸着を
防止し、加熱されたサセプタから基板への熱の移動を促
進する、サセプタを通る真空ライン。処理ガスがウエハ
の底部エッジ及び裏側に到達するのを防止する、前記サ
セプタの周辺を通る掃気ガスライン。基板上の均一なギ
ャップを維持する、前記サセプタに取り付けられた処理
されにくい(refractory)掃気ガイド。この発明の掃気
ガイドは処理中に基板に接触せず、これにより基板周辺
の温度低下及び基板にわたる温度の不均一性の原因が取
り除かれ、チャンバの処理部分に入る掃気ガスの量が制
限される。これに加えて、基板の中心から端部に至る温
度の均一性を制御するための不活性ガスの源を真空ライ
ン中に設けたこと、並びに、余分の及び副産物のガスの
チャンバからの排出の均一性を向上させるための、チャ
ンバの真空排出板を設けたことが、このCVDチャンバ
のさらに改良された点である。
【0016】この抵抗によって加熱されるサセプタは、
中心から端部に至る温度均一性を+/−2℃に維持する
ことができる。
【0017】
【実施例】図面において、すべての図面中の同じ部品に
対して同じ数字が用いられる。
【0018】我々は、単一基板式ないしは枚葉式の(si
ngle substrate)CVD処理チャンバにとっては、強力
なランプよりも、CVD処理の間に基板の台となる、抵
抗によって加熱されるサセプタ台の方が有利であること
を発見した。この発明の抵抗ヒータは、図2A及び2B
に示すような、8.1KWのオーダの1個のコイル抵抗
ヒータ200を備えている。サセプタ台210は、金
属、例えばアルミニウムのブロックを備えており、この
ブロックは図3に示すように内部にはめ込まれた1個の
コイル抵抗ヒータ200を有している。基板のためのサ
セプタ支持部を均一に加熱し、その上に取り付けられた
基板を均一に加熱するために、コイルはすべての側面に
おいてサセプタ台210の大部分に接触しなければなら
ない。図3は、コイル200がすべての側面で金属に接
触するのを確実にするために圧入されたアルミニウム製
の嵌合部212とともに、サセプタ台(susceptor moun
t )210にはめ込まれた(embedded)コイル200の
断面図である。この発明のサセプタ台210を作るに
は、ヒータコイルのためのスペース211が中実の(so
lid )サセプタ台210から機械加工され、コイル20
0がその中に挿入され、そしてアルミニウム板212が
コイル200に対して圧入され、コイル200は金属の
サセプタ台210に全側面で接触する。そして、それは
ヒータコイルを大気圧にしておくために溶接され、熱の
移動が促進される。これは、サセプタ台210の均一な
加熱を確保するために重要なことである。また、中にコ
イルを備えたアルミニウムのサセプタ台は、鋳造によっ
て作ることもできる。
【0019】コイル200は全側面でアルミニウム金
属、例えばサセプタ210及び金属の嵌合部212に接
触するため、たくさんのコイルを用いることなく高いパ
ワー密度を与えることができる。そして、実際、高い密
度のパワーを得ることができ、図2に示すように、たっ
た1個の加熱コイル200によってサセプタ台210の
均一な抵抗加熱を得ることができた。これにより、サセ
プタ台210のサイズを小型化することが可能であり、
種々のガス配管を内部に設けることができる。ウエハ
は、サセプタ台210の上に真空によって取り付けら
れ、上述のヒータ装置(heater assembly )用いること
によって迅速かつ均一に加熱されうる。中心から端部ま
での基板上の温度変動は、例えば約475℃である処理
温度において、2℃を超えない。
【0020】熱電対214が挿入され、ウエハの底から
約0.25インチの距離においてヒータの下側と接触し
た状態で保持される。熱電対214はそこに小さなばね
力によって保持され、温度コントローラに制御信号を提
供する。熱電対214は大気圧にされた穴の中にあり、
ヒータ200と熱電対214との間の熱の移動が促進さ
れ、より正確な検出が可能になる。
【0021】温度コントローラは、起こりつつあるステ
ップを予測しヒータの応答特性8Aを変えて均一な温度
分布(profile )を維持する比例微分積分(PID)制
御によって駆動される手段である。
【0022】図4は、サセプタ台210の断面図であ
り、図5はサセプタ台210の上面図である。
【0023】さて、図4及び図5には真空ライン216
が示されている。真空ライン216は真空源(図示せ
ず)に接続されており、この真空源はCVDチャンバ自
身のための真空排気ポンプからは分離されている。真空
ライン216は、サセプタ210の表面の複数の開口部
218に接続されている。これらの開口部218は、サ
セプタ210の表面上の小溝220内に配置されてい
る。従って、真空が付与されたとき、サセプタ210上
に取り付けられた基板は、サセプタ210に対して均一
に吸引される。真空開口部218は溝220に接続さ
れ、サセプタ210の表面にわたって真空を均一に分布
させるように配置される。真空溝220は基板の端部ま
では伸びていないが、例えば、8インチのウエハが処理
されるときには約7インチほどサセプタ210にわたっ
て伸びていてもよい。
【0024】真空ライン216の圧力は、チャンバの圧
力が約80Torrであるときには約1.5Torrか
ら約60Torrになりうる。真空供給ライン中の圧力
は、基板上の中心から端部までの蒸着の均一性を調整す
るために、アルゴンのような不活性ガスを注入すること
によりさらに調整されうる。例えば、真空ライン216
内の圧力を約2.5Torrから約10Torrまで増
加させることにより、サセプタ領域内の熱の移動が増加
し、膜厚及び蒸着された膜の均一性が増加する。
【0025】ウエハ端部付近の熱の移動を減少させるた
めに、真空チャック領域の外側の領域に浅い溝が付加さ
れてもよい。この熱の移動はウエハ端部に蒸着する膜厚
を減少させる。
【0026】サセプタ台210の中には掃気ガスライン
222も存在している。アルゴンのような掃気ガスがサ
セプタ210内の複数の掃気ガス開口部224内に入
り、掃気ガスを基板の端部と反対の方に向かわせ、これ
により、処理ガスがウエハ端部表面に接触しないように
し、タングステンのような物質がその上に蒸着しないよ
うする。約120〜360個の開口部をサセプタ210
の周辺のまわりに均一に配置しうる。端部及び裏側の蒸
着を禁止するために掃気ガスを用いること自体は知られ
ている。しかし、掃気ガスは基板の端部に沿って流れチ
ャンバの処理領域内に入ったときに、掃気ガスが基板端
部を冷やして基板端部の処理ガスを薄めてしまい、これ
により基板端部の蒸着を減少させるので問題が生じる。
このため、この発明に従って、処理されにくい(refrac
tory)掃気ガイドがこのCVDチャンバには付加されて
いる。
【0027】図6は、サセプタ210の上に取り付けら
れた処理されるウエハ14とともに示された掃気ガイド
226の断面図である。
【0028】図6に示すように、ウエハ14はサセプタ
210上に支持されている。サセプタ台210が処理位
置にあるとき、パージガイド226はサセプタ210の
上面に載せられる。掃気ガス供給ライン222は、サセ
プタ210上に真空によって取り付けられたウエハ14
の端部に対して掃気ガスを供給する。掃気ガイド226
は、掃気ガスがCVDチャンバの処理領域内に入ってい
くときに通過する、ウエハ14上の固定されたギャップ
を保持する。掃気ガスの分布を制御し、基板の中心から
端部までの蒸着の均一性を保持するのに重要な役割を果
たしているのは、5〜10ミル(0.127〜0.25
4mm)のオーダであるこの固定されたギャップであ
る。掃気ガスの流路が矢印で示されている。掃気ガイド
226は、酸化アルミニウムや窒化アルミニウムのよう
なセラミックで作られるのが好ましい。
【0029】処理中に掃気ガイド226が上に載る、ア
ルミニウムのサセプタ210の端部は、掃気ガイド22
6とサセプタ210との間がくっつくのを防止するため
に、例えば約5〜10ミル(0.127〜0.254m
m)離れた複数の細かい溝221を有している。このよ
うにくっついてしまうのは、アルミニウムのような金属
(サセプタ)とセラミック(掃気ガイド)部品との間の
膨張係数の違いのために起こりうる。アルミニウムは、
処理温度においては室温と比べたときにセラミックの約
3倍も膨張する。細かい溝221は、ウエハの処理が完
了した後にサセプタ台210が下がって掃気ガイド22
6及びサセプタ210が分離されたときに、粒子が発生
するのを防止する。
【0030】一般に、掃気ガスはアルゴンのような不活
性ガスである。しかし、基板の端部の蒸着を促進するた
めに、水素のような少量の反応ガスを掃気ガスに加えう
る。掃気ガスが基板の端部を冷却したとき、基板端部の
処理ガスが薄まったとき、または、もし掃気ガイドが基
板周辺を余計に覆うか陰にしてウエハ上面の周辺の蒸着
が減少するときには、掃気ガスに加えられた水素のよう
な反応ガスが、例えばWF6 と反応し、解離(dissocia
tion)を増加させ、ウエハ端部への蒸着量を増加させ、
上記の蒸着の不均一性の源を断つ。
【0031】図7は、この発明のCVDチャンバ300
の断面図であり、部分的に概略を示す。
【0032】基板14は、ロボットブレードによって、
この発明のチャンバ側面のスリットバルブ(図示せず)
を通して、チャンバ300内に運ばれてくる。チャンバ
300は、中央処理チャンバに接続された複数の処理チ
ャンバを有する真空処理システムの一部であってもよ
い。サセプタ台210は、モータ252により垂直方向
に可動となっている。基板14は、サセプタ台210が
スリットバルブに対して第1の位置にあるときにチャン
バ内に運ばれてくる。基板14は最初はサセプタ台21
0を一組のピン228によって支持されており、ピン2
28はサセプタ台210を通り、1個のモータアッセン
ブリによって駆動されるサセプタ台210に結合されて
いる。ステンレス鋼のベローズ229を腐食性のガスに
よるダメージから保護するために、第2の掃気ライン2
36を付加しうる。しかし、ピン228がサセプタ台2
10と一緒になって上昇したとき、それらは止め部23
0に当たる。サセプタ台210が処理ガス板310に対
向する処理位置まで上昇を続けると、ピン228はサセ
プタ台210内に沈み込み、ウエハ14はサセプタ板2
14上に置かれる。サセプタ真空供給ライン216が作
動し、基板14がサセプタ210に固定される。そし
て、点線で示すように、基板14の処理のためにサセプ
タ台210は処理ガス板310の方に向かって上方に動
かされる。それが上方に移動すると、基板14は掃気ガ
イド226に接触し、掃気ガイド226を固定された基
板14に対して心出しする。掃気ガイド226が心出し
されたとき、それはウエハに接触せず、掃気ガスを通す
ための、固定された5〜10ミル(0.127〜0.2
54mm)のギャップをウエハ14とともに保持する。
同時に、サセプタ台210は、上方に移動するときに、
掃気ガイド226の心出しもする。一組の緩衝ピン23
2がアルミニウムのサセプタ台210の側壁211上で
用いられており、サセプタ台210が垂直方向に移動し
たときに掃気ガイド226とサセプタ台210との間の
接触を最小にして、移動の際にサセプタ台210と掃気
ガイド226とが互いにこすれあうときの粒子の発生を
減少させる。
【0033】サセプタ台210及びそれに固定された基
板14が処理位置に到達したとき、処理ガスが付与さ
れ、タングステンまたは他の膜の蒸着が開始される。費
やされた処理ガス及び副産物のガスは、チャンバの排気
システム240によって排気される。
【0034】我々が発見したように、従来の排気システ
ムは蒸着膜の不均一性の別の原因になっている。CVD
チャンバ300の排気システム240は、ガスを基板1
4の全周辺から均一に出すことができない。図8に示し
たように、チャンバの排気通路242は、サセプタ台2
10及びその上の基板14とチャンバ300の壁302
との間に配置される。しかし、スリットバルブを通して
基板14を出し入れする必要があるために、排気通路2
42はスリットバルブの領域でブロックされ、排気通路
242は基板を完全に取り囲むことはできない。
【0035】図8は、この発明のCVDチャンバにおけ
る排気通路242の上面図である。スリットバルブの存
在は、ウエハ14の周辺のまわりのチャンバ排気通路2
42の部分を断ち切っている。従って、排気ガスの取り
出しは均一ではなく、それによって、その領域における
費やされたガスと副産物ガスとの構成はゆがめられう
る。
【0036】従って、またこの発明に従って、排気ポン
プ作用(exhaust pumping )板244が図9に示すよう
に設けられている。排気ポンプ作用板244内の複数の
垂直な開口部248は、排気板244内の通路250に
よって接続されている。開口部248のサイズは少し制
限的になっており、排気ガスを強制的に通路250の方
に戻し、排気ガスはそこで均一に分散し、そしてチャン
バ排気通路242によってチャンバ300の外に引かれ
る。こうして排気ガスは、ポンプ作用板244によって
もっと均一に分散し、ガスはもっと平均してウエハ14
周辺の付近から(from about the periphery)排出され
る。
【0037】排気板244は、チャンバ側壁302内
の、ほぼウエハ14が処理される位置に取り付けられて
いる。従って、排気ガスは均等に配置された垂直な開口
部内に入って、処理中のウエハ14の全周のまわりの1
個の通路250に入る。こうして、排気ガスは排気シス
テム240内へもっと均一に通っていく。
【0038】蒸着が完了したとき、処理ガス源は断たれ
サセプタ台210は再び下降する。サセプタ台210
が、持ち上げピン228がサセプタ板214から再び突
き出る位置に近づいたとき、処理されたウエハをピン2
28によってサセプタ板214の表面上に持ち上げるこ
とができるように、真空ライン216に接続された真空
源が断たれる。サセプタ台210及びウエハ14は、ウ
エハ14をチャンバから取り出せるように、スリットバ
ルブに対向する、それらの最初の位置まで下げられる。
【0039】チャンバ300の別の実施例は、掃気ガイ
ド226の代わりに、図10及び11に示すような複数
の一体化した配置のピン260を採用している。上記の
掃気ガイドの代わりに配置ピンを使用することにより、
ウエハの傾斜した端部の上端を含む、すなわち端部を除
外することなく(with zero edge exclusion)、ウエハ
の上面全体にタングステンを蒸着することが可能にな
る。
【0040】図10は、掃気ガスの通路を間に形成する
配置ピン260によって取り囲まれたウエハ14の上面
図である。掃気ガイドピン260は、図10に図示され
た実施例では6個であるが、酸化アルミニウムのような
処理されにくい(refractory)材料やアルミニウムのよ
うな金属から作ることができる。3個のピン260A、
260B及び260Cが、ウエハ端部がピンに対して整
列するようにウエハ14をそろえる。これらのピン26
0A、260B及び260Cは、通常のウエハサイズ
(直径200mm)に合わせられており、ウエハ14と
掃気ガス通路262との間のギャップを合わせる。従っ
て、ギャップは大部分のウエハ14に対して最適化され
ている。もし特定のウエハが公称サイズより小さいか大
きい場合は、ウエハのまわりのギャップは、一辺から他
辺まで約2〜20ミル(0.0508〜0.508m
m)の間で変動する。残りの3個のピン260D、E及
びFはウエハの端部と接触せず、それらの間を掃気ガス
が通過しうるようにウエハとピンとの間に固定されたギ
ャップが提供される。図11は、サセプタ板210に取
り付けられた配置ピン260Aの断面図である。この実
施例は処理されにくい掃気ガイドを省き、掃気ガイドの
ために蒸着ガスに対して陰になるウエハ端部のすべての
部分をなくし、粒子発生源となりうるものを除去する。
掃気ガスの混合、例えば水素のような反応性ガスの付加
を適正に調節することによって、ウエハ端部の非同質性
が補償されうる。
【0041】この抵抗加熱されるサセプタの使用は、サ
セプタは常に処理温度にされるため、蒸着の均一性を向
上させる。これにより、ウエハ及びチャンバの温度変動
が減少し、蒸着の均一性が向上する。
【0042】この発明は、特定の実施例について説明さ
れてきたが、当業者には知られているように、部品、材
料及び蒸着条件の種々の代替が可能である。例えば、サ
セプタはサセプタ台とそれに固定された前面板とを備え
ていて、前面板は上記の真空及び掃気ガスへの開口部を
有し、サセプタ台はガスラインを有し、ガスラインは前
面板の種々の開口部に接続されていてもよい。他の変形
は当業者には明白であり、ここで述べたことに含まれる
ことが意図されている。この発明の範囲は特許請求の範
囲によってのみ限定される。
【0043】
【発明の効果】この発明の掃気ガイドは処理中に基板に
接触せず、これにより基板周辺の温度低下及び基板にわ
たる温度の不均一性の原因が取り除かれ、チャンバの処
理部分に入る掃気ガスの量が制限される。これに加え
て、基板の中心から端部に至る温度の均一性を制御する
ための不活性ガスの源を真空ライン中に設けたこと、並
びに、余分の及び副産物のガスのチャンバからの排出の
均一性を向上させるための、チャンバの真空排出板を設
けたことが、このCVDチャンバのさらに改良された点
である。また、この抵抗によって加熱されるサセプタ
は、中心から端部に至る温度均一性を+/−2℃に維持
することができる。
【図面の簡単な説明】
【図1】従来のCVDチャンバの、部分的に概要を示し
た断面図である。
【図2】図2( a) はここで使用するコイルの上面図で
あり、図2( b) は図2( a)に示したコイルの斜視図
である。
【図3】サセプタ台にはめ込まれたコイルの断面図であ
る。
【図4】この発明のサセプタ台の断面図である。
【図5】この発明のサセプタ板の上面図である。
【図6】この発明のサセプタ上に取り付けられた処理さ
れる基板とともに示された掃気ガイドの断面図である。
【図7】この発明のCVDチャンバの、部分的に概要を
示す断面図である。
【図8】この発明のチャンバの排気通路の上面図であ
る。
【図9】この発明の排気ポンプ作用板(exhaust pumpin
g plate )の上面図である。
【図10】ウエハのまわりの配置ピンの上面図である。
【図11】この発明のサセプタに固定された掃気ピンの
断面図である。
【符号の説明】
14…基板、200…コイル、210…サセプタ、21
6…真空ライン、221…細かい溝、222…掃気ガス
ライン、226…掃気ガイド、260…配置ピン。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 イリヤ パーロヴ アメリカ合衆国, カリフォルニア州 95051, サンタ クララ, ブレイク アヴェニュー 183 (72)発明者 カール アンソニー リッタウ アメリカ合衆国, カリフォルニア州 94086, サニーヴェール, アノ ヌエ ヴォ アヴェニュー ナンバー108 395 (72)発明者 アラン フェリス モリソン アメリカ合衆国, カリフォルニア州 95124, サン ノゼ,ディッケンズ ア ヴェニュー 15221 (72)発明者 メイ チャン アメリカ合衆国, カリフォルニア州 95014, キュパティノ, イースト エ ステイツ ドライヴ 863 (72)発明者 アショク ケイ. シンハ アメリカ合衆国, カリフォルニア州 94306, パロ アルト, ハバート ド ライヴ 4176

Claims (17)

    【特許請求の範囲】
  1. 【請求項1】 先行ガス(precursor gas )の源、処理
    される基板を支持するための加熱される金属のサセプ
    タ、及びチャンバのための真空排気システムを含む化学
    気相蒸着の単一基板式ないしは枚葉式(single substra
    te)真空チャンバにおいて、 a) 前記サセプタ内に取り付けられた抵抗コイルであ
    って、上記金属が全側面で接触するもの、 b) 基板を前記サセプタに取り付けるための、チャン
    バ排気用真空源とは分離した真空源に接続された、前記
    サセプタを通る真空供給ライン、 c) 前記サセプタ上に取り付けられた基板の端部の外
    の複数の開口部に接続された、前記サセプタを通る掃気
    ガス(purge gas )ライン、及び d) 前記基板とともに、掃気ガスのための固定された
    ギャップの通路を提供する手段を備えたチャンバ。
  2. 【請求項2】 前記加熱コイルが単一のコイルである請
    求項1記載のチャンバ。
  3. 【請求項3】 前記加熱コイルが、サセプタにわたって
    約250から650℃の温度を提供しうる請求項2記載
    のチャンバ。
  4. 【請求項4】 前記加熱コイルが、前記サセプタ板にわ
    たってその中心からその端部まで+/−2℃の範囲内で
    一定の温度を提供しうる請求項3記載のチャンバ。
  5. 【請求項5】 サセプタにわたる真空及び温度の均一性
    を制御するために、前記真空供給ラインが不活性ガス源
    及び反応性ガス源に接続されている請求項2記載のチャ
    ンバ。
  6. 【請求項6】 前記サセプタの表面が、前記サセプタを
    通して真空供給ラインに接続されている通路に接続され
    た複数の開口部を有し、前記通路及び開口部は、処理中
    に基板によって占められる領域内にある請求項1記載の
    チャンバ。
  7. 【請求項7】 前記サセプタ上に支持された処理されに
    くい(refractory)掃気ガイドが、掃気ガスの通路を提
    供するために前記サセプタ及び前記基板の間に固定され
    たギャップ(fixed gap )を提供する請求項1記載のチ
    ャンバ。
  8. 【請求項8】 くっつけることなく(without stickin
    g)前記掃気ガイドを支持するために、前記サセプタ
    が、前記掃気ガスの開口部の領域の外に複数の細かい溝
    を有している請求項7記載のチャンバ。
  9. 【請求項9】 前記基板及び前記掃気ガスの間の所定の
    ギャップを固定するために、前記サセプタ上の1組の配
    置ピンが、前記基板を前記サセプタ上の最適な位置にガ
    イドする請求項1記載のチャンバ。
  10. 【請求項10】 前記排気システム内に排気プレートを
    さらに備え、排気ガスが前記基板の回りからより均一に
    回収される(withdrawn )ように、前記排気プレートは
    その裏側の全周囲付近の通路(channel about the whol
    e circumference )に接続された複数の小さな垂直の通
    路を有する請求項1記載のチャンバ。
  11. 【請求項11】 前記サセプタが、これに取り付けられ
    る面板を有しており、前記面板が、前記サセプタ内の掃
    気及び真空ラインに接続される開口部を有している請求
    項1記載のチャンバ。
  12. 【請求項12】 単一基板式ないしは枚葉式の化学気相
    蒸着チャンバ内の基板上に薄膜を蒸着する方法であっ
    て、 約100ミリトールから約700トールのチャンバ圧力
    において約250〜650℃の温度に加熱されたサセプ
    タ上に前記ウエハを支持するステップ、 プレートに接続された真空手段によって(by means of
    a vacuum)前記基板を固定するステップ、 処理ガスが前記端部に接触するのを防止するために、前
    記基板端部の外側の前記プレート内の複数の開口部か
    ら、固定されたギャップ手段を通して掃気ガスを通すス
    テップ、及び蒸着先行ガスを前記チャンバ内に通すステ
    ップを備えた方法(method)。
  13. 【請求項13】 前記先行ガスがWF6 である請求項1
    2記載の方法(process)。
  14. 【請求項14】 前記掃気ガスが反応性ガスを含む請求
    項12記載の方法(process)。
  15. 【請求項15】 前記掃気ガスが不活性ガス及び水素の
    混合物を含む請求項12記載の方法(process)。
  16. 【請求項16】 前記掃気ガスが前記基板の底部の端部
    に向けられる請求項12記載の方法(process)。
  17. 【請求項17】 不活性ガスが前記基板に対する真空内
    の圧力を調節するために用いられる請求項12記載の方
    法(process)。
JP6067474A 1993-04-05 1994-04-05 改良された化学気相蒸着チャンバおよび方法 Expired - Lifetime JP2918785B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US4296193A 1993-04-05 1993-04-05
US08/042961 1993-04-05

Publications (2)

Publication Number Publication Date
JPH06306615A true JPH06306615A (ja) 1994-11-01
JP2918785B2 JP2918785B2 (ja) 1999-07-12

Family

ID=21924692

Family Applications (1)

Application Number Title Priority Date Filing Date
JP6067474A Expired - Lifetime JP2918785B2 (ja) 1993-04-05 1994-04-05 改良された化学気相蒸着チャンバおよび方法

Country Status (5)

Country Link
US (7) US5800686A (ja)
EP (2) EP0843023A3 (ja)
JP (1) JP2918785B2 (ja)
KR (1) KR100190726B1 (ja)
DE (1) DE69411307T2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002513091A (ja) * 1998-04-28 2002-05-08 アプライド マテリアルズ インコーポレイテッド タングステンを堆積させるための基板処理装置用の改良型ヒータ
JP2007113119A (ja) * 1994-06-20 2007-05-10 Applied Materials Inc 基板裏面への堆積を減少させる処理装置及び処理方法
JP2009041110A (ja) * 1995-06-07 2009-02-26 Applied Materials Inc 基板プロセス装置でのエッジ堆積を制御する移動可能リング

Families Citing this family (389)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5843233A (en) * 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5855687A (en) * 1990-12-05 1999-01-05 Applied Materials, Inc. Substrate support shield in wafer processing reactors
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US6544379B2 (en) * 1993-09-16 2003-04-08 Hitachi, Ltd. Method of holding substrate and substrate holding system
TW277139B (ja) * 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
JP4108119B2 (ja) * 1994-02-23 2008-06-25 アプライド マテリアルズ, インコーポレイテッド 改良型化学気相堆積チャンバ
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5888304A (en) * 1996-04-02 1999-03-30 Applied Materials, Inc. Heater with shadow ring and purge above wafer surface
US6033480A (en) * 1994-02-23 2000-03-07 Applied Materials, Inc. Wafer edge deposition elimination
US5705080A (en) * 1994-07-06 1998-01-06 Applied Materials, Inc. Plasma-inert cover and plasma cleaning process
US5730801A (en) * 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US6365495B2 (en) 1994-11-14 2002-04-02 Applied Materials, Inc. Method for performing metallo-organic chemical vapor deposition of titanium nitride at reduced temperature
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5985089A (en) * 1995-05-25 1999-11-16 Tegal Corporation Plasma etch system
KR0165484B1 (ko) * 1995-11-28 1999-02-01 김광호 탄탈륨산화막 증착 형성방법 및 그 장치
US5860640A (en) * 1995-11-29 1999-01-19 Applied Materials, Inc. Semiconductor wafer alignment member and clamp ring
US5895530A (en) * 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
US5709772A (en) 1996-03-29 1998-01-20 Applied Materials, Inc. Non-plasma halogenated gas flow to prevent metal residues
US5844205A (en) * 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US5885353A (en) * 1996-06-21 1999-03-23 Micron Technology, Inc. Thermal conditioning apparatus
US5993916A (en) * 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5837058A (en) * 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
JPH1064847A (ja) * 1996-07-16 1998-03-06 Applied Materials Inc タングステン材料の成膜方法、サセプタ及び成膜装置
US5653808A (en) * 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US6602348B1 (en) * 1996-09-17 2003-08-05 Applied Materials, Inc. Substrate cooldown chamber
KR100469908B1 (ko) 1996-09-30 2005-02-02 램 리서치 코포레이션 기재 지지수단 상의 폴리머 증착을 감소시키는 장치
US6120609A (en) * 1996-10-25 2000-09-19 Applied Materials, Inc. Self-aligning lift mechanism
US5951776A (en) * 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
US6033478A (en) * 1996-11-05 2000-03-07 Applied Materials, Inc. Wafer support with improved temperature control
US5879574A (en) * 1996-11-13 1999-03-09 Applied Materials, Inc. Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process
US6019848A (en) * 1996-11-13 2000-02-01 Applied Materials, Inc. Lid assembly for high temperature processing chamber
US5968587A (en) * 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5873781A (en) * 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6189482B1 (en) 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US5955858A (en) 1997-02-14 1999-09-21 Applied Materials, Inc. Mechanically clamping robot wrist
US5977519A (en) 1997-02-28 1999-11-02 Applied Komatsu Technology, Inc. Heating element with a diamond sealing material
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6120608A (en) * 1997-03-12 2000-09-19 Applied Materials, Inc. Workpiece support platen for semiconductor process chamber
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6153260A (en) * 1997-04-11 2000-11-28 Applied Materials, Inc. Method for heating exhaust gas in a substrate reactor
US6226452B1 (en) * 1997-05-19 2001-05-01 Texas Instruments Incorporated Radiant chamber for simultaneous rapid die attach and lead frame embed for ceramic packaging
AT411304B (de) * 1997-06-18 2003-11-25 Sez Ag Träger für scheibenförmige gegenstände, insbesondere silizium-wafer
US5899653A (en) * 1997-06-23 1999-05-04 Applied Materials, Inc. Two-stage vacuum bellows
US6280790B1 (en) * 1997-06-30 2001-08-28 Applied Materials, Inc. Reducing the deposition rate of volatile contaminants onto an optical component of a substrate processing system
US6063440A (en) 1997-07-11 2000-05-16 Applied Materials, Inc. Method for aligning a wafer
US5944422A (en) * 1997-07-11 1999-08-31 A. G. Associates (Israel) Ltd. Apparatus for measuring the processing temperature of workpieces particularly semiconductor wafers
US6083321A (en) * 1997-07-11 2000-07-04 Applied Materials, Inc. Fluid delivery system and method
TW524873B (en) 1997-07-11 2003-03-21 Applied Materials Inc Improved substrate supporting apparatus and processing chamber
US5985033A (en) * 1997-07-11 1999-11-16 Applied Materials, Inc. Apparatus and method for delivering a gas
JPH1136076A (ja) * 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US5870526A (en) * 1997-07-17 1999-02-09 Steag-Ast Inflatable elastomeric element for rapid thermal processing (RTP) system
US6186092B1 (en) 1997-08-19 2001-02-13 Applied Materials, Inc. Apparatus and method for aligning and controlling edge deposition on a substrate
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6118100A (en) * 1997-11-26 2000-09-12 Mattson Technology, Inc. Susceptor hold-down mechanism
US6063441A (en) * 1997-12-02 2000-05-16 Applied Materials, Inc. Processing chamber and method for confining plasma
US6210483B1 (en) 1997-12-02 2001-04-03 Applied Materials, Inc. Anti-notch thinning heater
US6296712B1 (en) * 1997-12-02 2001-10-02 Applied Materials, Inc. Chemical vapor deposition hardware and process
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6093281A (en) * 1998-02-26 2000-07-25 International Business Machines Corp. Baffle plate design for decreasing conductance lost during precipitation of polymer precursors in plasma etching chambers
US5961724A (en) * 1998-03-30 1999-10-05 Lam Research Corporation Techniques for reducing particulate contamination on a substrate during processing
JPH11297800A (ja) * 1998-04-09 1999-10-29 Nec Kyushu Ltd 半導体装置製造用装置
US6596086B1 (en) * 1998-04-28 2003-07-22 Shin-Etsu Handotai Co., Ltd. Apparatus for thin film growth
US6146504A (en) * 1998-05-21 2000-11-14 Applied Materials, Inc. Substrate support and lift apparatus and method
US6027605A (en) * 1998-05-22 2000-02-22 Raines Technologies, Inc. Plasma etching apparatus and method and apparatus for verifying a wafer
US6146463A (en) * 1998-06-12 2000-11-14 Applied Materials, Inc. Apparatus and method for aligning a substrate on a support member
US6040011A (en) * 1998-06-24 2000-03-21 Applied Materials, Inc. Substrate support member with a purge gas channel and pumping system
US6016611A (en) 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
US6096135A (en) * 1998-07-21 2000-08-01 Applied Materials, Inc. Method and apparatus for reducing contamination of a substrate in a substrate processing system
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6231716B1 (en) * 1998-11-09 2001-05-15 Applied Materials, Inc. Processing chamber with rapid wafer exchange
JP4317608B2 (ja) * 1999-01-18 2009-08-19 東京エレクトロン株式会社 成膜装置
JP3352418B2 (ja) * 1999-01-28 2002-12-03 キヤノン株式会社 減圧処理方法及び減圧処理装置
US6159299A (en) * 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
SG87084A1 (en) * 1999-02-09 2002-03-19 Applied Materials Inc Method for performing metallo-organic chemical vapor deposition of titanium nitride at reduced temperature
US6610150B1 (en) 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6464795B1 (en) 1999-05-21 2002-10-15 Applied Materials, Inc. Substrate support member for a processing chamber
US20030051656A1 (en) 1999-06-14 2003-03-20 Charles Chiun-Chieh Yang Method for the preparation of an epitaxial silicon wafer with intrinsic gettering
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6261407B1 (en) * 1999-06-29 2001-07-17 Lam Research Corporation Method and apparatus for removal of thin films from wafers
US6586343B1 (en) * 1999-07-09 2003-07-01 Applied Materials, Inc. Method and apparatus for directing constituents through a processing chamber
US6530992B1 (en) * 1999-07-09 2003-03-11 Applied Materials, Inc. Method of forming a film in a chamber and positioning a substitute in a chamber
US6163015A (en) * 1999-07-21 2000-12-19 Moore Epitaxial, Inc. Substrate support element
US6354832B1 (en) * 1999-07-28 2002-03-12 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US6375748B1 (en) * 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6291347B1 (en) 1999-10-08 2001-09-18 Texas Instruments Incorporated Method and system for constructing semiconductor devices
US6184154B1 (en) * 1999-10-13 2001-02-06 Seh America, Inc. Method of processing the backside of a wafer within an epitaxial reactor chamber
US6541369B2 (en) 1999-12-07 2003-04-01 Applied Materials, Inc. Method and apparatus for reducing fixed charges in a semiconductor device
US6589352B1 (en) * 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
US6328807B1 (en) * 1999-12-14 2001-12-11 Corning Incorporated Chuck heater for improved planar deposition process
US6949143B1 (en) 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
JP4419237B2 (ja) * 1999-12-22 2010-02-24 東京エレクトロン株式会社 成膜装置及び被処理体の処理方法
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
US6494955B1 (en) 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
US6223447B1 (en) * 2000-02-15 2001-05-01 Applied Materials, Inc. Fastening device for a purge ring
US6350320B1 (en) * 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
AU4351601A (en) * 2000-03-09 2001-09-17 Semix Inc Wafer processing apparatus and method
US6394023B1 (en) 2000-03-27 2002-05-28 Applied Materials, Inc. Process kit parts and method for using same
US6444027B1 (en) * 2000-05-08 2002-09-03 Memc Electronic Materials, Inc. Modified susceptor for use in chemical vapor deposition process
JP4422295B2 (ja) * 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
KR20030021185A (ko) * 2000-06-30 2003-03-12 엠이엠씨 일렉트로닉 머티리얼즈 인코포레이티드 디누디드 존을 갖는 실리콘 웨이퍼를 형성하는 방법 및 장치
US6339016B1 (en) 2000-06-30 2002-01-15 Memc Electronic Materials, Inc. Method and apparatus for forming an epitaxial silicon wafer with a denuded zone
US6599815B1 (en) 2000-06-30 2003-07-29 Memc Electronic Materials, Inc. Method and apparatus for forming a silicon wafer with a denuded zone
US7011039B1 (en) 2000-07-07 2006-03-14 Applied Materials, Inc. Multi-purpose processing chamber with removable chamber liner
US6802906B2 (en) * 2000-07-21 2004-10-12 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
AU2001288232A1 (en) * 2000-08-10 2002-02-25 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
US6503331B1 (en) * 2000-09-12 2003-01-07 Applied Materials, Inc. Tungsten chamber with stationary heater
KR20030032034A (ko) 2000-09-15 2003-04-23 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 두 개의 이중 슬롯 로드록
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US7130029B2 (en) 2000-09-20 2006-10-31 Kla-Tencor Technologies Corp. Methods and systems for determining an adhesion characteristic and a thickness of a specimen
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6782337B2 (en) 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
WO2002025708A2 (en) 2000-09-20 2002-03-28 Kla-Tencor-Inc. Methods and systems for semiconductor fabrication processes
US6617173B1 (en) 2000-10-11 2003-09-09 Genus, Inc. Integration of ferromagnetic films with ultrathin insulating film using atomic layer deposition
US20030190424A1 (en) * 2000-10-20 2003-10-09 Ofer Sneh Process for tungsten silicide atomic layer deposition
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
WO2002065532A1 (fr) * 2001-02-15 2002-08-22 Tokyo Electron Limited Procede de traitement de piece et dispositif de traitement
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6795292B2 (en) * 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
US6506994B2 (en) * 2001-06-15 2003-01-14 Applied Materials, Inc. Low profile thick film heaters in multi-slot bake chamber
EP1274121A1 (en) * 2001-06-29 2003-01-08 Infineon Technologies SC300 GmbH & Co. KG Wafer chuck for supporting a semiconductor wafer
JP2003060012A (ja) * 2001-08-08 2003-02-28 Asm Japan Kk 半導体処理用反応チャンバ
US7090727B2 (en) * 2001-08-17 2006-08-15 Micron Technology, Inc. Heated gas line body feedthrough for vapor and gas delivery systems and methods for employing same
US7316966B2 (en) 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
JP2003197532A (ja) * 2001-12-21 2003-07-11 Sumitomo Mitsubishi Silicon Corp エピタキシャル成長方法及びエピタキシャル成長用サセプター
KR100453014B1 (ko) * 2001-12-26 2004-10-14 주성엔지니어링(주) Cvd 장치
WO2003060973A1 (fr) * 2002-01-10 2003-07-24 Tokyo Electron Limited Dispositif de traitement
US6730175B2 (en) 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
DE10208450B4 (de) * 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US20030168174A1 (en) * 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US6576483B1 (en) * 2002-03-19 2003-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Backside cannelure to provide for wafer shift detection
US7005601B2 (en) * 2002-04-18 2006-02-28 Applied Materials, Inc. Thermal flux processing by scanning
US6987240B2 (en) * 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US6776850B2 (en) * 2002-06-08 2004-08-17 Taiwan Semiconductor Manufacturing Co., Ltd Preventative maintenance aided tool for CVD chamber
US6963043B2 (en) * 2002-08-28 2005-11-08 Tokyo Electron Limited Asymmetrical focus ring
JP4083512B2 (ja) * 2002-08-30 2008-04-30 東京エレクトロン株式会社 基板処理装置
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US20040083976A1 (en) * 2002-09-25 2004-05-06 Silterra Malaysia Sdn. Bhd. Modified deposition ring to eliminate backside and wafer edge coating
US6874510B2 (en) * 2003-02-07 2005-04-05 Lsi Logic Corporation Method to use a laser to perform the edge clean operation on a semiconductor wafer
US7015426B2 (en) * 2003-02-11 2006-03-21 Genus, Inc. Purged heater-susceptor for an ALD/CVD reactor
US7033443B2 (en) * 2003-03-28 2006-04-25 Axcelis Technologies, Inc. Gas-cooled clamp for RTP
KR100522727B1 (ko) * 2003-03-31 2005-10-20 주식회사 아이피에스 박막증착용 반응용기
US20040194885A1 (en) * 2003-04-04 2004-10-07 Stacey David A. Degas chamber particle shield
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
US20040250774A1 (en) * 2003-06-16 2004-12-16 Brent Elliot Wafer heater with protected heater element
US7024105B2 (en) * 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
KR101112029B1 (ko) * 2004-02-13 2012-03-21 에이에스엠 아메리카, 인코포레이티드 자동 도핑 및 후면 증착의 감소를 위한 기판 지지 시스템
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050217569A1 (en) * 2004-04-01 2005-10-06 Nirmal Ramaswamy Methods of depositing an elemental silicon-comprising material over a semiconductor substrate and methods of cleaning an internal wall of a chamber
US20050217585A1 (en) * 2004-04-01 2005-10-06 Blomiley Eric R Substrate susceptor for receiving a substrate to be deposited upon
US8236105B2 (en) * 2004-04-08 2012-08-07 Applied Materials, Inc. Apparatus for controlling gas flow in a semiconductor substrate processing chamber
US20050223993A1 (en) * 2004-04-08 2005-10-13 Blomiley Eric R Deposition apparatuses; methods for assessing alignments of substrates within deposition apparatuses; and methods for assessing thicknesses of deposited layers within deposition apparatuses
US20050223985A1 (en) * 2004-04-08 2005-10-13 Blomiley Eric R Deposition apparatuses, methods of assessing the temperature of semiconductor wafer substrates within deposition apparatuses, and methods for deposition of epitaxial semiconductive material
WO2005103874A2 (en) * 2004-04-16 2005-11-03 Cascade Basic Research Corp. Modelling relationships within an on-line connectivity universe
KR100587681B1 (ko) * 2004-05-07 2006-06-08 삼성전자주식회사 반도체 제조용 챔버의 히터블록 장착용 라인의 실링구조
US7497414B2 (en) 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US7253107B2 (en) * 2004-06-17 2007-08-07 Asm International N.V. Pressure control system
US7628863B2 (en) * 2004-08-03 2009-12-08 Applied Materials, Inc. Heated gas box for PECVD applications
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
KR20060041497A (ko) * 2004-11-09 2006-05-12 동부일렉트로닉스 주식회사 건식 식각장치
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20080314320A1 (en) * 2005-02-04 2008-12-25 Component Re-Engineering Company, Inc. Chamber Mount for High Temperature Application of AIN Heaters
JP4590363B2 (ja) * 2005-03-16 2010-12-01 日本碍子株式会社 ガス供給部材及びそれを用いた処理装置
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US8282768B1 (en) * 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7550381B2 (en) * 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US7429718B2 (en) * 2005-08-02 2008-09-30 Applied Materials, Inc. Heating and cooling of substrate support
US8038837B2 (en) * 2005-09-02 2011-10-18 Tokyo Electron Limited Ring-shaped component for use in a plasma processing, plasma processing apparatus and outer ring-shaped member
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
JP4844086B2 (ja) * 2005-10-28 2011-12-21 三菱電機株式会社 半導体製造方法及びサテライト
KR100689843B1 (ko) * 2006-01-03 2007-03-08 삼성전자주식회사 웨이퍼 스테이지 및 이를 이용한 웨이퍼 안착방법
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US20070169703A1 (en) * 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
US20070221128A1 (en) * 2006-03-23 2007-09-27 Soo Young Choi Method and apparatus for improving uniformity of large-area substrates
EP2034035B2 (en) 2006-05-18 2022-09-14 Kabushiki Kaisha Kobe Seiko Sho Process for producing aluminum alloy plate
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US8124907B2 (en) 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7541290B2 (en) * 2007-03-08 2009-06-02 Samsung Electronics Co., Ltd. Methods of forming mask patterns on semiconductor wafers that compensate for nonuniform center-to-edge etch rates during photolithographic processing
US8377207B2 (en) * 2007-05-09 2013-02-19 Ulvac, Inc. Purge gas assembly
WO2008143088A1 (ja) * 2007-05-18 2008-11-27 Ulvac, Inc. プラズマ処理装置及び防着部材の製造方法
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20080314319A1 (en) * 2007-06-19 2008-12-25 Memc Electronic Materials, Inc. Susceptor for improving throughput and reducing wafer damage
US20090031955A1 (en) * 2007-07-30 2009-02-05 Applied Materials, Inc. Vacuum chucking heater of axisymmetrical and uniform thermal profile
US20090151872A1 (en) * 2007-12-17 2009-06-18 Tugrul Samir Low cost high conductance chamber
US8999106B2 (en) * 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US8404049B2 (en) * 2007-12-27 2013-03-26 Memc Electronic Materials, Inc. Epitaxial barrel susceptor having improved thickness uniformity
CN101552182B (zh) * 2008-03-31 2010-11-03 北京北方微电子基地设备工艺研究中心有限责任公司 一种用于半导体制造工艺中的边缘环机构
US20090274590A1 (en) * 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed
US20090280248A1 (en) * 2008-05-06 2009-11-12 Asm America, Inc. Porous substrate holder with thinned portions
US20100098519A1 (en) * 2008-10-17 2010-04-22 Memc Electronic Materials, Inc. Support for a semiconductor wafer in a high temperature environment
US20100177454A1 (en) * 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
WO2013155073A1 (en) * 2012-04-10 2013-10-17 Memc Electronic Materials, Inc. Susceptor for improved epitaxial wafer flatness and methods for fabricating a semiconductor wafer processing device
US8940094B2 (en) 2012-04-10 2015-01-27 Sunedison Semiconductor Limited Methods for fabricating a semiconductor wafer processing device
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9997381B2 (en) 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
FR3002242B1 (fr) 2013-02-21 2015-04-03 Altatech Semiconductor Dispositif de depot chimique en phase vapeur
FR3002241B1 (fr) 2013-02-21 2015-11-20 Altatech Semiconductor Dispositif de depot chimique en phase vapeur
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP5386046B1 (ja) * 2013-03-27 2014-01-15 エピクルー株式会社 サセプタ支持部およびこのサセプタ支持部を備えるエピタキシャル成長装置
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US10804081B2 (en) 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9633875B2 (en) * 2015-03-13 2017-04-25 Varian Semiconductor Equipment Associates, Inc. Apparatus for improving temperature uniformity of a workpiece
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017066418A1 (en) * 2015-10-15 2017-04-20 Applied Materials, Inc. Substrate carrier system
KR102468743B1 (ko) 2015-12-31 2022-11-21 엘지디스플레이 주식회사 표시장치, 터치 센싱 회로 및 구동방법
TWI734770B (zh) 2016-04-24 2021-08-01 美商應用材料股份有限公司 用於防止空間ald處理腔室中之背側沉積的設備
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6632469B2 (ja) * 2016-05-24 2020-01-22 三菱電機株式会社 ウエハトレイ
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
WO2018081144A1 (en) 2016-10-24 2018-05-03 Kla-Tencor Corporation Process module(s) integrated into a metrology and/or inspection tool
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
DE102017206671A1 (de) * 2017-04-20 2018-10-25 Siltronic Ag Suszeptor zum Halten einer Halbleiterscheibe mit Orientierungskerbe während des Abscheidens einer Schicht auf einer Vorderseite der Halbleiterscheibe und Verfahren zum Abscheiden der Schicht unter Verwendung des Suszeptors
JP6837911B2 (ja) * 2017-05-17 2021-03-03 株式会社Screenホールディングス 熱処理装置
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
JP6967954B2 (ja) * 2017-12-05 2021-11-17 東京エレクトロン株式会社 排気装置、処理装置及び排気方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019245592A1 (en) * 2018-06-22 2019-12-26 Versum Materials Us, Llc Purge system for gas supply equipment capable of high-temperature high-pressure purging
US11427912B2 (en) 2018-06-25 2022-08-30 Applied Materials, Inc. High temperature rotation module for a processing chamber
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
CN109136884A (zh) * 2018-07-23 2019-01-04 中国电子科技集团公司第四十八研究所 平板式pecvd设备载板自动传输控制装置、pecvd设备及方法
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11961756B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Vented susceptor
CN111863589A (zh) * 2019-04-24 2020-10-30 北京北方华创微电子装备有限公司 吹扫方法及结构、沉积工艺及进气系统
TW202110587A (zh) * 2019-05-22 2021-03-16 荷蘭商Asm Ip 控股公司 工件基座主體及用於沖洗工件基座的方法
US11415463B2 (en) * 2019-06-04 2022-08-16 Applied Materials, Inc. Contactless workpiece temperature sensor
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
US11817313B2 (en) * 2020-02-05 2023-11-14 Applied Materials, Inc. Methods for pressure ramped plasma purge
US20220216093A1 (en) * 2021-01-06 2022-07-07 Changxin Memory Technologies, Inc. Floating pin, wafer carrying device and depositing apparatus

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2171877A1 (en) * 1972-02-14 1973-09-28 Commissariat Energie Atomique Semiconductor coating appts - giving improved uniformity of coating
US4061800A (en) * 1975-02-06 1977-12-06 Applied Materials, Inc. Vapor desposition method
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4585920A (en) * 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
JPS612319A (ja) * 1984-06-14 1986-01-08 Semiconductor Energy Lab Co Ltd Cvd装置
JPS636833A (ja) * 1986-06-26 1988-01-12 Toshiba Ceramics Co Ltd 気相成長装置
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US5228501A (en) * 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4997677A (en) * 1987-08-31 1991-03-05 Massachusetts Institute Of Technology Vapor phase reactor for making multilayer structures
US4963423A (en) * 1987-10-08 1990-10-16 Anelva Corporation Method for forming a thin film and apparatus of forming a metal thin film utilizing temperature controlling means
US4820371A (en) * 1987-12-15 1989-04-11 Texas Instruments Incorporated Apertured ring for exhausting plasma reactor gases
JPH0610356B2 (ja) * 1988-02-18 1994-02-09 松下電器産業株式会社 プラズマ処理装置およびプラズマ温度測定方法
FR2638020B1 (fr) * 1988-10-14 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a collecteur de gaz ameliore
DE69007733T2 (de) * 1989-05-08 1994-09-29 Philips Nv Vorrichtung und verfahren zur behandlung eines flachen, scheibenförmigen substrates unter niedrigem druck.
JPH03211823A (ja) * 1990-01-17 1991-09-17 Matsushita Electron Corp 半導体製造装置
US5108792A (en) * 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
EP0447155B1 (en) * 1990-03-12 1995-07-26 Ngk Insulators, Ltd. Wafer heaters for use in semi-conductor-producing apparatus, heating units using such wafer heaters, and production of heaters
DE69108285T2 (de) * 1990-04-23 1995-12-14 Genus Inc Peripherieabdichtung für Halbleiterplättchen durch Gasinjektion.
US5578532A (en) * 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5230741A (en) * 1990-07-16 1993-07-27 Novellus Systems, Inc. Gas-based backside protection during substrate processing
US5148714A (en) * 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
JPH05226252A (ja) * 1992-02-10 1993-09-03 Fujitsu Ltd 気相成長装置および該装置を用いた気相成長方法
JPH0811718B2 (ja) * 1992-02-27 1996-02-07 大同ほくさん株式会社 ガスソース分子線エピタキシー装置
US5370739A (en) * 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
NL9201211A (nl) * 1992-07-07 1994-02-01 Cobrain Nv Inrichting en werkwijze voor het behandelen van een plak halfgeleider-materiaal.
US5589224A (en) * 1992-09-30 1996-12-31 Applied Materials, Inc. Apparatus for full wafer deposition
US5292554A (en) * 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
US5343938A (en) * 1992-12-24 1994-09-06 Vlsi Technology, Inc. Method and apparatus for thermally insulating a wafer support
JP2662365B2 (ja) * 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
DE59406900D1 (de) * 1993-02-08 1998-10-22 Sez Semiconduct Equip Zubehoer Träger für scheibenförmige Gegenstände
US5421893A (en) * 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
US5326725A (en) * 1993-03-11 1994-07-05 Applied Materials, Inc. Clamping ring and susceptor therefor
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
JP3190165B2 (ja) * 1993-04-13 2001-07-23 東京エレクトロン株式会社 縦型熱処理装置及び熱処理方法
US5324553A (en) * 1993-04-30 1994-06-28 Energy Conversion Devices, Inc. Method for the improved microwave deposition of thin films
US5525160A (en) * 1993-05-10 1996-06-11 Tokyo Electron Kabushiki Kaisha Film deposition processing device having transparent support and transfer pins
US5437757A (en) * 1994-01-21 1995-08-01 Applied Materials, Inc. Clamp ring for domed pedestal in wafer processing chamber
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5476548A (en) * 1994-06-20 1995-12-19 Applied Materials, Inc. Reducing backside deposition in a substrate processing apparatus through the use of a shadow ring

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007113119A (ja) * 1994-06-20 2007-05-10 Applied Materials Inc 基板裏面への堆積を減少させる処理装置及び処理方法
JP2009041110A (ja) * 1995-06-07 2009-02-26 Applied Materials Inc 基板プロセス装置でのエッジ堆積を制御する移動可能リング
JP2012251243A (ja) * 1995-06-07 2012-12-20 Applied Materials Inc 基板プロセス装置でのエッジ堆積を制御する移動可能リング
JP2002513091A (ja) * 1998-04-28 2002-05-08 アプライド マテリアルズ インコーポレイテッド タングステンを堆積させるための基板処理装置用の改良型ヒータ

Also Published As

Publication number Publication date
US5800686A (en) 1998-09-01
DE69411307T2 (de) 1999-04-15
EP0843023A2 (en) 1998-05-20
JP2918785B2 (ja) 1999-07-12
EP0619381B1 (en) 1998-07-01
KR100190726B1 (ko) 1999-06-01
EP0843023A3 (en) 1998-10-28
DE69411307D1 (de) 1998-08-06
US6103014A (en) 2000-08-15
US5935338A (en) 1999-08-10
US5695568A (en) 1997-12-09
US5856240A (en) 1999-01-05
US5882419A (en) 1999-03-16
EP0619381A1 (en) 1994-10-12
US5516367A (en) 1996-05-14

Similar Documents

Publication Publication Date Title
JP2918785B2 (ja) 改良された化学気相蒸着チャンバおよび方法
JP4563984B2 (ja) 基板裏面への堆積を減少させる処理装置及び処理方法
US5383971A (en) Differential pressure CVD chuck
KR101605853B1 (ko) 반도체 웨이퍼를 에칭하기 위한 장치
US5494494A (en) Integrated module multi-chamber CVD processing system and its method for processing substrates
KR100915252B1 (ko) 샤워 헤드 구조체 및 그의 의한 성막 방법과, 가스 처리 장치
JP4361614B2 (ja) 半導体基板のエッジ成膜の制御
JP2002518601A (ja) パージガスチャネル及びポンプシステムを有する基板支持装置
US20060090706A1 (en) Support ring assembly
JP2002521815A (ja) 基板処理システムの基板上の汚染を低減する方法及び装置
JPH1041253A (ja) ウェハ表面上にシャドウリング及びパージを有するヒータ
KR20070087196A (ko) 화학 기상 에칭 챔버로부터 부산물 증착을 제거하기 위한인-시튜 챔버 세정 방법
US6733593B1 (en) Film forming device
JPS63213672A (ja) 急速加熱cvd装置
US6306244B1 (en) Apparatus for reducing polymer deposition on substrate support
JP2001308079A (ja) プラズマ処理装置及びプラズマ処理方法
US8398771B2 (en) Substrate processing apparatus
US5431737A (en) Interchangeable CVD chuck surface
US20040058560A1 (en) Fast gas exchange for thermal conductivity modulation
JP3131860B2 (ja) 成膜処理装置
US20200140999A1 (en) Process chamber component cleaning method
JPH10223620A (ja) 半導体製造装置
JP2000082699A (ja) エッチング処理装置
JPH06295871A (ja) 化学的気相成長装置

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19990316

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090423

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090423

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100423

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110423

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110423

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120423

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120423

Year of fee payment: 13

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120423

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130423

Year of fee payment: 14

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130423

Year of fee payment: 14

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140423

Year of fee payment: 15

EXPY Cancellation because of completion of term