JP2012251243A - 基板プロセス装置でのエッジ堆積を制御する移動可能リング - Google Patents

基板プロセス装置でのエッジ堆積を制御する移動可能リング Download PDF

Info

Publication number
JP2012251243A
JP2012251243A JP2012167349A JP2012167349A JP2012251243A JP 2012251243 A JP2012251243 A JP 2012251243A JP 2012167349 A JP2012167349 A JP 2012167349A JP 2012167349 A JP2012167349 A JP 2012167349A JP 2012251243 A JP2012251243 A JP 2012251243A
Authority
JP
Japan
Prior art keywords
pedestal
substrate
wafer
purge
edge
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012167349A
Other languages
English (en)
Other versions
JP5578478B2 (ja
Inventor
P Umotoi Salvador
ピー. ウモトイ サルヴァドール
Alan F Morrison
エフ. モリソン アラン
Karl A Littau
エー. リタウ カール
A Marsh Richard
エー. マーシュ リチャード
Lawrence Chung-Lai Lei
チャン−ライ レイ ローレンス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2012251243A publication Critical patent/JP2012251243A/ja
Application granted granted Critical
Publication of JP5578478B2 publication Critical patent/JP5578478B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • H01L21/203
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】内部に基板支持体が配置されているプロセスチャンバを備える基板プロセス装置。
【解決手段】基板支持体は、加熱ペデスタルの形であり、それ自身とペデスタルの間の隙間を限定する除去可能なパージリングによって周囲を囲まれている。外側でペデスタルのエッジは、パージガスマニホールドで、パージリングとペデスタルの間の空洞の形である。マニホールドの低端は、加熱から膨張しパージリングの低端と接触するというプロセス温度で形成されるメカニカルシールの方法で密閉される。マニホールド上端は、パージリングとペデスタルによって限定される環帯内に対して開いている。マニホールドは、処理中に、パージガスが基板のエッジに対して放出されるように配置され、ガスはパージリングと基板支持体の間に限定される環帯を通して上むきに移動する。
【選択図】図1

Description

本発明は、半導体ダイの製造に使用される、基板上へ有益な物質層を堆積させる方法と装置に関する。特に、本発明はこのような堆積プロセスで使用されるウエハヒータペデスタルの改良に関する。
一般にCVDと呼ばれている化学気相成長は、半導体基板の上に薄い物質層を堆積するのに使用される多くのプロセスの中の一つである。CVDプロセスを用いて堆積基板を処理するために、真空チャンバにはその上に基板を受けるように形造られているサセプタを具備している。典型的な従来技術のCVDチャンバ内において、基板は、ロボットブレードによってチャンバ内部に配置され、また内部から取り去られ、処理中はサセプタによって支持される。処理を行うに先立ち、サセプタと基板は250〜650℃の間の温度に加熱される。一度基板が適当な温度に加熱されると、プロセスガスは、基板上部にしばしば位置するガスマニホールドを通して真空チャンバを満たす。プロセスガスは、加熱された基板表面と反応して、その上に薄い物質層を成長させる。ガスが熱反応を生じて物質層を形成すると同時に、揮発性副生成物ガスが形成され、これらの副生成物ガスはチャンバ排出システムを通して真空チャンバの外へと排気される。
基板処理の一番の目標は、それぞれの基板から可能な限り多くの有用なダイを得ることである。多くの要因がCVDチャンバ内の基板の処理を左右し、そこで処理されるそれぞれの基板からのダイの最終的な生産に影響を及ぼす。これらの要因は、基板上に成長される材質層の均一性と厚さに影響を及ぼすプロセス変数と、基板に付いてそこの一つ又はそれ以上のダイを汚染する汚染物質とを含んでいる。これらの両要因は、CVDと他のプロセスにおいて、それぞれの基板からのダイの収率を最大にするために制御されなければならない。
チャンバ内部の微粒子汚染の原因の一つは、基板エッジで不適当な堆積が生ずることである。エッジ堆積の状況を制御することが難しいため、基板エッジは典型的には面取りされているという事実と、これらのエッジの周りで堆積ガス流が非均一であるという事実とが原因の1部となり、非均一堆積が基板のエッジの周りで起こり得る。このことにより堆積層は、相互間で適切に付着しなくなることがあり、及び/又は、基板に対し適切に付着しなくなることがある。
この問題は、典型的な半導体基板の概略部分断面図である図1(a)に例示されている。この例では図示の如く、基板1は、その上に堆積されている3つの連続する層2、3、4を有している。該基板上に中間層2と3(もし必要なら更なる層)を堆積した後、アルミニウム等のメタルを上部層4として該基板上に堆積してもよい。例示のためであり限定するものではない例として、WF6ガスを使用した基板上のタングステンの堆積では、第一層2は典型的にはチタニウムで、第二層3は窒化チタニウムで、第三(上部)層はタングステンである。
タングステンは基板のシリコン(又は酸化シリコン)表面に容易に付着しないので、このような三層プロセスがタングステンの堆積の為には一般的である。従って、非常に薄いチタニウムの「プライマー(primer)」層2が堆積され、窒化チタニウムの第二層3が次に来る。タングステンは窒化チタニウム(TiN)に容易に付着する。しかしながら、図1(a)から判るように、タングステン層4は、シリコン基板と直接接触するように、ベベル付けされた基板の外側エッジ5の上の周りを「包んで」いる。
周りを包んでしまうことに関しては、タングステンがシリコン基板表面に付着せずに基板のハンドリングの際に容易に欠けて剥げる結果、微粒子の汚染というをもたらすという問題がある。
それゆえ、理想的なエッジ断面は図1(b)に図示されているものであり、即ち、三層が全て基板のエッジに関して同じ位置及び近傍、好ましくは斜面の上方の位置及び近傍で終わり、メタル層4が基板エッジの一番反対側にある。
望まないエッジ堆積のこの問題の一つの解答として、基板の狭い外縁円周の領域の上に位置しその領域をマスクするシャドーリングを具備させて堆積を防止することがある。しかしながら、基板の利用可能な領域を小さくするため、基板毎の最大収率が減少するといった欠点を持つ。また、基板の上面全体が堆積されなければならないという状況で、シャドーリングを使用することは不適当である。また、ウエハが歪んでいる事と、揮発性の堆積ガスがなおシャドーリングのへりの下に移動して基板のエッジと裏面上への望まれない物質を堆積させる傾向があるという事実とにより、シャドーリングは期待するほどの好結果をもたらさない。
材質層の堆積の均一性に影響を及ぼす他の要因は、ウエハの加熱の均一性である。ウエハの均一加熱の一つの方法は、加熱ペデスタルを、ウエハの支持と加熱の両方の為に使用することである。この装置の例は先に言及した同一出願の米国出願番号08/200,862に記述されている。この装置において、ウエハは、チャンバ内部の垂直脚上に装着されている加熱ペデスタルの平坦な支持表面に支持されている。ペデスタルは電気的加熱コイルによって内部から加熱され、この高熱の支持ペデスタルによってウエハが加熱される。改良されたウエハの加熱の均一性を供給するために、この装置は、このウエハの下側とペデスタルの平坦支持表面との間の界面で「真空」引きがなされる真空チャックを具備する。結果として生じる、ウエハを横切る圧力差は、ペデスタル上にウエハを引き寄せ、その結果、ウエハの加熱の均一性が改善される。
しかし、このウエハの裏面の真空引きの結果、ウエハのエッジ回りでウエハとペデスタルの間の界面にプロセスガスが引っ張られる可能性がある。これは、シャドーリングがウエハの上方に位置する場合に、望ましくないエッジ及び裏面での堆積という結果となり得る。前述の如く、この望ましくない堆積は、粒子汚染の発生を引き起こすことがある。よって、改良した加熱の均一性は、望まれないエッジと裏面堆積の増加の可能性を伴う。
従って、CVD及び/又は他の基板処理操作中に半導体基板のエッジで又はその周りで材質の堆積を制御する為の方法と、装置の必要性が存在する。
さらに、プロセスによっては、チャンバ内の部品からの堆積物のクリーニングを行うことは困難である。例えば、アルミニウムの堆積では、個々の部品に物理的洗浄又は化学的浸浴を行うことによりクリーニングを行う必要がある。
このような堆積物は、ウエハにすぐ隣り合った面や、適当に加熱された面上で起こりやすい。
従って、このような面上の堆積の量を低減し、且つ比較的簡単にクリーニングすることができるようにする必要性がある。
更に、成長装置内で必然的におこる堆積とチャンバ内の高温によって誘発される応力とのため、このような装置が簡単にクリーニング可能で実用向きである必要性がある。
従って、本発明は、簡潔に述べるならば、基板支持部が内部に配置されるプロセスチャンバを備える基板処理装置を提供するものである。加熱ペデスタルの形態である基板支持部は、基板を受容するための寸法を有する表面を有し、それ自身とペデスタルとの間に環帯を画する着脱可能なパージリングによって、その外周が包囲される。
ペデスタルの外側のエッジでは、パージガスマニホールドがパージリングとペデスタルの間のキャビティとして形成される。プロセス温度でペデスタルが膨張して形成されるメカニカルシールによって、マニホールドの下端は、加熱からシールされ、パージリングの下エッジと接触するようになる。マニホールドの高い方の端は、パージリングとペデスタルによって画成される環帯の中へと開いている。マニホールドの配置は、プロセス中に、パージガスがマニホールド内に流入されペデスタルの面上に受容された基板のエッジにむかって放出されるように、されている。このガスは、パージリングと基板支持部の間を定義する環帯を通して上部に移動する。結果としてプロセスガスは基板の最先端部分と接触することから防げられる。これは、基板のエッジ周辺と低い方の表面上の望まれない堆積を減少させる。
パージリングは、好ましくは316Lステンレススチールなどのニッケル−クロム−鉄合金製である。パージリングは、三つのセラミックピンによって支持されており、かかる3つのセラミックピンは、ペデスタル上に載っている。その結果、パージリングは加熱ペデスタルと接触せずに支持されていており、ペデスタルからパージリングまでの熱移動が低減される。従って、パージリングは低い温度で操作され、リング上の堆積を減少する。
パージリングは、基板支持体に対して基板を調心するウエハガイドを自身の上に有していてもよい。また、パージリングがセラミック位置決め/支持ピンによってペデスタル上に支持されてもよく、このことがリングへの熱移動を更に低減する。
パージリングはまた、リングがペデスタル上に配置されるとき基板受容ポケットが形成されるように、盛り上がった上エッジを持つ。ウエハがポケットの中に配置されるとき、その処理される表面は、パージリングの表面に又はその下にあるだろう。この場合、パージリングの盛り上がった部分は、プロセスガスの直接の流れからウエハのエッジを遮蔽する。
また、ペデスタルの受容面は自身に形成された真空ポートを更に有していてもよく、その結果、ポケットフロアとその上に受容された基板の下側との間の界面に真空を引くことが可能となり、それによって基板がペデスタル上に引き寄せられて処理が改善される。
また、ペデスタルの受容面は、自身に形成された基板受容ポケットを有してもよく、このポケット内に配置されたウエハの表面がペデスタルの係る面の表面に又はその下になるようになっている。
ペデスタルが支持体を加熱するためのヒーターを有していることが好ましく、これにより、その上に支持される基板が伝導によって加熱される。
本発明は、メタルCVDプロセスを用いて半導体ウエハを処理するウエハプロセスチャンバに特定の用途が見出されるが、これに限定されるものではない。
本発明の主要な利点は、基板のエッジ及び裏側の堆積の発生が低減されるということである。このことは、基板に不適切に付着した望まれないエッジ/下側堆積に源を発する粒子汚染を低減する。
本発明の他の利点は、基板上により均一な堆積物質層を形成しつつ、同時に、処理中の粒子発生の率を減少させることにある。処理中に基板に触れるシャドーリングを排除することによって、シャドーリングにより造り出されるマスクされた基板エッジが排除されるため、基板からのダイの全収率が増加する。
本発明のまた別の利点は、ウエットクリーニング又はメカニカルクリーニングのためにパージリングを容易に除去できるということである。
本発明のこれらの利点と更なる詳細は、以下に続く詳細な説明により添付の図と併せて、いわゆる当業者により明白になるであろう。
以上詳細に説明したように、本発明によれば、チャンバ内の部品面上の堆積の量を低減し、且つ簡単にクリーニングを行うことが可能となる。
(a)と(b)は、基板エッジにおけるメタル層の非理想的な堆積と理想的な堆積を示した半導体基板の部分的な断面図である。 本発明の加熱用ペデスタルの平面図である。 図2の3−3線に沿った断面図である。 図2の4−4線に沿った、ペデスタルとパージリングの上の部分の部分拡大図である。 ウエハガイドの詳細を示す平面図である。
(緒言)
半導体基板ウエハの下面及びエッジへの物質の堆積を低減する為に、図2及び3に例示されるヒータペデスタルは、ペデスタル16の支持面22上にウエハが受容される際にウエハ(図示されず)の外縁に近接して配置される、周囲を囲むパージガスリング24の形態であるエッジ保護具を有している。一旦ウエハがペデスタル16上に配置され処理が始まると、パージガスの連続流れがパージガスマニホールド26に供給され、パージギャップ80を通って基板のエッジ全体の周囲に流れる。このパージ流れは、基板のエッジ上で又はエッジのすぐ近傍の基板下面で堆積がほとんど又は全く生じない、という効果を有している。その結果としての物質堆積層の形状は、図1(b)に例示されている物に類似するであろう。
(詳細説明)
図2に例示されているように、ペデスタル16はその上部に半導体ウエハ23(図2には示されていない)を支持することができる上部支持面22を有している。この面22は、そこに形成されている複数の同心状円形溝40を持つ。これらの同心状溝40は、典型的には、幅0.21mm(0.08inches)、深さ0.38mm(0.015inchs)、間隔2.97mm(0.117inches)である。これらは、放射線状に方向づけられた流路(channel)によって相互接合されている。それぞれの放射状の流路42の長さのほぼ中間で、複数の(総数24個の)真空ポート44がペデスタルの本体内に形成されている。
また、図2はペデスタル16の本体を貫通するアパーチャ30を例示する。これらのアパーチャ30は、処理後にウエハを除去できるようにウエハを持ち上げてペデスタル16の上面22を操作される、ウエハリフトフィンガ(示されていない)を受容する。このウエハの除去は、スリット弁を通して選択的に開かれるポートを通してチャンバに入る従来のプロセス装置ロボットアーム(示されていない)によって達成される。同様のロボットは、また、処理のための位置にウエハを配置する為に使用される。リフトフィンガは、従来技術においてよく知られているリフティング機構の動作によって垂直に移動可能である。
図3で、加熱ペデスタル16は、垂直ストーク(vertical stalk)18によって支持されている本体61を含むように示されている。図2の真空ポートは、8つの穴45によって、ペデスタル本体61の下側に入るように形成されている円形マニホールド溝46と連結している。この溝46は、又ストーク18内に配置されている真空コンジット50と連結している。コンジット50は、ペデスタル16の本体61内部の少なくとも一つの略水平なコンジット(示されていない)によって、溝46と連結している。ストーク18内には、真空コンジット50に加えて、パージガスコンジット51と、ペデスタルの温度を計測する為の熱電対(示されていない)を含むハウジングと、ペデスタル16の本体61内部に位置する加熱エレメントにパワーを供給する為のコンジット56とがある。
着脱可能なパージリング24は、支持表面22に外接し、ペデスタル16の周辺で間隔をおいて置かれている三つのセラミック支持ピン60上に支えられている。この位置で、図4に詳しく示されているように、パージリング24の角度の付けられた内表面25は、ペデスタルの角度の付けられた外表面27と共に環帯80を形成する。環帯80は、ウエハ23とリング24の間で画成されるパージギャップ内にパージガスを導く。ギャップ83は、エッジ上とウエハの下側への堆積を防止するパージガスの効果的な流れを許容するように寸法が決められている。本発明の一実施例において、パージギャップ83は、装置が操作温度であるとき、0.023inchs(0.58mm)から0.030inchs(0.76mm)である。この環帯80と、パージギャップ83の形状は、基板の約周辺全体に比較的に一定のパージガスの補給を供給する。環帯80は、パージガスマニホールド26により、ペデスタル16の本体61の外側エッジ全体を囲むキャビティの形態で与えられる。
更に図4に示されているように、パージリング24の内表面28は、ペデスタル16の周囲面23と共に、パージガスマニホールド26を形成する。ガスマニホールド26は、環帯80を通してパージギャップ83と連結している。室温で、それはその低いほう端で開き、ギャップがそのパージリング24のシーリングエッジ84とペデスタル16の周囲表面23の間の界面に存在する。以下の述べるが、処理中に、パージリングのシーリングエッジ84とペデスタルの本体61の周囲表面の間のこのギャップは閉る。ガスマニホールド26には、ペデスタル16の本体61内に形成される8つのパージガス排出口86によって、パージガスが供給される。これらのパージガス排出口86は、パージガスコンジット51(図3に示す)によってパージガス供給源57と連結している。
パージリング24は界面82でギャップが存在する時、室温で(いかなる締め具をゆるめずに)自由に着脱可能であるので、堆積物を除去するためのウエットクリーニング/又はメカニカルクリーニングが容易である。以下に記載する方法で、この同じパージリング24は、高温での処理中に界面82において緊密なメカニカルシールを形成する。
粗雑なミスアライメントがあればパージギャップ83から流れ出すガスを遮断する位置にウエハエッジの一部分が配置されてしまうため、受容面22上にウエハを適正に配置することは重要である。適正なウエハ位置決めを助成するために、そして図5に極めて詳細に示されているように、パージリング24は、その内円周でその上部表面32に突出部を、ウエハガイド81という形態で有している。これらのウエハガイド81は、角度の付けられたウエハ23の外側エッジを捉らえる内表面85を持ち、ペデスタル16の表面22上にそれが受入れられたときそれをアライメントするのに役立つ。ウエハのミスアライメントがあれば、ウエハガイドの1つ以上がウエハのエッジと係合する結果を生じる。ウエハピンが機能して、ウエハの外周をパージリング24に対して調心することにより、ウエハのエッジ全体の周囲にパージギャップ83が画成される。従って、ウエハガイド81を用いることにより、ロボットブレードによるウエハの位置決めが僅かに不適正であっても許されることになる。本発明の実施例では、パージリングの上表面32上に等間隔で置かれているそのようなウエハガイド81が6つあり、ガイド81とウエハ23の外側エッジの間に約0.012(0.305mm)から0.013inches(0.330mm)までの許容量を提供するように配置される。
好ましい実施例では、パージリング24はステンレススチール316Lのようなニッケル−クロム−鉄合金製であるが、本体61の材質である材料よりも低い熱膨張率を有する材料製でもよい。この理由は以下で明らかになる。また、パージリング24を上に載せている支持ピン60が、ペデスタル16からパージリング24への熱移動を最小にするように設計されることも好ましい。このことにより、パージリング24がより低い温度で操作することが可能になり、従って、この上への堆積量を減少させる。パージリング24上への堆積が減少することにより、クリーニングやその他のメンテナンスの為の中断時間と中断時間との間隔が著しく長くなる。従って、パージリング24への熱移動を低減するためには、支持ピン64は、接触領域を最小にするためにドーム形状が与えられ、また、非常に低い熱伝導率を有するアルミナなどのセラミックで作られる。
更に、パージリング24は薄い壁の部分29を有しており、ここは断面の面積が減少するため、シールエッジ84からパージリングの他の部分への熱移動、特に上面32への熱移動を減少させる。
これらの図には、円形のウエハへの使用に適する具体例を例示しているが、パージギャップ80は、基板の円周にならうべきであることに注意すべきである。従って、もし非円形の基板(形成された「ウエハフラット」を有するウエハなどの)が処理される場合、ギャップが不規則な外周にならうように形成されるべきである。さらに、ここに説明した具体例は平面なウエハ支持面を備えているが、本発明は、基板支持のための深いポケットを有するサセプタなどの異なった形状を持つ基板支持体を用いてもよい。加えて、パージリングはそれ自身、ウエハの外周を完全に包囲してウエハが配置されるポケットを形成するような構成が与えられてもよい。
処理の間、ロボットブレードは、ウエハリフトフィンガーの上に、適当な大きさの半導体ウエハを配置し、これらリフトフィンガは次いでウエハを、ペデスタル16の上面22まで下げる。ウエハが下げられるときは、ウエハのいかなるミスアライメントも、それを正しい位置に軽く押し動かすウエハガイド81によって修正されるだろう。半導体ウエハはこの様にして上面22上に支えられる。パージリング24の内円周上のウエハガイド81がウエハに関して約0.080(2.032mm)inchesの許容量を与えるように配置されているので、この位置において、ウエハのエッジはパージギャップ80に非常に接近する。
典型的なメタル堆積プロセスは、二つの個々のステップを持つ。約30秒続く第一のステップの間、このチャンバ内の圧力は約0.6kPa(4.5Torr)に下げられ、メタル堆積ガスがチャンバに導入される。ニュークリエーション(nucleation)ステップとして知られているこのステップは、ウエハ上に堆積される非常に薄いメタル層という結果となる。この薄い層はその上にさらにメタルの層が堆積されるベース層として作用する。
第2のステップの間(継続は60秒より短く、この間にほとんどのメタルが堆積される)、ウエハとペデスタル16の上面22との界面に「真空」が引かれる。これは、コンジット50と溝46によって真空ポート44を通して約2.7kPa(20Torr)に真空を吸引することにより達成される。この真空の吸引の結果、全ての同心溝40で約2.7kPaの圧力になる。処理中のチャンバの内部の圧力は、およそ10.7から12kPa(80から90Torr)であるので、圧力差がウエハ中に存在する。これはウエハがペデスタル16の上部表面22に対して吸引される結果を引き起こす。この堅い接触の利点は、ペデスタルからの熱がより均一にペデスタルからウエハに伝えられるということである。このことはウエハの均一加熱をもたらし、それゆえ改良されたウエハ表面上のメタル堆積をもたらす。
ペデスタル16は、今度は、ペデスタル16の本体内部に装着されている電気的可動加熱コイルによって抵抗加熱される。コイル54には、脚部18の本体内部に位置する堅いステンレススチール接続ステム56に沿って電力が供給される。ただ一つのコイルのみがこの図中に示されているが、一つ以上のコイル又は、同時に納められているが別々に印加されている多数の加熱要素でさえ使用されることが可能である。
ペデスタル16が加熱されるとき、典型的にアルミニウム製の本体61は、増加した温度によって膨張する。より低い線膨張の温度係数を持つステンレススチール製で、より低い温度でのパージリング24は、あまり膨張しない。ペデスタルの周囲表面23はこのようにパージリング24のシーリングエッジと接触し、パージリングとペデスタルの間の界面82でメカニカルシールをつくる。このときガスマニホールド26は、パージギャップ80とパージガス排出口86のみと連通している。
第二堆積ステップの間、典型的にはアルゴンである不活性パージガスは、パージガス供給源57からおよそ207kPa(30p.s.i)でおよそ毎秒2リットルの流量で供給され、質量流量調整器などの流量調整装置を通して流れる。調整装置は、パージガスの圧力を約25%〜33%降下させるという結果をもたらす。ガスがパージガス排出口86を通して、パージガスマニホールド26に向かってそしてその中に移動するにつれて、更にガスの圧力降下が生じる。しかしなお、ガスマニホールド26内でパージガスの圧力は、プロセスガスがパージギャップ80を介してこのマニホールド内への移動することを実質的に防止するに十分に大きい。このマニホールド26からパージガスはパージギャップ80を通して流れ出す。パージガスが一旦流出すれば、自身の標準排出口を通して排出がされることになるプロセスチャンバに流入する。供給がある場合は、パージガスは第一堆積ステップの間単位時間当たり約250ml(250scc)で供給される。
このパージガスの供給は、ウエハの下側のエッジと外側部分のメタル堆積を実質的に減少又は排除するという特別な利益効果を持つ。堆積ガスはパージガスの流れによってウエハのエッジの近傍から移動されると考えられている。この事が生じるのは、パージガスがパージギャップ80を通して絶え間なく高い質量流量で流出するためであり、ウエハエッジの近傍に堆積気体の拡散を効果的に防止する。
本発明は特定の(メタルCVDプロセスで使用される装置の)実施例に関して上部で述べられたが、その代替例や変更例は疑いなく当業者によって明らかになることが予想される。加えて、本発明は熱CVDチャンバ内で使用される為に記述されたが、ここでの構成要素はプラズマ堆積と他の基板プロセス操作に使用することに等しく適する。それゆえ、前述の請求項は、本発明の忠実な、意図と範囲に含まれるような代替え例と変更例を全て包含しているとして、説明されているということが意味されている。
1…基板、2,3,4…層、16…ペデスタル、18…ストーク、22…面、23…半導体ウエハ、24…パージガスリング、25…内面、26…マニホールド、27…外面、30…アパーチャー、40…グルーブ、42…チャンネル、44…真空ポート、45…穴、46…溝、50…真空コンジット、51…パージガスコンジット、54…コイル、56…ステム、57…ガスサプライ、61…本体、80…ギャップ、82…界面、83…パージギャップ、84…シールエッジ、86…排出口。

Claims (3)

  1. 基板上に材料の層を堆積する堆積チャンバであって、
    真空チャンバと、
    前記真空チャンバ内に配されており、基板を支持する表面を有するペデスタルと、
    パージリングと、
    前記パージリングが前記ペデスタルと接触しないように前記ペデスタルの表面の上で前記パージリングを支える複数のピンと、を備え、
    各ピンは、上端がドーム形状にされている堆積チャンバ。
  2. 基板上に材料の層を堆積する堆積チャンバであって、
    真空チャンバと、
    前記真空チャンバ内に配されており、基板を支持する表面を有するアルミニウムのペデスタルと、
    パージリングと、
    前記パージリングが前記ペデスタルと接触しないように前記ペデスタルの表面の上で前記パージリングを支える複数のピンと、を備え、
    前記複数のピンのそれぞれがセラミック製である堆積チャンバ。
  3. 前記セラミックはアルミナである、請求項2記載の堆積チャンバ。
JP2012167349A 1995-06-07 2012-07-27 基板プロセス装置でのエッジ堆積を制御する移動可能リング Expired - Lifetime JP5578478B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/472220 1995-06-07
US08/472,220 US5766365A (en) 1994-02-23 1995-06-07 Removable ring for controlling edge deposition in substrate processing apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2008256594A Division JP5073631B2 (ja) 1995-06-07 2008-10-01 基板プロセス装置でのエッジ堆積を制御する移動可能リング

Publications (2)

Publication Number Publication Date
JP2012251243A true JP2012251243A (ja) 2012-12-20
JP5578478B2 JP5578478B2 (ja) 2014-08-27

Family

ID=23874633

Family Applications (3)

Application Number Title Priority Date Filing Date
JP8146214A Pending JPH09134884A (ja) 1995-06-07 1996-06-07 基板プロセス装置でのエッジ堆積を制御する移動可能リング
JP2008256594A Expired - Lifetime JP5073631B2 (ja) 1995-06-07 2008-10-01 基板プロセス装置でのエッジ堆積を制御する移動可能リング
JP2012167349A Expired - Lifetime JP5578478B2 (ja) 1995-06-07 2012-07-27 基板プロセス装置でのエッジ堆積を制御する移動可能リング

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP8146214A Pending JPH09134884A (ja) 1995-06-07 1996-06-07 基板プロセス装置でのエッジ堆積を制御する移動可能リング
JP2008256594A Expired - Lifetime JP5073631B2 (ja) 1995-06-07 2008-10-01 基板プロセス装置でのエッジ堆積を制御する移動可能リング

Country Status (4)

Country Link
US (1) US5766365A (ja)
EP (1) EP0747934A1 (ja)
JP (3) JPH09134884A (ja)
KR (1) KR970003435A (ja)

Families Citing this family (289)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6365495B2 (en) 1994-11-14 2002-04-02 Applied Materials, Inc. Method for performing metallo-organic chemical vapor deposition of titanium nitride at reduced temperature
US5985033A (en) * 1997-07-11 1999-11-16 Applied Materials, Inc. Apparatus and method for delivering a gas
JPH11297800A (ja) * 1998-04-09 1999-10-29 Nec Kyushu Ltd 半導体装置製造用装置
US6179924B1 (en) 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6040011A (en) * 1998-06-24 2000-03-21 Applied Materials, Inc. Substrate support member with a purge gas channel and pumping system
US6096135A (en) * 1998-07-21 2000-08-01 Applied Materials, Inc. Method and apparatus for reducing contamination of a substrate in a substrate processing system
US6572814B2 (en) * 1998-09-08 2003-06-03 Applied Materials Inc. Method of fabricating a semiconductor wafer support chuck apparatus having small diameter gas distribution ports for distributing a heat transfer gas
US6639783B1 (en) * 1998-09-08 2003-10-28 Applied Materials, Inc. Multi-layer ceramic electrostatic chuck with integrated channel
US6039810A (en) * 1998-11-13 2000-03-21 General Electric Company High temperature vapor coating container
KR100629540B1 (ko) * 1999-02-09 2006-09-27 어플라이드 머티어리얼스, 인코포레이티드 감소된 온도에서의 티타늄 질화물의 금속 유기 화학 기상 증착 수행 방법
US6159299A (en) * 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
US6464795B1 (en) 1999-05-21 2002-10-15 Applied Materials, Inc. Substrate support member for a processing chamber
US6375748B1 (en) * 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6684759B1 (en) 1999-11-19 2004-02-03 Vladimir Gorokhovsky Temperature regulator for a substrate in vapor deposition processes
AU7169100A (en) * 1999-11-19 2001-05-24 Gorokhovsky, Vladimir Temperature regulator for a substrate in vapour deposition processes
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
US6223447B1 (en) 2000-02-15 2001-05-01 Applied Materials, Inc. Fastening device for a purge ring
US6494955B1 (en) * 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
US6350320B1 (en) * 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6521292B1 (en) 2000-08-04 2003-02-18 Applied Materials, Inc. Substrate support including purge ring having inner edge aligned to wafer edge
US6871700B2 (en) * 2000-11-17 2005-03-29 G & H Technologies Llc Thermal flux regulator
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US20040083976A1 (en) * 2002-09-25 2004-05-06 Silterra Malaysia Sdn. Bhd. Modified deposition ring to eliminate backside and wafer edge coating
US20050196971A1 (en) * 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8377207B2 (en) * 2007-05-09 2013-02-19 Ulvac, Inc. Purge gas assembly
US20080289766A1 (en) * 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
CN101552182B (zh) * 2008-03-31 2010-11-03 北京北方微电子基地设备工艺研究中心有限责任公司 一种用于半导体制造工艺中的边缘环机构
JP2009277720A (ja) * 2008-05-12 2009-11-26 Nec Electronics Corp 半導体装置の製造方法及びエッチング装置
JP2010150605A (ja) * 2008-12-25 2010-07-08 Sharp Corp Mocvd装置およびそれを用いた成膜方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN104711542B (zh) * 2013-12-12 2018-01-02 圆益Ips股份有限公司 基板支撑装置及基板处理装置
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10923385B2 (en) * 2016-11-03 2021-02-16 Lam Research Corporation Carrier plate for use in plasma processing systems
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
JP2020532884A (ja) * 2018-01-22 2020-11-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 給電型エッジリングを用いた処理
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) * 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112853314B (zh) * 2020-12-23 2022-09-16 北京北方华创微电子装备有限公司 挡环组件、半导体腔室及其清理方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11976363B2 (en) * 2021-08-19 2024-05-07 Applied Materials, Inc. Purge ring for pedestal assembly
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04233221A (ja) * 1990-07-16 1992-08-21 Novellus Syst Inc 基板支持装置
JPH05339734A (ja) * 1992-06-05 1993-12-21 Sumitomo Metal Ind Ltd 半導体製造装置
JPH06306615A (ja) * 1993-04-05 1994-11-01 Applied Materials Inc 改良された化学気相蒸着チャンバ
JPH0758016A (ja) * 1993-08-18 1995-03-03 Tokyo Electron Ltd 成膜処理装置
JPH09509534A (ja) * 1994-02-23 1997-09-22 アプライド マテリアルズ, インコーポレイテッド 改良型化学気相堆積チャンバ

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5178681A (en) * 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
US5292554A (en) * 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
US5326725A (en) * 1993-03-11 1994-07-05 Applied Materials, Inc. Clamping ring and susceptor therefor
US5437757A (en) * 1994-01-21 1995-08-01 Applied Materials, Inc. Clamp ring for domed pedestal in wafer processing chamber
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5476548A (en) * 1994-06-20 1995-12-19 Applied Materials, Inc. Reducing backside deposition in a substrate processing apparatus through the use of a shadow ring

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04233221A (ja) * 1990-07-16 1992-08-21 Novellus Syst Inc 基板支持装置
JPH05339734A (ja) * 1992-06-05 1993-12-21 Sumitomo Metal Ind Ltd 半導体製造装置
JPH06306615A (ja) * 1993-04-05 1994-11-01 Applied Materials Inc 改良された化学気相蒸着チャンバ
JPH0758016A (ja) * 1993-08-18 1995-03-03 Tokyo Electron Ltd 成膜処理装置
JPH09509534A (ja) * 1994-02-23 1997-09-22 アプライド マテリアルズ, インコーポレイテッド 改良型化学気相堆積チャンバ

Also Published As

Publication number Publication date
JPH09134884A (ja) 1997-05-20
JP5578478B2 (ja) 2014-08-27
US5766365A (en) 1998-06-16
JP2009041110A (ja) 2009-02-26
JP5073631B2 (ja) 2012-11-14
EP0747934A1 (en) 1996-12-11
KR970003435A (ko) 1997-01-28

Similar Documents

Publication Publication Date Title
JP5578478B2 (ja) 基板プロセス装置でのエッジ堆積を制御する移動可能リング
JP3963966B2 (ja) 基板裏面への堆積を減少させる処理装置及び処理方法
US5888304A (en) Heater with shadow ring and purge above wafer surface
US6033480A (en) Wafer edge deposition elimination
JP4361614B2 (ja) 半導体基板のエッジ成膜の制御
US8342119B2 (en) Self aligning non contact shadow ring process kit
JP2641373B2 (ja) 真空蒸着装置
US6375748B1 (en) Method and apparatus for preventing edge deposition
US6248176B1 (en) Apparatus and method for delivering a gas
US6040011A (en) Substrate support member with a purge gas channel and pumping system
KR100744860B1 (ko) 탑재대 구조체 및 이 탑재대 구조체를 갖는 열처리 장치
US6521292B1 (en) Substrate support including purge ring having inner edge aligned to wafer edge
JP4317608B2 (ja) 成膜装置
JP4108119B2 (ja) 改良型化学気相堆積チャンバ
JP3131860B2 (ja) 成膜処理装置
EP1134791A2 (en) Shadow ring with common guide member
JPH10214798A (ja) ウエハエッジ堆積の排除

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130424

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130724

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130729

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131023

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131111

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140212

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140217

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140512

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140528

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140627

R150 Certificate of patent or registration of utility model

Ref document number: 5578478

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

EXPY Cancellation because of completion of term