JP4361614B2 - 半導体基板のエッジ成膜の制御 - Google Patents

半導体基板のエッジ成膜の制御 Download PDF

Info

Publication number
JP4361614B2
JP4361614B2 JP27451195A JP27451195A JP4361614B2 JP 4361614 B2 JP4361614 B2 JP 4361614B2 JP 27451195 A JP27451195 A JP 27451195A JP 27451195 A JP27451195 A JP 27451195A JP 4361614 B2 JP4361614 B2 JP 4361614B2
Authority
JP
Japan
Prior art keywords
substrate
wall
pocket
heater pedestal
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP27451195A
Other languages
English (en)
Other versions
JPH08236451A (ja
Inventor
チャン−ライ レイ ローレンス
レン エス. シシー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH08236451A publication Critical patent/JPH08236451A/ja
Application granted granted Critical
Publication of JP4361614B2 publication Critical patent/JP4361614B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/935Gas flow control

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、半導体集積回路の製造に用いられる基板上に材料の層を堆積することに関する。特に、本発明は半導体基板のエッジ部における堆積を制御することに関する。
【0002】
【従来の技術】
通常CVDと記される化学気相堆積は、半導体基板上への材料の薄膜の形成に用いられる数多くのプロセスの1つである。CVDプロセスにより基板に堆積させる処理を行うために、真空チャンバには、基板を自身の上に受容するサセプタが具備される。典型的な従来からのCVDチャンバではロボットブレードにより基板がチャンバから搬入出され、処理中はサセプタにより支持される。しかし、処理に先立ち、サセプタと基板は250〜650℃の間の温度に加熱される。基板が適切な温度まで一旦加熱された後、典型的には基板の上に配置されたガスマニホールドを介して、真空チャンバに前駆体ガスが供給される。前駆体ガスは加熱された基板表面と反応し、その上に薄膜を堆積させる。ガスが熱的に反応して材料の層を形成する際に、揮発性の副生成物が形成されるが、これらのガスは、チャンバ排気システムを介して真空チャンバから排出される。
【0003】
基板処理の基本的な目標は、各基板からできるだけ多くの有用なダイを得ることである。多くの因子がCVDチャンバ内での基板処理に影響し、そこで処理された基板からのダイの最大収率に影響を及ぼす。これらの因子には、基板上へ堆積される材料の層の均一性及び厚さに影響する処理変数と、基板に付着して1つ以上のダイを汚染する汚染物に影響する処理変数とが含まれる。これらの因子は両者ともに、各基板からのダイ収率を最大にするように、CVD及び他のプロセスにおいて制御されなければならない。
【0004】
チャンバ内に汚染粒子が発生する原因の1つは、基板のエッジ部への不適切な成膜である。基板エッジは典型的には面取りがなされていること及び成膜ガスの流れはこのエッジの周囲では不均一であることから、エッジへの成膜を制御することは困難であるため、基板のエッジの周囲には不均一な成膜が生じ得る。このことは、成膜された層が互いにしっかりと接着しなかったり、基板にしっかりと接着しなかったりという事へとつながる。
【0005】
この問題は、半導体基板の部分的な断面図である図1(a)に例示されている。基板1は、自身の上に成膜された3つの連続した層2、3及び4を有するように示される。基板上へのタングステンの成膜(WF6 ガスを用いる)において、第1の層2はは典型的にはチタンであり、第2の層3は窒化チタン、第3の(最上の)層4はタングステンである。
【0006】
タングステンは基板のシリコン(又は酸化珪素)表面に直接しにくいため、このようなタングステンの成膜のための3つの層のプロセスが一般的である。従って、非常に薄いチタンの「プライマー」層2が成膜され、窒化チタンの第2の層3がこれに続く。タングステンは窒化チタン(TiN)に接着しやすい。しかし、図1(a)に示されるように、タングステン層4は、基板の面取りされた外側エッジ5上を包囲して「ラップし」て、シリコン基板に直接に接触していた。
【0007】
この事に付随する問題は、タングステンはシリコン表面に接着しない事及び、タングステンは基板の取り扱い中にチップやフレークを生じて汚染粒子を発生させやすい事である。
【0008】
従って、理想的なエッジ部の断面は図1(b)に示され、ここでは、3つの層全てが基板エッジに関して同じか近接した点で終了し、タングステン層4が基板エッジから最も遠くなっている。
【0009】
【発明が解決しようとする課題】
この問題に対する1つの解決策は、基板の狭い周囲部分の上方に配置されてこれをマスクしてここへの成膜を防止する、シャドーリングを与えることである。しかし、使用可能な面積が小さいため、基板毎の最大収率が小さくなる不利益がある。また、基板の上面全面に成膜を行わなければならない場合には、シャドーリングは不適である。
【0010】
従ってCVDや他の基板処理操作において、半導体基板のエッジ又はその周囲に材料の成膜を制御するための方法及び装置が必要である。
【0011】
【課題を解決するための手段】
即ち、本発明は基板を内部で受容する処理チャンバを画するハウジングを備えた基板処理装置を提供する。チャンバ内部では、両サイドに基板受容部を有する基板支持体が、チャンバ内部に配置される。この受容部は、基板を受容するように寸法が与えられた、壁状のポケットを画する。基板がこのように受容された場合は、ポケットの壁は基板の外側エッジと共に環状を画する。典型的には、ポケット壁は基板の基準面に対して垂直であり、基板の厚さと少なくとも同等、好ましくは2倍の厚さを有している。
【0012】
ポケットの外側の下側の円形エッジでは、ガス注入マニホールドが配置される。処理中にガスがマニホールドを介して注入され、ポケット内に受容される基板のエッジの方に射出するように、マニホールドが配置される。ガスは、ポケットの壁と基板の外側エッジとの間で画される環状部を介して上方へと移動する。従って、処理ガスが基板の末端のエッジ部分と接触することが防止される。
【0013】
基板支持体はヒータペデスタルであってもよく、ポケットはペデスタルの頂部に固定された円形リングで画されてもよい。
【0014】
ポケットの床面は更に、ここに形成された真空ポートを有し、ポケット床面と基板の受容されている底面との間の界面で真空が引かれ、基板をペデスタル上へ引き付けて処理を改善してもよい。
【0015】
【発明の実施の形態】
(緒言)
図2〜7を参照すれば、本発明のCVD処理反応器10には、多数の特徴及び具体例が含まれ、これらは単独であるいは協働して用いられて、基板処理チャンバの構造及び操作の改良を提供するだろう。これらの図では、いくつかの特徴の共同作用や相互作用が示されており、内部が加熱される基板支持ペデスタル18と、パージガスチャンネル220の形態で基板受容ポケット280と共同して操作されて不要な基板エッジ及び下面への成膜の発生を減少させる基板エッジ保護システム30とが含まれる。ポケット280は、ペデスタル18の表面内部に形成されてもよく、又は、例示の如く、ペデスタル18の外側周囲に溶接され又は接着された円形フープ282(図6)により画されていてもよい。
【0016】
他の特徴としては、ヒータペデスタル18の上面上の複数のアラインメントピン224の形態での基板アラインメントシステム32と、改良されたチャンバ排気システム300とが含まれる。
【0017】
ヒータペデスタル18は、反応器10内を上方へ移動してポケット280内部に基板を保持し、反応器10を下方に移動して反応器10から除去するために基板24を位置させるように、動作可能である。基板24をポケット280内に位置させるためには、複数の支持ピン25がペデスタル18と相対的に移動する。図6及び7に詳細が示されているように、基板がポケット280内に受容されている場合は、基板24の外側エッジ27と円形フープ282の間に環状部分284が形成される。
【0018】
支持ピン25は、ヒータペデスタル18の本体を貫通し、ヒータペデスタル18から延長して、ロボットブレード(図示されず)により反応器10内に置かれた基板24を受容してもよい。ヒータペデスタル18は、支持ピン25に対して上方に移動して、処理のためにポケット280内で基板と噛み合い、そして、支持ピンに対して下方へ移動して、ロボットブレードにより反応器10から基板を除去するために、基板24をその上に位置させてもよい。
【0019】
基板の下面及びエッジへの材料の成膜を減少させるため、ヒータペデスタル18は、エッジ保護システムを備え、これは、好ましくは、ペデスタルの本体とフープ282の間で画され、ポケット280の床面を開き、基板24がポケット280内に受容されている際には基板24の外側エッジ27と隣接して位置する、円形パージガスチャンネル220の形態である。基板24がポケット280内に配置されて処理が始めれば、パージガスの連続した流れがチャンネル220に与えられて、環状部分284を介して基板24のエッジ27全体の周囲に流れる。パージガスには、基板24のエッジ27へ又エッジ27に隣接した基板24の下面への成膜がほとんど生じないか全く生じないという効果がある。材料の成膜された層の配置は、図1(b)に例示されるものと類似していた。
【0020】
パージガスチャンネル220を充分に活用するためには、ポケット280内の基板24の位置が重要であり、なぜなら、位置決めが大きくずれれば、基板24のエッジの一部が、チャンネル220からのガスの流出を妨げるような位置に置かれてしまうからである。従って、ヒータペデスタル18は基板アラインメント(基板位置決め)システム32を備え、これは、チャンネル220の上方に周囲に沿って提供されてヒータペデスタル18上へ基板24をガイドする複数のテーパー状のガイドピン224を備えている。ヒータペデスタル18がこの上で支持ピン25上に支持される基板24に接近した場合、基板24が位置決めされていなければ、基板エッジ27のある部分が1つ以上のガイドピン224と噛み合う。このことにより、基板の全周をポケット及びパージガスチャンネルに関して適所に位置決めして、基板24のエッジ全周上のパージガスの進路を確保する。
【0021】
処理の間に、基板24は典型的には、高温に維持される。この温度を達成して維持するために、本発明のヒータペデスタル18は、ヒータペデスタル18を加熱する加熱要素を有して、次いで、基板を加熱する。基板が処理温度まで加熱されれば、基板の熱膨張が基板エッジ27で生じて、1つ以上のガイドピンに対して圧迫し、もしも大きな熱膨張が生じれば基板エッジ27は欠けてしまうだろう。この問題に対処するためには、ポケット280の床面に具備されて基板24をヒータペデスタル18へと吸引し処理の間にチャックする複数の真空グルーブ77、78において、減圧真空が維持されてもよい。
【0022】
反応器10内での反応済みガス状生成物の排気の均一性を向上させるため、反応器10の周囲上の排気マニホールド23がポンピング板308で覆われ、これは、マニホールド23と、基板及び反応ガスを供給するシャワーの間のチャンバ内部との間に形成された、間隔をおいたアパーチャー29の一群を有している。アパーチャー29は、マニホールド23の周囲全体上に等間隔で形成され、ポンピング板308は、チャンバ壁面内のスリットバルブ11の存在により作り出されたマニホールド23内のギャップにわたっており、チャンバからの反応済みガス状生成物の除去の均一性を高める。
【0023】
(反応チャンバ)
図2及び3を参照し、CVD処理装置に関して、本発明の反応器10の多くの改良点及び特徴が示される。図2及び3には、反応器10が部分的に破砕して示され、反応器10の改良点及び特徴の相互作用及び相互関連が示される。図2では、反応器10はヒータペデスタル18が引き込まれたポジションで示され、ここでは、基板24は、ヒータペデスタル18の上面から延長する支持ピン25の頭の部分に対して、その上に位置するか、又は、そこから除去される。図3では、ヒータペデスタル18が延長したポジションで装置が示され、処理のためにポケット280内に基板24が位置するように、ポケット280の床面26内に沈んでいる支持ピン25が示される。反応器10の特報及び改良点は図2及び図3に示されているが、これらの特徴に関する説明は、この特徴及び改良点の詳細に必要な他の図面のことを含むことがある。
【0024】
ここに示されるように、CVD処理装置は反応器10を備え、これは外壁12と、カバー14と、ベース16を備え、これらは脱気可能なチャンバを形成し、その内部に垂直方向に可動な基板支持ヒータペデスタル18が配置される。ヒータペデスタル18は、チャンバ10内部で、処理のためのポケット280内部に基板を位置させるように移動可能である。ヒータペデスタル18は、好ましくは、必要な部分として、基板エッジ保護システム30を備える。
【0025】
(ヒータペデスタル及びステム組立体)
ヒータペデスタル18は、ステム20の動きによってチャンバ13内を垂直方向に移動可能である。このステムは、ヒータペデスタル18の下側に接続され、チャンバ13のベース16を通って外側へ延長して、そこで駆動システム22と接続されている。ステム20は好ましくは円管状のアルミニウム材であり、ヒータペデスタル18の下側と支持接触する上端面とカバープレート43と近接する下端面とを有する。ステム下端面42は、ステム20の駆動システム22への接続を形成するカップ形状スリーブ96に受容されている。チャンバの外側からヒータペデスタル18内への接続を与えるために、カバープレート43及びスリーブ96は複数の位置決めアパーチャーを内部に有し、それらを介してヒータプレートの接続が維持される。ステム20は、チャンバ13内部でヒータペデスタル18を機械的に位置させ、また、アンビエント通路を形成し、複数のヒータプレートの接続がこれを介して延長する。
【0026】
ヒータペデスタル18は、ポケット280内の床面26上で受容されている基板24への熱を与えるような構成をとるが、他方、ここからステム20に沿って移動する熱を最小にする。ヒータペデスタル18は好ましくは、むくのアルミニウム材であり、ステム20の上端面40に溶接されている。好ましくは、ヒータプレートはその内部に配置される抵抗加熱要素により加熱され、ヒータペデスタル18のポケット床面26を、摂氏250〜650度の高い処理温度に維持するに充分な熱を与える。加熱要素にパワーを与えるために、この要素は好ましくはカバープレート43内のブレード型コネクタ64内で終了する下方向の投影管状部分を含んでいる。スリーブ96内には合わせブレードコネクタ62が配置されて、カバープレート43内のコネクタ64と合わされて電気出力を供給する。
【0027】
(ヒータペデスタル熱電対接続)
図3を参照すれば、ヒータペデスタル18内に具備される熱電対56は、そこでの温度をモニターする。ヒータペデスタル18は内腔50を有し、自身の内部で上方向に延長し、ポケット床面26に対して隣接するがその内部で終了する。この内腔50は、熱電対56の端部を受容するパイロットを具備し、また、ヒータペデスタル18内にパージガス供給口と真空口を受けるアパーチャーを具備する。内腔は好ましくは、ポケット床面26内の穴を空け、プラグ51及びハウジング53を内腔内に延長させることにより形成される。内腔の上面51は、ポケット280の床面26からわずかに窪んでいてもよく、あるいは、切削され又は他の構成を有して連続的な床面26を与えてもよい。コネクタハウジング53及びプラグ51は、別々の要素で形成されていてもよく、あるいは、1つの連続的な要素であってもよい。熱電対56は剛性のあるロッドの構成を有し、カバープレート43及びスリーブ96内の1組の位置決めアパーチャーを介して延長し、ヒータペデスタル18のむく材及び/又はコネクタハウジング53と接触して内腔50内部で終了する。剛性ロッドの下端面はブラケット59を有し、これはスリーブ96の外部に解放可能な形で接続されて、熱電対56をヒータプレート内腔50内の適所に維持する。好ましくは、ブラケットは複数のねじでスリーブ96の外部上で維持されるが、ねじの代りに、クランプやスプリングクリップ等の他の接続手段で代替してもよい。熱電対56は、温度ディスプレイに表示させ過熱に対して保護するために、増幅器とフィルタに接続される。内腔50内に空気が存在することを確保するために、剛性ロッドは、熱電対56の周囲の位置決めアパーチャーよりも少し小さな径を有してもよく、これにより、剛性ロッドは、ヒータペデスタル18内の内腔50内部の熱電対56の周囲に存在し、ヒータペデスタル18の本体と熱電対56の間の熱移動を増加させて熱電対の正確さと応答時間を向上させる。
【0028】
(パージ及び真空の供給)
図3には、保護ガスを基板エッジ保護システム30へと供給するパージガス供給口が示される。パージガス管52(図2)は、ステム20を通って、カバープレート43からヒータペデスタル18のコネクタハウジング53まで延長する。コネクタハウジング53は複数の内腔を有し、これらの内腔は、ヒータペデスタル18内のパージガス内腔及び真空内腔に正しく合わされている。パージガス内腔70はヒータペデスタル18内部で延長し、コネクタハウジング53の対応する内腔内部まで延長して、パージガスを、コネクタハウジング53からチャンネル220に沿って、基板の外周27と円形フープ282の内面との間で画される環状部284を介して供給する。好ましい基板エッジ保護システムでは、内腔70は、図6及び7に示されるように、ヒータペデスタル18の本体を介してチャンネル220内へと延長する複数のパージガスアパーチャー234に接続されるマニホールド218へと、パージガスを供給する。
【0029】
図3及び4には、ヒータペデスタル18の真空チャックへの真空の供給が示される。真空パイプ48は、ステム20を介してステムの下末端42上のカバープレート43からステム20の上端部40へと通過し、ヒータペデスタル18内のコネクタハウジング53を介して、ポケットの床面26内の複数の各真空グルーブ77及び78内へと延長する、複数の真空ポート76(図4)へと通過する。真空ポート76を供給するためには、複数の交差内腔75が床面26のすぐ下でヒータペデスタル18に空けられて、これらの交差内腔75は全て、コネクタハウジング53内の対応する内腔内に位置決めされる。真空パイプ48は、コネクタハウジング53の対応する内腔内で終了し、従って、真空がグルーブ77及び78から真空パイプ48を介して引かれる。
【0030】
ステム20の底面のカバープレート43及びスリーブ96は、ステム20内でパージガス管52及び真空パイプ48内へパージガス及び真空供給を供給し、更に、熱電対56及び熱要素接続部延長するために通るアパーチャーに供給する、位置決めアパーチャーを有する。パージガス供給及び真空は、好ましくは、スリーブ96内で主となるアパーチャー内に通される継手内部に接続される、べローズ管を介して、スリーブ96に供給される。カバープレート43とスリーブ96の界面でパージガス又は真空のリークを防止するために、真空及びパージガスの供給が維持されるための位置決めアパーチャーの界面の周囲に、円形のグルーブが具備される。グルーブは、好ましくは、スリーブ96の上端部からのアパーチャーの出口の周囲に配置され、Oリングシールがグルーブ内に配置されて、位置決めアパーチャーのところで、カバープレート43とスリーブ96の間のギャップをシールする。Oリングを用いてガス及び真空アパーチャーをシールすることにより、ブレードコネクタ64を用いて加熱要素を出力供給器に接続しまた剛性ロッドを熱電対として用いることと併せて、ステム20からスリーブ96の比較的簡単な分解を可能にする。
【0031】
(ヒータペデスタルポジショニング組立体)
ヒータペデスタル18をチャンバエンクロージャ内部の複数の配置にポジショニングするためのヒータペデスタルポジショニング組立体34は、駆動システム22へ相互接続するステム20を有している。ステム20は、ヒータペデスタル18の下側に接続され、ベース16の外側に伸びて駆動システム22と接続される。駆動システム22は、チャンバ13の下に懸架され、駆動ベルト84によって、適合的な連結及び親ねじ組立体86に接続されるモータ及び減速ギア82を有している。移送ハウジング88は、リニアスライド90によって上下にガイドされ回転に対して保持されている親ねじ組立体86に受容される。移送ハウジング88は、ステム20の周囲の周りに延長し、端部スリーブ96を介して下端43に接続され、支持ステム20及びその上のヒータペデスタル18を移動させる。モータは親ねじ組立体96を動かして、ステム20及びその上のヒータペデスタル18を移動させる。ステム20内のグルーブ内にシールリング126が具備されて、スリーブ96内でステム20の下端面42の外側面をシールする。
【0032】
ヒータペデスタル18は、CVD処理に用いられる高温においては、そ外側に沿うように降下しあるいはたわみ落ちることがある。高いCVDプロセス温度においてこのような機械的な変形の可能性を減少させるために、支持スリーブ81が放射方向に伸びてヒータペデスタル18を支持している。スリーブ81は、下側管状部分を有し、これは好ましくはアルミニウムで形成され、ステム20の突出し部85上で受容されている。例えば、突出し部85は、ステム下端部42に隣接するステム20から放射状に突き出るステム20内のグルーブ内のスナップリングを配置させて形成してもよく、あるいは、ステム20上に円形突起を機械加工することにより形成してもよい。突出し部85の上ではばね87が受容されて、下側管状部83のベースを受容して、スリーブ81を上方向に偏らせる。スリーブ81の上端は、外向きに放射状に伸びる支持フランジ89のところで終了するが、この支持フランジの上では、支持リング91、好ましくは高温におけるたわみに対する高い耐性を有するセラミックリングが受容される。フランじ89は、内部円形位置決め突起93及び、外側上向き延長リップ95を有している。突起93はリング91内の中央アパーチャー内部にまで延長して、突起93上でリング91の位置決めをなす。支持リング91は、リップ部分95上で支持されて、支持リング91とスリーブ81の間の接触面積を最小にする。また、複数のアパーチャーがリップ95を通って延長し、スリーブ81の内部でトラップされたガスを、支持リング91の下側に沿ってその外側に排気させることを可能とした。支持リング91はヒータペデスタル18の下側リングに対して押し、ばね87の上向きの偏りによって、接触が維持される。高温においてもセラミックは強度を失わないため、リング91はたわみに対抗してヒータペデスタル18を支持する。ステム20を保護してそこでの真空を維持するために、幕94がチャンバベースの下側からステム20の周に下向きに伸び、下端部スリーブ96のところで終了する。幕94及びアパーチャー100の下に伸びるステム20の外面の間で、環状部127が形成される。環状部127は、アパーチャー100を介してチャンバ13内部と通じているので、チャンバ13と同じ真空圧力が維持される。幕94は、1組のベローズ98及び99と、ステム20の外周面の周囲の領域を雰囲気からシールする移送リング102とを有する。各べローズ98及び99は、支持リング106a〜bのところで終了している。各支持リング106a〜dは、一般的には、突起支持部112を含んだ直円柱材である。支持リング106a〜c上で、シールリングが突起支持部112内に配置されて、支持リング106a〜cのところで環状部127をシールする。下端環状部127は、スリーブ96と移送ハウジング88の間の接続によってシールされている。シールリング126は、ステム端部42に配置され、ステム20のベースをスリーブ96へシールするため、環状部127を雰囲気から完全にシールする。
【0033】
基板が反応器10内で処理されている際は、揮発性の反応物ガスがチャンバ13の底部を移動し、アパーチャー100を通って下降し、べローズ98及び99、移送リング102並びに支持リング106a〜dに接触する。基板処理のためにヒータペデスタル18の加熱のための電気抵抗加熱要素によって発生した熱は、べローズ98及び99、支持リング106a〜d、駆動リング22並びに移送リング102を加熱する。ステム20によって放射され伝導する熱は、反応ガスの存在とあいまって、支持リング106a〜d、移送リング102並びにべローズ98及び99を腐食する環境を作り出す。
【0034】
(チャンバ部品保護システム)
ヒータペデスタル18内の加熱要素によるステム20の加熱を減じるため、ステム20は1つの材料から、好ましくは5086又は5083アルミニウム等のアルミニウム合金から作製され、また、ヒータペデスタル18は、純粋なアルミニウム、好ましくは1100アルミニウム又は、少なくとも99%Alと0.5%Mgを有する別のアルミニウム材料から作製される。1100アルミニウム材料は、CVD環境内で使用してもよく、陽極処理をする必要がない。ステム20のアルミニウム材料は好ましくは、ヒータペデスタル18よりも少しだけ小さな熱伝導係数を有して、純アルミニウムのステムよりも小さな効率でヒータペデスタル18から熱を移動させる。更に、小さくなった断面と好ましくは4”長さを有する熱絞り部44が、ヒータペデスタル18に隣接したステム20上に具備され、これを介して、ヒータペデスタル18とステム20下端の間に充分な温度勾配を生じさせて、Viton等の低コストのフルオロエラストマーをシール126に用いてもよい。
【0035】
チャンバ13の下にある部材の温度は、ステム20の下へ熱絞り部を通過して移動する熱によって上昇するが、この温度を減少させ、操作が必要な際に組立体全体の温度を迅速に下げるためには、スリーブ96内に具備されたクーラント流路に水を供給すればよい。あるいは、スリーブ96の周囲又は移送ケース88と移送リング102の周囲にウォータージャケットが配置されて、基板24の処理中及びその後においてこれらの部材の冷却の補助を行ってもよい。更に、これら部材の上に空気を通過させる冷却ファンを用いて熱移動を減少させてもよい。
【0036】
ステム20と幕94の周りの環状部127内に反応ガスの導入を制限するため、スリーブ96も、アルゴン等のパージガスの供給を与えるパージガスマニホールド97を、スリーブ96と支持リング106dの界面に有している。パージガスは、マニホールド97から外側に流れ、マニホールド97の周囲に間隔をおいて形成された複数の穴、好ましくは8〜12個の穴から、上向きに環状部127を通ることにより、反応性ガスが環状部127のアパーチャー100を通って進入してくることに対するガスバリアを維持する。マニホールド97を通るパージガスの流れは、好ましくは環状部127内でパージガスが上向きに層流のプラグフローを維持するような流速に維持される。これらの条件に維持することにより、反応性ガスが下向きにアパーチャーを介して拡散することが、実質的に防止される。更に、処理中では、パージガスはアパーチャー100を通過し、ヒータペデスタル18の外側エッジの周囲を通過して、ヒータペデスタル18のサイドの周囲への反応性ガスの通過を最小にする。このことは、チャンバの構造体部材の内面及びヒータペデスタル18の下側に到達する反応性ガスの量を減少させて、これらの面に生じ得る不要な成膜をの量を減少させる。
【0037】
(基板ポジショニング組立体)
ステム20はアパーチャー100を通って、チャンバ13のベース16内を上下に移動し、ヒータペデスタル18を移動させてポケット280内で基板24を受容し、処理が終わった後は、基板24がロボットブレードによってチャンバ13から取り除かれるポジションにヒータペデスタル18を移動させる。ヒータペデスタル18の上のポジションに基板24を選択的に支持するためには、基板ポジショニング組立体140は、ヒータペデスタル18に対して垂直に動く複数の支持ピン25を有して、基板24をチャンバ13へ搬入又はチャンバ13から搬出するポジションに支持し、基板24をヒータペデスタル18上に配置させる。支持ピン25は、ヒータペデスタル18を介して垂直に配置される内腔130内のスリーブに受容されている。各ピン25は、下球状部134内で終了する円柱シャフト132と、シャフト132の外側延長部として形成されている上が切られた円錐ヘッド136とを有する。内腔130は、大きなヘッド136を受容できるサイズを有する上側のもみきり部を有し、ピン25がヒータペデスタル18内に完全に受容された際には、ヘッド136はヒータペデスタル18の表面よりも上に出ないようになっている。
【0038】
図2及び3を参照すれば、ヒータペデスタル18がチャンバ13内を移動するため、支持ピン25はヒータペデスタル18に対して部分的に関連し部分的に独立して移動する。支持ピン25は、ヒータペデスタル18から延長して、チャンバ13からロボットブレードが基板を取り除くことができるようにしなければならないが、ヒータペデスタル18内部に沈み込んで、処理のために基板24をポケット280の床面26上へ配置させる必要もある。このポジショニングを実現するために、基板ポジショニング組立体140は通常チャンバ13内で上向きに偏りがなされるが、また、ステム20がチャンバ13内でヒータペデスタル18を下向きに移動させるように、ステム20によって下向きに可動である。
【0039】
基板ポジショニング組立体140は、支持ピン25の下球状部134と噛み合うような配置をもつ環状ピン支持体142と、ピン支持体142を、チャンバ内部でヒータペデスタル18のポジションに応じて選択的に支持ピン24と噛み合うように位置させる駆動材144とを有する。ピン支持体142は上ピン支持リング146を有し、これは好ましくはセラミック製であり、ヒータペデスタル18の下側の周りに伸びて、支持ピン25の下球状部134と選択的に噛み合い、また、ピン支持体142は、ピン支持リング145からアパーチャー100を通って移送リング102まで伸びて終わるスリーブ部150を有する。移送リング102は、ステム20の周囲に配置され、スライド90に鍵をかけてその回転を防止する。
【0040】
スリーブ部150は、下円柱部149を有し、ピン支持体146を受容し支持する、外側に伸びる放射支持体151を有する。放射支持体151は、周辺位置決め壁面153を有する上側の略平坦な上面を有し、位置決め壁面153は環状ピン支持体146の内径と、ピン支持リング146を下側で支持する上向き支持の複数の支持リブ155とを有する。反応器10を運転している間に、ガスは内部の円柱部149に沿ってトラップされ、これがチャンバ部材を損ねてしまうことがある。これらガスを解放するために、支持リブ155に隣接して複数のギャップ157が形成され、下円柱部149を貫いて複数の穴159が形成される。穴159及びギャップ157により、スリーブ150の内部から外部への自由なガスの流れが実現される。
【0041】
チャンバ13の下側には、ピン駆動材144が配置されて、ヒータペデスタル18に関してスリーブ部150の動きを制御し、従って、この駆動材144は、移送リング102に接続されるばね組立体156を有して、移送リング102及びスリーブ部150に上向きの偏りを与えて、ヒータペデスタル18を介して上向きに支持ピン25を押し上げ、また、スリーブ部150と選択的に噛み合うステム20上のスナップリングないし突起84を有して、ヒータペデスタル18がチャンバ13内で所定の距離下向きに移動した後に、スリーブ部150及びこれに接続されるピン支持リング146を下向きに移動させる。ばね組立体156は、内部にスロット160を有するハウジング158を有し、これがチャンバ13のアパーチャー100と隣接する下サイドに固着される。ばね装填フィンガ154がスロット160を通って延長し、ハウジング158内のばね164によって上方向の偏りが与えられる。フィンガ154は、移送リング102としっかり接続されているため、上向きに偏っているスリーブ150がそこに固着する。ハウジング158の上終端がフィンガ154の上向きの動きを制限する。また、移送リング102は支持リング106cとしっかりと接続されるが、これは下向きに伸びて、内向きに伸びるフランジ173のところで終わる、環状部を有する。突起85は、スリーブ81及びステム20を支持し、ステム120が下向きに動くためフランジ173に対しても噛み合うことができる。
【0042】
ヒータペデスタル18が処理のためにチャンバ13内で充分内側に伸びた場合は、フィンガ154はハウジング158の上端に対して充分に動かされて、支持ピン25の下球状部134がそこから間隔をおくように、ピン支持リング146はヒータペデスタル18の下方に配置される。処理が終了すれば、ステム20は下向きに移動してチャンバ13内でヒータペデスタル18を下向きに動かす。動きが継続すれば、ピンの下球状部134はピン支持リング146に噛み合う。この点において、フィンガ154はハウジング158の頂部に対して偏りが与えられ、フィンガ及びピン支持リング146は双方ともに結合したまま、静止した状態を維持する。従って、下球状部134がピン支持リング146に一旦噛み合えば、ヒータペデスタル18が下向きに動き続けるため、支持ピン25は静止したまま維持され、チャンバ内で基板24を静止のポジションで支持する。ヒータペデスタル18が所定の量を移動した後は、ステム20の上の突起はフランジ173に噛み合い、ステム20をスリーブ150にロックして、ヒータペデスタル18とピン支持リング146を一致して下向きに移動させる。突起85がフランジ173に一旦噛み合えば、支持ピン25はヒータペデスタル18に対して静止したままの状態を維持し、これら両要素はチャンバ13内で下向きに移動する。ヒータペデスタル18及びこれの上に支持ピン25上で懸架された基板24が一旦適所に配置されれば、ロボットブレードがスリットバルブ11を介して進入し、基板24を取り除き、支持ピン25上に新たな基板24を置く。そして、ステム20が移動して、スリーブ150及びヒータペデスタル18を上向きに移動させる。フィンガ154がハウジング158の頂部に噛み合ったときは、スリーブ150は静止するが、ステム20が上向きに移動を続けるので、突起85はフランジ173から離れるように移動し、よって、ヒータペデスタル18の継続した移動が支持ピン25をその中に沈め、処理のために基板24をその上に位置させる。ヒータペデスタル18と一部関連し一部は無関係な支持ピン25の移動により、支持ピン25の全長は最小となり、処理中にヒータペデスタル18と支持リング91の下側に露出されるピンシャフト132の長さは、ロボットブレードによって基板24が操作されて支持ピン25から離れたときの、基板24が配置されたヒータペデスタル18からの距離と等しくなるだろう。よって、支持ピン25の最小の表面積が処理中に露出し、従って、支持ピン25上には最小の成膜しか生じないだろう。
【0043】
(真空クランピングシステム)
図2及び4には、本発明の真空クランピングメカニズムが示される。ポケット280の床面26には、その中に複数の同心グルーブ78を有し、これは、複数の放射グルーブ78と交差し、放射グルーブ77のぞれぞれのベースとヒータペデスタル18内部に配置される円形真空マニホールド75との間を通じさせるように配置される。真空パイプ48はマニホールド75と通じており、そこへ真空を供給する。
【0044】
真空ポート76とグルーブ77及び78は、基板24の下に低圧環境を提供して、基板24を床面26上へ吸引する。処理の間に、チャンバ13は典型的には、約80トール(Torr)に維持される。処理の間に基板をヒータペデスタルの頂面に吸引するため、ポート76を介してグルーブ77及び78へ、1.5トール〜60トールの真空が引かれる。グルーブ77及び78とチャンバ13との間に20〜78.5トールの圧力差が、基板24をポケット床面26へ吸引してヒータペデスタル18から基板24への熱移動を向上させる。
【0045】
処理後、グルーブ77及び78はチャンバ13内に存在するよりも低い圧力を維持してもよく、これは、基板24を上面のヒータペデスタル18へしっかりと固着的にチャックすることができる。この場合では、支持ピン25がヒータペデスタル18から離れるように強制されるため、基板にクラックを生じさせてしまうことがある。グルーブ77及び78内に存在する圧力をチャンバ13内と等価とするため、真空パイプ48の流入口とチャンバのスリットバルブ11との間にバイパスラインが与えられてもよい。ペデスタルが反応器10からの基板24を除去を可能とするように作動されている場合は、このバイパスラインが開き、グルーブ77及び78とチャンバ13の間が通じる。また、ポケット床面26も1つのグルーブ又は複数のグルーブを有し、真空に接続されない外周に隣接して配置される。これらのグルーブは、基板24とヒータペデスタル18の間の接触面積を減少させ、これが基板エッジ27への熱移動を減少させ、基板エッジ27上への成膜厚さを減少させる。
【0046】
基板の継続的な処理の間に、基板24がチャンバ部材に対して位置決めが大きくずれて、基板24がポケット床面に対して傾斜することがあることが見出された。更に、基板24にクラックが生じたり反ったりすることがある。それぞれの場合では、基板24の連続的な処理によりヒータペデスタル18の内側領域に処理ガスを接触させてしまうため、ヒータペデスタル18の清潔さに影響し、粒子を発生させ、あるいは基板のクラックした部分をチャンバ内へと振りほどくことがある。これらの場合では、チャンバの損傷が起こる前に処理を直ちに停止して基板24を取り除くことが望ましい。基板24がその位置決めがずれ、クラックし、あるいは反った状況においては、ヒータペデスタル18のグルーブ77及び78の真空圧力を維持する、真空ポンプへの流入口における真空圧力は、平坦で完全で適所に位置された基板がヒータペデスタル18上にある場合に存在するものから変化するだろう。圧力センサ49が真空ラインの真空ポンプへの流入口に配置され、真空圧力が基板のクラック、反り又は位置ずれを指示するような圧力である際には、チャンバの操作を停止させる。基板24がヒータペデスタル18上に適所に受容されている場合は、エンクロージャは約80トールに維持され、真空ポンプの流入口、及び、従ってセンサ49では1〜2トールであろう。実質的に位置がずれあるいは実質的に反った基板24が上面26上に受容された場合は、センサ49の圧力は5トール未満に近くなる。クラックを起こした基板では圧力はチャンバ圧力よりも10トール以上高い範囲となるであろう。
【0047】
(基板エッジ保護システム)
図5、6、7及び8には、基板エッジ保護システム30の好ましい具体例が示される。基板がヒータペデスタル18のポケット床面26上に配置された場合、基板エッジ保護システム30は、基板24の周囲の周りを通過するガスを供給して、基板24のこの領域への材料の成膜を防止する。
【0048】
図7及び図8に更に明確に示されるように、基板24は、その角が斜めに落とされた周囲のエッジ27を有し、これは上テーパ面17と、下テーパー面19と、略平坦な中周囲部21とを有する。基板24のエッジ27と下面とへの成膜がはずれることにより生じる欠陥の発生を制限し、同時に基板24当たり製造される有用なダイの数を最大にするために、成膜された層は、基板のエッジ27への全ての経路に均等に堆積されるべきであり、一方、他の材料に接触してはずれるようになることがあるような、基板24の下サイドの下テーパー面19又は平坦中部分21には、生じないべきである。本発明の基板エッジ保護システム30は、この要求に応えるものである。
【0049】
図6、7及び8に例示されるように、外接するフープ282の内側エッジは、ポケット280の外側垂直壁面を与える。よって、フープ282は上から基板24を受容可能なポケットと、基板の外側エッジ27とフープ282の内側「ポケット」壁面との間の環状部分284とを画する。ペデスタルが充分に加熱された場合には、典型的には、この環状部分は幅約0.015”である。ポケットの壁面の高さは、少なくとも基板の厚さと同じであるべきである(図8)。好ましくは、壁面は、基板の厚さの約2倍と同じである(図7)。
【0050】
更に、基板24の全周の周りに比較的一定なガス供給を与えるパージガスチャンネル220が、ペデスタル18の本体とフープ282の間で画される。パージガスチャンネル220は、内向き上向きに延長するグルーブの形態であり、床面26に対しておよそ45゜の角度で配置され、床面26と外接フープ282のベースの界面、即ちポケット280の外側底面エッジに存在する。
【0051】
これらの図面は、円形サセプタへの使用が適している具体例を例示したが、チャンネル220は基板の外周線に従うべきである。従って、非円形の基板(「ウエハフラット」が自身に形成されたウエハ等)が処理される場合は、不規則な外周線に沿うチャンネルが形成されるべきである。パージガスチャンネル220の内終端とパージガスマニホールド218の間に複数のパージガス開口が配置され、ヒータペデスタル18の周囲に均等に配置されて、マニホールド218からパージガスをチャンネル220へと供給する。開口234の数は、基板エッジを囲む所定の円周距離に依存する。200mmウエハでは開口の数はおよそ240個である。ウエハのサイズが小さくなれば、基板エッジ27に一定のパージガスの流れを与えるために必要な開口の数も減少する。150mmウエハでは、開口の数を180に減らすことができる。
【0052】
処理のためにチャンネル220に隣接した基板エッジ27を正確に位置させるために、好ましい基板位置決めシステムは、チャンネル220の外接するフープに282形成されたリセス内に配置された複数のセラミックガイドピン224を有する。ピン224はそれぞれ、垂直から約12゜のテーパーがつけられた前部226を有する。前部226は、略平坦な延長する中心部230とテーパーサイド228を有し、中心部230が、テーパーサイド228よりも更にヒータプレート上面26の内側に延長する。各ピン224の中心部230の先導エッジ(即ち、基板に接触するエッジ)が、パージガスの流れに対してのピンの妨害を少なくするように、略アーチ状の面をもって形成される。更に、ピンのこのアーチ状の先導エッジは、基板の下面から約0.005”の点で基板の外周と接触するように設計される。図7に示されるように、中心部230は外接フープ282の内壁から内側へ、そしてパージガスチャンネルの上へと延長する。また、各ピン224は後ろ向きに伸びるマウンティングタブ231を有し、これはフープ282のリセス内部でピン224を固定するボルトを受容するための1組の開口を有している。
【0053】
基板24がヒータペデスタル18の中心に完璧な位置にある場合に、基板の中円形部21から約5000インチの点まで、ピン224の延長する中心部230がポケット壁面の内側に突き出るように、ガイドピン224はヒータペデスタル18の上に配置される。従って、基板24が完璧な位置にある場合には、基板24はいずれのピン234とも接触せずに、ポケット床面26と接触するだろう。この様な位置をとる場合、前述のように、基板の外周と外接フープ内側エッジの間に環状部分284が形成される。しかし、ほとんどの基板には多少の偏りがあるため、ロボットブレードが必ずしも基板24を床面26上に完璧に中心に置くわけではない。この様な場合、基板24の下テーパー面19及び平坦な中円周部21が、1つ以上のガイドピン224の延長部分230と噛み合い、そして、エッジ27がパージガスチャンネル220を制限しないように、ガイドピン224が基板を上面26上の位置にその位置を決めて置く。ガイドピン224を用いて基板24をポジショニングすることにより、位置決め機構と接触する基板の部分だけが、ガイドピン中心部230と接触するエッジ27のその小さな部分となる。更に、図7に例示されるように、そして、位置ぎめがずれた基板あるいは偏った基板が外周フープ282に噛み合わないことを保証するため、フープの上側の内エッジが約15゜の角度戻され、即ち、ピンの面(つら)の傾斜よりも3゜大きくなる。中心部230がパージガスチャンネル220から放射状内側に延長するため、基板エッジ27はチャンネル220から少しの距離だけのところに位置し、中心部230との基板24の接触領域の各サイドに対する基板24の領域は、パージガスの妨害のない供給を受容する。
【0054】
処理の間、パージガスがチャンネル220に供給されて、環状部284の中を上向きに流れる。パージガスの流れは、図7では矢印286で指示されるが、ポケットの内壁と共に、成膜ガスが基板24の平坦なエッジ17及び傾斜する下エッジ19と接触する事を防止する。典型的なタングステンCVDプロセスのためのパージガスは、通常、アルゴン/水素混合ガスであり、アルゴンガスは1000〜2000cm3 /分の流量で供給され、H2 ガスは200〜500cm3 /分の流量で供給される。アルゴンガスの役割は、基板エッジへのWF6 ガスの接触を防止することである。他方、H2 ガスの役割は、成膜層のエッジにおいて成膜蓄積を増加させることである。
【0055】
想定されるパージガス及び成膜ガスのフローパターンが、図7及び図8に示される。矢印286で指示されるように、パージガスは環状部284から上向きに移動する。成膜ガスは、矢印288で指示されるように、基板の表面を中心部から周囲の方へ向かう略層流を有している。図7に例示されるポケット壁面の高さは基板の厚さに等しいかそれよりの大きいため、成膜ガスは外接フープの頂面の上を「上方に上って」、下記に記載される排気システムを介して脱気される。この点においては、壁面の正確な高さ(ポケットの深さ)は変動することがあり、ウエハの厚さの2倍(図8)又はウエハの高さと等しい(図7)である壁面高さの具体例は、単なる例示であることを述べておく必要がある。しかし、成膜ガスの層流288が乱されて乱流へと変り、このことがパージガスの流れにかかわらずエッジ及び下面へ不要な成膜を生じさせるほどには、大きくするべきではない。同時に、パージガス、成膜ガスと共に、ポケット壁面は充分に高くなっているべきである。
【0056】
基板24がまずヒータペデスタル18上に受容されたとき、その温度はヒータペデスタル18の温度よりも実質的に低くてもよい。基板24が一旦ヒータペデスタル18と接触すれば、熱が基板24へ伝導により移動し、その温度を処理温度まで上昇させる。この温度上昇は基板24を熱膨張させ、エッジ27を位置決めピン224へ押しつけることがある。更に、グルーブ77及び78の真空が基板24をポケット床面26へしっかりと吸引し、基板24のエッジ27はピン224に対して押すように負荷がかけられるようになることがある。この負荷の結果、基板24は位置決めピン224と接触している部分でクラックや欠けを生じ得る。基板エッジ27において欠けやクラックの発生を最小限にするために、基板24が加熱されている期間、真空グルーブ77及び78にチャンバ圧力を維持するように、チャンバコントローラがプログラムがされ、基板24が安定した温度に達した後に、グルーブ77及び78を介して真空を引く。基板24の真下の圧力の存在により、基板を位置決めピン224と接触している領域から離れるように膨張せしめ、局所的な圧縮応力を減少させ、圧縮による基板エッジ27のクラックや欠けの発生を減少させる。更に、パージガスが真空グルーブ77及び78を逆流すると同時に、基板24がポケット280内に受容されて基板24の位置決めを補助し支持ピン25への基板24の摩擦による付着を減少させ、同時にガイドピン224が基板24をその位置へガイドしてもよく、あるいは、ガスがグルーブ77及び78を逆流すると同時に、基板24が熱膨張してポケット280に受容されている際に基板24をピン224から離れるように膨張せしめてもよい。
【0057】
(チャンバ排気システム)
再び図2及び3を参照すれば、そこには排気システム300が示されている。反応器10の頂部12は、チャンバ40の排気ポート304に続く従来からのマニホールド23を有する。排気ポート304からの吸引はチャンバ13から排気チャンバガスを引っ張り、チャンバ13の基本的な処理環境を維持する。マニホールド23は頂部14の境界の周囲に実質的に延長するが、壁面16がスリットバルブ11により貫通されているところではギャップが残っている。このギャップは、エンクロージャ11内に不均等な排気と不均等なチャンバ処理ガスの分布を生じさせる。本発明に従って、ポンピング板308がその周囲に均等な間隔をもつ複数のアパーチャー29を有して、マニホールド23の上に載置される。アパーチャー29は約30度の間隔で配置され、アパーチャー29はギャップに隣接するマニホールド23の各末端に間隔をもって配置されている。ポンピング板308に均等な間隔で配置されているアパーチャーは、使用済みのチャンバ処理物質の均等な排気を実現し、このことが基板24上への成膜層の厚さを更に均一にする。
【0058】
(結言)
本発明の以上の具体例は、基板上に更に均一な材料の成膜を生じさせる一方、同時に、処理中の粒子の発生を減少させる。処理中に基板に接触しているシャドーリングをなくすことにより、シャドーリングによって生じる基板のエッジのマスクされる部分をなしにすることで、基板からのダイの全収率が向上する。
【0059】
更に、この装置は基板のエッジ及び下面への不要な成膜を実質的に減少させる。従って、このことは、基板に不適切に付着したエッジ/下面への不要な成膜を原因とする粒子汚染物を減少させる。
【0060】
また、この改良されたCVDチャンバの構造は、粒子の発生を減少させる。基板24及び基板が受容されるヒータペデスタル18の摩擦による付着が減少することにより、ヒータペデスタル18上の基板24の摩擦が減少する。
【0061】
特定の詳細事項が本発明への使用のために記載されてきたが、当業者には、詳細事項及び本発明の特定の記載された要素の配置は、本発明の範囲から離れることなく変更されてもよいことが認識されるだろう。更に、本発明は熱CVDチャンバへの使用のために説明されてきたが、これらの要素はプラズマ成膜及びその他の基板処理操作への使用にも同じように適切である。
【0062】
この明細書に記載される全ての出版物及び特許は、本発明がなされた時点での当業者の技術のレベルを示している。ここに記載される全ての出版物及び特許は、各出版物及び特許が特定的に及び各別に参照として包含されると同じ範囲で、参照としてここに包含される。
【0063】
以上の発明は、例示及び理解の明確化の目的のため、ある詳細部分だけが記載されているが、独立クレームの範囲内で変更及び変形を行ってもよいことは自明である。
【0064】
【発明の効果】
以上説明したように、本発明の基板処理装置及び方法によれば、シャドーリングを用いずに、半導体基板のエッジ又はその周囲に材料の成膜を制御するための方法及び装置が提供される。
【図面の簡単な説明】
【図1】(a)、(b)共に、基板のエッジにおける材料の非理想的及び理想的成膜を例示する、半導体基板の部分断面図である。
【図2】本発明の装置の断面図である。
【図3】本発明の装置の断面図である。
【図4】図2及び図3に示されたチャンバに配置されたヒータペデスタルの上面図である。
【図5】基板を受容するところを例示する、図4のヒータペデスタルの上面図である。
【図6】図5の6−6線に沿った、ペデスタルの上部分の部分断面図である。
【図7】基板エッジへの成膜防止の2つの構成を例示するヒータペデスタルの各大部分断面図である。
【図8】基板エッジへの成膜防止の2つの構成を例示する、別のヒータペデスタルの各大部分断面図である。
【符号の説明】
1…基板、2,3,4…層、5…エッジ、10…CVD処理反応器、100…アパーチャー、102…移送リング、106a〜d…支持リング、11…スリットバルブ、12…突起支持部、12…外壁、126…シールリング、127…環状部、130…内腔、132…円柱シャフト、134…下球状部、136…円錐ヘッド、138…もみきり部、14…カバー、140…基板ポジショニング組立体、142…ピン支持体、144…駆動材、145…ピン支持リング、146…ピン支持リング、149…円柱部、150…スリーブ部、151…放射支持体、153…位置決め壁面、154…フィンガ、155…支持リブ、156…ばね組立体、157…ギャップ、158…ハウジング、159…穴、16…ベース、160…スロット、164…ばね、17…上テーパー面、173…フランジ、18…ペデスタル、19…下テーパー面、20…ステム、21…中周囲部、218…マニホールド、22…駆動システム、220…パージガスチャンネル、224…アラインメントピン、226…前部、228…テーパーサイド、23…排気マニホールド、230…中心部、231…マウンティングタブ、234…アパーチャー、24…基板、25…支持ピン、26…ポケット床面、27…外側エッジ、280…基板受容ポケット、282…円形フープ、284…環状部分、286…パージガスの流れを示す矢印、288…成膜ガスの流れを示す矢印、29…アパーチャー、30…基板エッジ保護システム、300…チャンバ排気システム、304…排気ポート、308…ポンピング板、32…基板アラインメントシステム、34…ヒータペデスタルポジショニング組立体、40…ステム上端面、42…ステム下端面、43…カバープレート、44…熱絞り部、48…真空パイプ、49…センサ、50…内腔、51…プラグ、52…ガス管、53…ハウジング、56…熱電対、59…ブラケット、62,64…コネクタ、70…パージガス内腔、75…交差内腔、76…真空ポート、77,78…グルーブ、81…支持スリーブ、82…モータ及び減速ギア組立体、83…下側管状部、84…駆動ベルト、85…突出し部、86…連結及び親ねじ組立体、87…ばね、88…移送ハウジング、89…支持フランじ、90…リニアスライド、91…支持リング、93…位置決め突起、94…幕、95…リップ、96…スリーブ、97…ガスマニホールド、98,99…ベローズ。

Claims (12)

  1. 処理のための上面と、下面と、これらの間にある外周エッジと、を有する基板のエッジ成膜を制御するための装置であって、
    (i)基板処理チャンバを画するハウジングと、
    (ii)該チャンバ内に配置されて処理のためその上に基板を受容する基板支持体と、
    (iii)該基板支持体によって画され、壁と床を有し、該床は基板を支持し、処理のための該上面全体を露出させるような大きさになっている基板受容ポケットと、
    (iv)基板が該ポケットに受容された際に基板の外周全体のエッジにおい不活性の保護パージガスの均一な流れを配向させるように一定の角度で位置決めされるガスチャンネルと、
    を備える装置。
  2. 該チャンネルが、該ポケットの該床と該壁との間の界面まで延びる、請求項に記載の装置。
  3. 該壁が、該ポケットの該床と垂直となるように配置される請求項1に記載の装置。
  4. 該壁が、少なくとも基板の最大厚さと同じ高さである請求項に記載の装置。
  5. 該壁が、基板の最大厚さと同じ高さである請求項に記載の装置。
  6. 該壁が、基板の最大厚さよりも大きい高さを有する請求項に記載の装置。
  7. 該壁が、基板の最大厚さの2倍の高さである、請求項に記載の装置。
  8. 基板の中心部分から該壁の上方に処理ガスの層流が形成されている際、この流れが層流を維持し乱流にならないように、前記壁の高さが前記基板の処理状態を考慮して選択される、請求項に記載の装置。
  9. 該壁が、上に外側へ傾斜した上壁部を有する、請求項に記載の装置。
  10. 該上壁部が、該ポケットの該床に対して垂直から15゜戻る傾斜を有する、請求項に記載の装置。
  11. 該基板支持体は、その上へ基板をガイドする複数のテーパー状のガイドピンを備えた基板接触型基板位置決めシステムを更に備える請求項1に記載の装置。
  12. 該基板位置決めシステムが、該ポケット内部に受容される基板の位置決めを行う為に、該基板支持体の周囲に沿って提供された複数の位置決め用突起部を有し、前記位置決め用突起部は、該基板が位置決めされていなければ、該基板と噛み合うことにより該基板を位置決める、請求項11に記載の装置。
JP27451195A 1994-10-21 1995-10-23 半導体基板のエッジ成膜の制御 Expired - Lifetime JP4361614B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/327462 1994-10-21
US08/327,462 US5556476A (en) 1994-02-23 1994-10-21 Controlling edge deposition on semiconductor substrates

Publications (2)

Publication Number Publication Date
JPH08236451A JPH08236451A (ja) 1996-09-13
JP4361614B2 true JP4361614B2 (ja) 2009-11-11

Family

ID=23276645

Family Applications (1)

Application Number Title Priority Date Filing Date
JP27451195A Expired - Lifetime JP4361614B2 (ja) 1994-10-21 1995-10-23 半導体基板のエッジ成膜の制御

Country Status (5)

Country Link
US (1) US5556476A (ja)
EP (1) EP0708477B1 (ja)
JP (1) JP4361614B2 (ja)
KR (1) KR100271191B1 (ja)
DE (1) DE69529325T2 (ja)

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
US5766365A (en) * 1994-02-23 1998-06-16 Applied Materials, Inc. Removable ring for controlling edge deposition in substrate processing apparatus
US5705080A (en) * 1994-07-06 1998-01-06 Applied Materials, Inc. Plasma-inert cover and plasma cleaning process
US6365495B2 (en) 1994-11-14 2002-04-02 Applied Materials, Inc. Method for performing metallo-organic chemical vapor deposition of titanium nitride at reduced temperature
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5985089A (en) * 1995-05-25 1999-11-16 Tegal Corporation Plasma etch system
JPH0936049A (ja) * 1995-07-21 1997-02-07 Mitsubishi Electric Corp 気相成長装置およびこれによって製造された化合物半導体装置
KR100234360B1 (ko) * 1995-09-25 1999-12-15 윤종용 반도체 장치를 위한 진공장치
US5881208A (en) * 1995-12-20 1999-03-09 Sematech, Inc. Heater and temperature sensor array for rapid thermal processing thermal core
US5895530A (en) * 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
JPH1064847A (ja) * 1996-07-16 1998-03-06 Applied Materials Inc タングステン材料の成膜方法、サセプタ及び成膜装置
US5960555A (en) * 1996-07-24 1999-10-05 Applied Materials, Inc. Method and apparatus for purging the back side of a substrate during chemical vapor processing
US5884412A (en) * 1996-07-24 1999-03-23 Applied Materials, Inc. Method and apparatus for purging the back side of a substrate during chemical vapor processing
AU4741497A (en) 1996-09-30 1998-04-24 Lam Research Corporation Apparatus for reducing polymer deposition on substrate support
US5951776A (en) * 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
US5985033A (en) 1997-07-11 1999-11-16 Applied Materials, Inc. Apparatus and method for delivering a gas
TW524873B (en) 1997-07-11 2003-03-21 Applied Materials Inc Improved substrate supporting apparatus and processing chamber
US6186092B1 (en) * 1997-08-19 2001-02-13 Applied Materials, Inc. Apparatus and method for aligning and controlling edge deposition on a substrate
US6063202A (en) 1997-09-26 2000-05-16 Novellus Systems, Inc. Apparatus for backside and edge exclusion of polymer film during chemical vapor deposition
US6210483B1 (en) * 1997-12-02 2001-04-03 Applied Materials, Inc. Anti-notch thinning heater
US6179924B1 (en) * 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6040011A (en) * 1998-06-24 2000-03-21 Applied Materials, Inc. Substrate support member with a purge gas channel and pumping system
US6159299A (en) * 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
KR100629540B1 (ko) * 1999-02-09 2006-09-27 어플라이드 머티어리얼스, 인코포레이티드 감소된 온도에서의 티타늄 질화물의 금속 유기 화학 기상 증착 수행 방법
JP3109508B2 (ja) 1999-03-24 2000-11-20 日本電気株式会社 薄膜形成装置
US6464795B1 (en) 1999-05-21 2002-10-15 Applied Materials, Inc. Substrate support member for a processing chamber
JP2000349078A (ja) * 1999-06-03 2000-12-15 Mitsubishi Electric Corp 化学気相成長装置および半導体装置の製造方法
JP2001068538A (ja) * 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
US6375748B1 (en) * 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6223447B1 (en) 2000-02-15 2001-05-01 Applied Materials, Inc. Fastening device for a purge ring
US6350320B1 (en) * 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
KR100631914B1 (ko) * 2000-04-10 2006-10-04 삼성전자주식회사 반도체 제조설비에 적용되는 다접점 진공 척 시스템
DE10057824A1 (de) * 2000-11-21 2002-06-06 Schwerionenforsch Gmbh Vorrichtung und Verfahren zur Anpassung einer Ionenstrahlfleckgröße in der Tumorbestrahlung
KR100384060B1 (ko) * 2000-12-04 2003-05-14 삼성전자주식회사 반도체장치 애싱설비의 척 플레이트 및 이를 이용한 척조립체
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
JP4137407B2 (ja) * 2001-05-21 2008-08-20 日本オプネクスト株式会社 光半導体装置の製造方法
JP2003060012A (ja) * 2001-08-08 2003-02-28 Asm Japan Kk 半導体処理用反応チャンバ
US6786996B2 (en) * 2001-10-16 2004-09-07 Applied Materials Inc. Apparatus and method for edge bead removal
US6776850B2 (en) * 2002-06-08 2004-08-17 Taiwan Semiconductor Manufacturing Co., Ltd Preventative maintenance aided tool for CVD chamber
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
CN1296878C (zh) * 2003-11-04 2007-01-24 爱德牌工程有限公司 平板显示器制造装置
JP5189294B2 (ja) * 2004-02-13 2013-04-24 エーエスエム アメリカ インコーポレイテッド オートドーピングおよび裏面堆積を減少させるための基板支持システム
JP2005227684A (ja) * 2004-02-16 2005-08-25 Seiko Epson Corp カラーフィルタの製造方法、カラーフィルタ製造装置、電気光学装置、並びに電子機器
US20050217569A1 (en) * 2004-04-01 2005-10-06 Nirmal Ramaswamy Methods of depositing an elemental silicon-comprising material over a semiconductor substrate and methods of cleaning an internal wall of a chamber
US20050217585A1 (en) * 2004-04-01 2005-10-06 Blomiley Eric R Substrate susceptor for receiving a substrate to be deposited upon
US20050223993A1 (en) * 2004-04-08 2005-10-13 Blomiley Eric R Deposition apparatuses; methods for assessing alignments of substrates within deposition apparatuses; and methods for assessing thicknesses of deposited layers within deposition apparatuses
US20050223985A1 (en) * 2004-04-08 2005-10-13 Blomiley Eric R Deposition apparatuses, methods of assessing the temperature of semiconductor wafer substrates within deposition apparatuses, and methods for deposition of epitaxial semiconductive material
US7820020B2 (en) * 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
TWI424260B (zh) * 2005-03-18 2014-01-21 尼康股份有限公司 A board member, a substrate holding device, an exposure apparatus and an exposure method, and a device manufacturing method
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US20070098891A1 (en) * 2005-10-31 2007-05-03 Eastman Kodak Company Vapor deposition apparatus and method
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
US8057633B2 (en) * 2006-03-28 2011-11-15 Tokyo Electron Limited Post-etch treatment system for removing residue on a substrate
KR100849929B1 (ko) 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US20080194112A1 (en) * 2007-02-09 2008-08-14 International Business Machines Corporation Method and system for plasma etching having improved across-wafer etch uniformity
US20080289766A1 (en) * 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
JP5260023B2 (ja) * 2007-10-19 2013-08-14 三菱重工業株式会社 プラズマ成膜装置
US8999106B2 (en) * 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
CN101552182B (zh) * 2008-03-31 2010-11-03 北京北方微电子基地设备工艺研究中心有限责任公司 一种用于半导体制造工艺中的边缘环机构
JP2009277720A (ja) * 2008-05-12 2009-11-26 Nec Electronics Corp 半導体装置の製造方法及びエッチング装置
WO2010008827A2 (en) * 2008-06-24 2010-01-21 Applied Materials, Inc. Pedestal heater for low temperature pecvd application
EP2543063B1 (en) * 2010-03-03 2019-05-08 Veeco Instruments Inc. Wafer carrier with sloped edge
DE102010055675A1 (de) * 2010-12-22 2012-06-28 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Haltevorrichtung für Substrate sowie Verfahren zur Beschichtung eines Substrates
KR101915460B1 (ko) * 2012-04-05 2018-11-06 주식회사 테스 기판처리장치
US10316412B2 (en) 2012-04-18 2019-06-11 Veeco Instruments Inc. Wafter carrier for chemical vapor deposition systems
KR101387518B1 (ko) * 2012-08-28 2014-05-07 주식회사 유진테크 기판처리장치
US10167571B2 (en) 2013-03-15 2019-01-01 Veeco Instruments Inc. Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
JP6098491B2 (ja) * 2013-11-26 2017-03-22 株式会社デンソー 半導体製造装置
US10186450B2 (en) 2014-07-21 2019-01-22 Asm Ip Holding B.V. Apparatus and method for adjusting a pedestal assembly for a reactor
JP6632469B2 (ja) * 2016-05-24 2020-01-22 三菱電機株式会社 ウエハトレイ
KR102102320B1 (ko) * 2016-06-28 2020-04-22 주식회사 원익아이피에스 기판 처리 장치 및 그것을 이용한 박막 증착 방법
CN107974669A (zh) * 2016-10-24 2018-05-01 北京北方华创微电子装备有限公司 真空卡盘及工艺腔室
JP6837911B2 (ja) * 2017-05-17 2021-03-03 株式会社Screenホールディングス 熱処理装置
KR102383784B1 (ko) * 2017-12-15 2022-04-08 램 리써치 코포레이션 플라즈마 챔버에서 사용하기 위한 링 구조체들 및 시스템들
JP7440488B2 (ja) * 2018-07-31 2024-02-28 ラム リサーチ コーポレーション 半導体基板処理におけるペデスタルへの蒸着の防止
CN111863589A (zh) * 2019-04-24 2020-10-30 北京北方华创微电子装备有限公司 吹扫方法及结构、沉积工艺及进气系统
JP7300527B2 (ja) * 2019-06-25 2023-06-29 ピコサン オーワイ 基板の裏面保護
CN112185844A (zh) * 2019-07-01 2021-01-05 圆益Ips股份有限公司 基板处理装置
KR20210030074A (ko) * 2019-09-09 2021-03-17 삼성전자주식회사 진공 척 및 상기 진공 척을 포함하는 기판 처리 장치
JP2024516149A (ja) * 2021-04-21 2024-04-12 ラム リサーチ コーポレーション 基板への裏面堆積防止
US20220352006A1 (en) * 2021-04-30 2022-11-03 Asm Ip Holding B.V. Susceptors with film deposition control features
US20220367236A1 (en) * 2021-05-16 2022-11-17 Applied Materials, Inc. Heater pedestal with improved uniformity
WO2024073447A1 (en) * 2022-09-30 2024-04-04 Lam Research Corporation Pedestal with axially symmetric edge purge plenum

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6074626A (ja) * 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4956043A (en) * 1987-05-25 1990-09-11 Hitachi, Ltd. Dry etching apparatus
JPS6411966A (en) * 1987-07-02 1989-01-17 Fujitsu Ltd High-temperature sputtering method
US4911812A (en) * 1987-10-21 1990-03-27 Hitachi, Ltd. Plasma treating method and apparatus therefor
JP2512783B2 (ja) * 1988-04-20 1996-07-03 株式会社日立製作所 プラズマエッチング方法及び装置
US5230741A (en) * 1990-07-16 1993-07-27 Novellus Systems, Inc. Gas-based backside protection during substrate processing
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
JPH04119630A (ja) * 1990-09-10 1992-04-21 Mitsubishi Electric Corp 気相成長装置
US5423936A (en) * 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
DE69420774T2 (de) * 1993-05-13 2000-01-13 Applied Materials Inc Kontrolle der Kontamination in einem Plasma durch Ausgestaltung des Plasmaschildes unter Verwendung von Materialien mit verschiedenen RF-Impedanzen
EP0668607A1 (en) * 1994-02-22 1995-08-23 Applied Materials, Inc. Erosion resistant electrostatic chuck

Also Published As

Publication number Publication date
US5556476A (en) 1996-09-17
KR100271191B1 (ko) 2000-12-01
JPH08236451A (ja) 1996-09-13
DE69529325T2 (de) 2003-10-16
DE69529325D1 (de) 2003-02-13
EP0708477A1 (en) 1996-04-24
EP0708477B1 (en) 2003-01-08
KR960015715A (ko) 1996-05-22

Similar Documents

Publication Publication Date Title
JP4361614B2 (ja) 半導体基板のエッジ成膜の制御
US6033480A (en) Wafer edge deposition elimination
JP4563984B2 (ja) 基板裏面への堆積を減少させる処理装置及び処理方法
JP5073631B2 (ja) 基板プロセス装置でのエッジ堆積を制御する移動可能リング
US5882419A (en) Chemical vapor deposition chamber
US5888304A (en) Heater with shadow ring and purge above wafer surface
JP4772974B2 (ja) パージガスアセンブリ、基板を処理する装置、及び、基板にガスを送出する方法
US7250094B2 (en) Heat treatment apparatus
JP4108119B2 (ja) 改良型化学気相堆積チャンバ
JP2022122958A (ja) 裏側の基板接触を減少させる基板移送機構
WO2002013239A2 (en) Heater for jmf type wafers
JPH10214798A (ja) ウエハエッジ堆積の排除
KR100373435B1 (ko) 화학기상증착챔버
TWI813179B (zh) 基片承載組件、化學氣相沉積設備及吹掃方法
TWI847817B (zh) 減少背側基板接觸的基板傳送機制
JP2004186552A (ja) 基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20020930

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050622

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050802

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20051101

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20051107

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060202

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071002

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080104

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080109

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080204

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080207

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080303

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080306

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20080402

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080402

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080715

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081113

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20090106

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20090403

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090813

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120821

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120821

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120821

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130821

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term