DE69411307T2 - CVD Kammer - Google Patents

CVD Kammer

Info

Publication number
DE69411307T2
DE69411307T2 DE69411307T DE69411307T DE69411307T2 DE 69411307 T2 DE69411307 T2 DE 69411307T2 DE 69411307 T DE69411307 T DE 69411307T DE 69411307 T DE69411307 T DE 69411307T DE 69411307 T2 DE69411307 T2 DE 69411307T2
Authority
DE
Germany
Prior art keywords
substrate
chamber
receptacle
vacuum
purge
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69411307T
Other languages
English (en)
Other versions
DE69411307D1 (de
Inventor
Mei Chang
Lawrence Chung-Lai Lei
Karl Anthony Littau
Alan Ferris Morrison
Ilya Perlov
Ashok K Sinha
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of DE69411307D1 publication Critical patent/DE69411307D1/de
Publication of DE69411307T2 publication Critical patent/DE69411307T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/935Gas flow control

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

  • Diese Erfindung betrifft eine verbesserte Kammer für eine chemische Gasphasenabscheidung (CVD). Insbesondere betrifft diese Erfindung eine CVD-Vakuumkammer, die dünne Schichten gleichmäßiger auf ein Halbleitersubstrat abscheidet.
  • HINTERGRUND DER ERFINDUNG
  • CVD-Vakuumkammern werden zur Abscheidung von dünnen Schichten auf Halbleitersubstraten verwendet. Ein Präkursor-Gas wird über eine Gasverteilerplatte, die sich über dem Substrat befindet, in eine Vakuumkammer gespeist, wobei das Substrat auf Prozeßtemperaturen, im allgemeinen im Bereich von etwa 250-650ºC, aufgeheizt wird. Das Präkursor-Gas reagiert auf der geheizten Substratoberfläche, so daß es eine dünne Schicht darauf abscheidet und flüchtige Nebenproduktgase bildet, die über das Pumpsystem der Kammer abgepumpt werden.
  • Um die Produktionseffizienz und die Vorrichtungsleistung zu steigern, sank in den letzten Jahren die Größe der auf einem Substrat gebildeten Vorrichtungen, und die Anzahl der auf einem Substrat gebildeten Vorrichtungen stieg. Folglich ist es immer wichtiger, daß durch CVD abgeschiedene dünne Schichten über das Substrat eine gleichmäßige Dicke aufweisen, so daß alle Vorrichtungen auf dem Substrat gleichförmig sind. Ferner ist es immer wichtiger, daß die Erzeugung von Teilchen in den Bearbeitungskammern vermieden wird, um die Verunreinigung der Substrate zu vermindern, die die Ausbeute an guten Vorrichtungen verringert.
  • Außerdem ist die Größe von Halbleitersubstraten, beispielsweise Siliziumwafern, gestiegen, so daß die derzeitigen Siliziumwafer des Standes der Technik einen Durchmesser von etwa 20,32 cm (8 Inch) aufweisen. Dies macht es möglich, nur einen Wafer auf einmal in einer Bearbeitungskammer zu bearbeiten, im Gegensatz zu stapelweisen Prozessen, bei denen eine Vielzahl, nämlich bis zu 100 Wafer, gleichzeitig bearbeitet wird. Die Wirtschaftlichkeit der stapelweisen Bearbeitung ist unter Verwendung von großen Wafern reduziert, da, wenn während der Bearbeitung ein Problem auftritt, viele teure Wafer beschädigt und verworfen werden müssen. Außerdem können die Bearbeitungskammern kleiner gemacht werden, wenn nur ein Substrat auf einmal zu bearbeiten ist, und die Bearbeitung ist besser steuerbar.
  • Darüber hinaus wurde eine Anlage zur Automatisierung der Waferbearbeitung durch Ausführen mehrerer Sequenzen von Bearbeitungsschritten, ohne den Wafer aus einer Vakuumumgebung zu entfernen, entwickelt, wodurch die Überführungszeiten und die Verunreinigung der Wafer vermindert wurden. Ein solches System wurde beispielsweise von Maydan et al., US-A-4 951 601, offenbart, wobei eine Vielzahl von Bearbeitungskammern mit einer Überführungskammer verbunden ist. Ein Roboter in einer zentralen Überführungskammer übergibt Wafer durch Spaltventile in den verschiedenen verbundenen Bearbeitungskammern und holt sie zurück, nachdem die Bearbeitung in den Kammern vollendet ist.
  • Eine typische CVD-Kammer des Standes der Technik ist in Fig. 1 offenbart. Diese Kammer ist in US-A-4 892 753, Wang et al. die durch den Hinweis hierin aufgenommen wird, beschrieben. Mit Bezug auf Fig. 1 ist in einer CVD-Kammer 10 eine Aufnahme 16, auf der ein Wafer 14 während der Bearbeitung gehalten wird, mittels einer vertikal beweglichen Hebevorrichtung (nicht dargestellt) vertikal beweglich. Eine Vielzahl von Stiften 20 stützen den Wafer, wenn der Wafer von einem Blatt eines externen Roboters in die Kammer gebracht wird. Eine Vielzahl von Aufnahmestützfingern 22 sind mit den Waferfingern 20 verbunden und sind an einer Leiste 40 montiert, die ebenfalls durch die Hebevorrichtung vertikal beweglich ist. Der Wafer 14 und die Aufnahme 16, auf der er gehalten wird, werden durch eine Vielzahl von Hochleistungslampen 58 durch ein lichtdurchlässiges Quarzfenster 70 hindurch geheizt. Bei einem bevorzugten Aufbau befinden sich zwei Lampenfelder außerhalb von sowohl der Oberseite als auch dem Boden der Kammer 10, wenn zwei Sätze von Quarzfenstern 70 vorhanden sind. Diese Quarzfenster 70 sind mit Hilfe von Teflondichtungen 72 zu den Kammerwänden hin abdichtet. Die Verwendung dieser externen Heizlampen 58 ermöglicht eine sehr rasche Aufheizung der Wafer und Aufnahmen und ermöglicht, daß die Kammer zwischen den Bearbeitungszyklen abgekühlt wird, wenn die Lampen abgeschaltet werden.
  • Die Quarzfenster 70 haben jedoch eine verhältnismäßig kurze Lebensdauer; nach etwa 1000-2000 Abscheidungszyklen kommt es auf den Quarzfenstern zu einer ausreichenden Ablagerung, so daß sie beschlagen und das Licht von den Hochleistungslampen die Quarzfenster 70 nicht mehr durchdringen kann, woraufhin sie gereinigt werden müssen. Die Quarzfenster werden auch durch Fluor-enthaltendes Plasma, das zur Reinigung der Kammer verwendet wird, angegriffen, was ebenfalls Teilchen erzeugt. Ein Strom von Spülgas über die Fenster 70 hat den Zeitraum zwischen den Reinigungen verlängert, aber die für die Reinigung oder den Austausch der Fenster 70 erforderliche Abschaltzeit ist jedoch nach wie vor teuer.
  • Außerdem müssen die Hochleistungslampen 58 ebenso regelmäßig ausgetauscht werden, was eine zusätzliche Abschaltzeit der Anlage zur Folge hat.
  • Ein weiteres Problem bei der Verwendung der CVD-Kammern des Standes der Technik besteht darin, daß sie einen langen Zeitraum in Anspruch nehmen, nämlich bis zu etwa 6 Stunden, um zu entgasen, um eine niedrige Leckrate in der Kammer aufrechtzuerhalten. Die zum Abdichten der Quarzfenster 70 verwendeten Teflondichtungen 71 sind für Helium durchlässig und entgasen langsam, und es nimmt einen langen Zeitraum in Anspruch, bis die Kammer 10 eine zufriedenstellende Vakuumreinheit erreicht. Die derzeitigen Standards für den Leckverlust der Kammer erfordern, daß der Druck in der Kammer 10 auf 9,6 Pa (72 Millitorr) gebracht wird und die Temperatur auf 450ºC erhöht wird, wenn das Vakuum abgestellt wird. Der Druckanstieg in der Kammer wird dann überwacht. Eine Leckrate von nicht mehr als 0,067 Pa (0,5 Millitorr) pro Minute ist der derzeitige Richtwert.
  • Solche CVD-Kammern werden zur Abscheidung von Metallen, wie z. B. Wolfram aus WF&sub6;-Präkursor-Gas verwendet. WF&sub6; ist ein sehr flüchtiges Gas, und es sind Probleme entstanden, da Wolfram nicht nur auf der Oberseite des Wafers abscheidet, sondern auch auf den Kantenoberflächen und der Rückseite des Wafers. Diese Kanten- und Rückseitenoberflächen sind rauher als die hochpolierte oberseitige Oberfläche und sind nicht mit einer Haftschicht, wie z. B. aufgestäubtem bzw. gesputtertem Titannitrid, überzogen, und somit neigen die abgeschiedenen Materialien dazu, von den Kanten- und unteren Oberflächen abzublättern, was die Kammer verunreinigt. Die überschüssigen Abscheidungen können in einem Ätzplasma unter Verwendung derselben oder einer anderen Kammer abgeätzt werden, dieser Prozeß selbst kann jedoch Teilchen in der Kammer bilden oder die Rückseite der Wafer beschädigen.
  • Somit kamen Klemmringe in Gebrauch. Die Klemmringe bedecken den Rand des Wafers während der Abscheidung, wodurch verhindert wird, daß die Abscheidungsgase die Kanten- und Rückseitenoberflächen des Wafers erreichen. Aufgrund der Flüchtigkeit, beispielsweise von WF&sub6;, verhindern jedoch die Klemmringe allein nicht die Abscheidung auf der Kante und der Rückseite des Wafers. Die Verwendung eines Spülgases, das hinter den Wafer oder an der Kante des Wafers hinter den Klemmring geleitet wird, wurde ebenfalls erprobt. Das Spülgas übt einen Überdruck aus, der die Wahrscheinlichkeit vermindert, daß Bearbeitungsgas diese Kanten- und Rückseitenoberflächen erreicht.
  • Die Verwendung der Klemmringe hat jedoch einige Nachteile; der Klemmring wird während des Bearbeitungszyklus angehoben und gesenkt und kann an der Aufnahme und am Wafer reiben, wodurch Teilchenerzeugung hervorgerufen wird. Außerdem liegen die Klemmringe über der Oberfläche am Rand des Wafers, was die Fläche des Wafers verringert, auf der Metall abgeschieden werden kann.
  • Ein weiteres Problem bei der Verwendung der Klemmringe besteht darin, daß der Klemmring, da er dicker ist als der Wafer, kälter bleibt als der Wafer und den Rand des Wafers dort, wo dieser mit dem Klemmring in Kontakt steht, abkühlt. Dies bewirkt einen Abfall der Abscheidungsrate am kälteren Rand des Wafers und führt zu Ungleichmäßigkeiten in der abgeschiedenen Schicht.
  • Somit ist trotz der Verwendung all dieser Merkmale die Abscheidung von Metallen wie z. B. Wolfram durch CVD nicht so gleichmäßig wie gewünscht. Die Verwendung von Feldern von externen Hochleistungslampen zum Heizen der Aufnahme und des Wafers ist nicht vollkommen gleichmäßig, was zu Inhomogenitäten in der abgeschiedenen Schicht führt. Ferner sammeln sich mit der Zeit Ablagerungen von Wolfram und anderen Materialien auf den Quarzfenstern an, was die Transparenz der Fenster verringert, so daß sie regelmäßig gereinigt werden müssen. Dies erfordert das Öffnen der Kammer und erhöht die Abschaltzeit, was teuer ist. Bei den derzeitigen Kammern wurden weitere Probleme der Teilchenerzeugung und ungleichmäßigen Abscheidung bemerkt. Somit wurde die Suche nach den Ursachen für die Teilchenerzeugung und die Ungleichmäßigkeiten in den abgeschiedenen Schichten fortgesetzt und es wird ununterbrochen nach Lösungen für die obigen Probleme gesucht.
  • In EP-A-0 467 623 wurden eine Vorrichtung und ein Verfahren zum Schutz während der Substratbearbeitung offenbart. Die CVD-Abscheidung von Metallen und Metallverbindungen von der Kante und einem Randbereich der Wafervorderseite ist durch die Verwendung eines ringförmigen "Abdeckungs"-Gebildes ausgeschlossen.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Die vorliegende Erfindung umfaßt eine Einzelsubstrat-CVD- Kammer, wie in Anspruch 1 definiert, zur Abscheidung von, unter anderem, Wolfram, sowohl unstrukturiert als auch selektiv abgeschieden, Wolframsilicid, Titannitrid, Kupfer und dergleichen, wobei die Kammer eine verbesserte Gleichmäßigkeit der abgeschiedenen Schichten liefert. Die CVD-Kammer der Erfindung umfaßt eine Aufnahme bzw. Halterung, die unter Verwendung einer in die Aufnahme fest eingebetteten Einzelspulen-Widerstandsheizvorrichtung widerstandsgeheizt wird, um das darauf gehaltene Substrat ununterbrochen und gleichmäßig zu heizen; eine Vakuumleitung durch die Aufnahme, die das Substrat während dessen Bearbeitung fest gegen die Aufnahme hält, wodurch zumindest teilweise die Abscheidung auf der Rückseite des Substrats verhindert wird und die Wärmeübertragung von der geheizten Aufnahme auf das Substrat verbessert wird; eine Spülgasleitung durch die Aufnahme an ihrem Rand, um zu verhindern, daß Prozeßgase die Unterkante und die Rückseite des Wafers erreichen; und eine hitzebeständige Spülführung, die an der Aufnahme gehalten wird und einen gleichmäßigen Spalt über dem Substrat aufrechterhält. Die Spülführung der Erfindung kommt während der Bearbeitung nicht mit dem Substrat in Berührung, wodurch eine Quelle für eine Temperaturverringerung am Rand des Substrats und Temperaturungleichmäßigkeiten über das Substrat beseitigt werden und die Menge des Spülgases, das in den Bearbeitungsbereich der Kammer strömt, begrenzt wird. Die verbesserten Merkmale der vorliegenden CVD-Kammer umfassen eine Inertgasquelle in der Vakuumleitung zur Steuerung der Temperaturgleichmäßigkeit von der Mitte bis zur Kante des Substrats; und eine wahlweise Vakuum-Auslaßplatte für die Kammer, die die Gleichmäßigkeit des Auspumpens von überschüssigen und Nebenproduktgasen aus der Kammer verbessert.
  • Die vorliegende widerstandsgeheizte Aufnahme kann eine Temperaturgleichmäßigkeit von der Mitte bis zur Kante von +/- 2ºC aufrechterhalten.
  • Die Erfindung besteht auch aus einem Verfahren zum Abscheiden einer dünnen Schicht nach Anspruch 9.
  • KURZBESCHREIBUNG DER ZEICHNUNGEN
  • Fig. 1 ist eine teilweise schematische Querschnittsansicht einer CVD-Kammer des Standes der Technik.
  • Fig. 2A ist eine Draufsicht auf eine hierbei taugliche Spule.
  • Fig. 2B ist eine räumliche Ansicht der Spule von Fig. 2A.
  • Fig. 3 ist eine Querschnittsansicht einer in eine Aufnahmehalterung eingebetteten Spule.
  • Fig. 4 ist eine Querschnittsansicht der Aufnahmehalterung der Erfindung.
  • Fig. 5 ist eine Draufsicht auf die Aufnahmeplatte der Erfindung.
  • Fig. 6 ist eine Querschnittsansicht einer Spülführung in Kombination mit einem zu bearbeitenden Substrat, das auf einer Aufnahme der Erfindung gehalten wird.
  • Fig. 7 ist eine teilweise schematische Querschnittsansicht einer CVD-Kammer der Erfindung.
  • Fig. 8 ist eine Draufsicht auf den Auslaßkanal der Kammer der Erfindung.
  • Fig. 9 ist eine Draufsicht auf eine Auslaßpumpplatte der Erfindung.
  • Fig. 10 ist eine Draufsicht auf Plazierungsstifte um einen Wafer herum.
  • Fig. 11 ist eine Querschnittsansicht eines Spülstifts, der an einer Aufnahme der Erfindung befestigt ist.
  • BESCHREIBUNG DER ERFINDUNG IM EINZELNEN
  • In den Figuren wird durchweg für den gleichen Teil in allen Figuren dieselbe Ziffer verwendet.
  • Wir haben gefunden, daß eine widerstandsgeheizte Aufnahmehalterung für Substrate während einer CVD- Bearbeitung gegenüber einer Beheizung mit Hochleistungslampen für Einzelsubstrat-CVD- Bearbeitungskammern Vorteile besitzt. Die Widerstandsheizvorrichtung der Erfindung umfaßt eine Einzelspulen-Widerstandsheizvorrichtung 200, in der Größenordnung von 8,1 kW, wie in den Fig. 2A und 2B dargestellt. Die Aufnahmehalterung 210 umfaßt einen Block aus Metall, beispielsweise Aluminium, der eine Einzelspulen-Widerstandsheizvorrichtung 200 aufweist, die wie in Fig. 3 gezeigt darin eingebettet ist. Um für eine gleichmäßige Heizung der Aufnahmehalterung für das Substrat zu sorgen und um das darauf gehaltene Substrat gleichmäßig zu heizen, muß die Spule mit dem Großteil der Aufnahmehalterung 210 an allen Seiten in Kontakt stehen. Fig. 3 ist eine Querschnittsansicht einer Spule 200, die mit einem Preßpassungsformstück 212 aus Aluminium in eine Aufnahmehalterung 210 eingebettet ist, um zu gewährleisten, daß die Spule 200 an allen Seiten mit Metall in Kontakt steht. Um die Aufnahmehalterung 210 der Erfindung herzustellen, wird ein Raum für eine Heizspule aus der festen Aufnahmehalterung 210 maschinell herausgearbeitet, die Spule 200 wird darin eingesetzt und eine Aluminiumplatte 212 wird gegen die Spule 200 aufgepreßt, so daß die Spule 200 mit der metallischen Aufnahmehalterung 210 an allen Seiten in Kontakt steht. Sie wird dann geschweißt, um zu gestatten, daß die Heizspule bei Atmosphärendruck bleibt, um die Wärmeübertragung zu verbessern. Dies ist wichtig, um ein gleichmäßiges Heizen der Aufnahmehalterung 210 zu gewährleisten. Alternativ kann eine Aufnahmehalterung aus Aluminium mit einer darin eingebetteten Spule durch ein Gießverfahren hergestellt werden.
  • Da die Spule 200 an allen Seiten mit Aluminiummetall in Kontakt steht, beispielsweise der Aufnahme 210 und dem Metallformstück 212, kann ohne eine große Anzahl an Spulen eine hohe Leistungsdichte erzielt werden, und tatsächlich kann eine hohe Leistungsdichte erhalten werden und eine gleichmäßige Widerstandsheizung der Aufnahmehalterung 210 mit nur einer einzigen Heizspule 200, wie in Fig. 2 dargestellt, erhalten werden. Dies verringert die erforderliche Größe der Aufnahmehalterung 210 und ermöglicht, daß eine Vielzahl von Gasverteilungskanälen darin implementiert wird. Ein Wafer, der auf der Aufnahmehalterung 210 durch ein Vakuum gehalten wird, kann unter Verwendung der vorstehend beschriebenen Heizanordnung schnell und gleichmäßig aufgeheizt werden. Die Temperaturschwankung von der Mitte bis zur Kante auf dem Substrat beträgt nicht mehr als 2ºC bei Bearbeitungstemperaturen von beispielsweise etwa 475ºC. Ein Thermoelement 214 wird eingesetzt und in einem Abstand von etwa 0,63 cm (0,25 Inch) von der Unterseite des Wafers mit der Unterseite der Heizvorrichtung in Kontakt gehalten. Das Thermoelement 214 wird durch eine leichte Federkraft an seiner Stelle gehalten und liefert ein Steuersignal für den Temperaturregler. Das Thermoelement 214 liegt in einer Mulde, die sich auf Atmosphärendruck befindet, was die Wärmeübertragung zwischen der Heizvorrichtung 200 und dem Thermoelement 214 verbessert, um einen genaueren Meßwert bereitzustellen.
  • Der Temperaturregler ist ein nach Verfahrensvorschrift betriebener Proportional-Integral-Differential-(PID)- Regler, der den Schritten der Verfahrensvorschrift zuvorkommt, die gleich eintreten werden, und die Ansprechcharakteristik 8A der Heizvorrichtung ändert, um ein gleichmäßiges Temperaturprofil aufrechtzuerhalten.
  • Fig. 4 ist eine Querschnittsansicht der Aufnahmehalterung 210 und Fig. 5 ist eine Draufsicht auf die Aufnahmehalterung 210.
  • Mit Bezug auf die Fig. 4 und 5 ist eine Vakuumleitung 216 dargestellt. Die Vakuumleitung 216 ist mit einer Vakuumquelle (nicht dargestellt) verbunden, die von der Vakuumabsaugpumpe für die CVD-Kammer selbst separat ist. Die Vakuumleitung 216 ist mit einer Vielzahl von Öffnungen 218 in der Oberfläche der Aufnahme 210 verbunden. Diese Öffnungen 218 wiederum befinden sich innerhalb kleiner Kanäle 220 in der Oberfläche der Aufnahme 210. Wenn das Vakuum angelegt wird, wird folglich ein auf der Aufnahme 210 gehaltenes Substrat gleichmäßig gegen die Aufnahme 210 nach unten gezogen. Die Vakuumöffnungen 218 sind mit den Kanälen 220 verbunden und sind so angeordnet, daß sie das Vakuum gleichmäßig über die Oberfläche der Aufnahme 210 verteilen. Die Vakuumkanäle 220 erstrecken sich nicht bis zur Kante des Substrats, sondern können sich beispielsweise auf etwa 17,78 cm (7 Inch) über die Aufnahme 210 erstrecken, wenn ein Wafer von 20,32 cm (8 Inch) bearbeitet werden soll.
  • Der Druck der Vakuumleitung 216 kann von etwa 199,98 Pa (1,5 Torr) bis etwa 7999 Pa (60 Torr) reichen, wenn der Druck der Kammer etwa 10665 Pa (80 Torr) beträgt. Der Druck in der Vakuumzuleitung kann ferner durch Einleiten eines Inertgases wie z. B. Argon reguliert werden, um die Gleichmäßigkeit der Abscheidung auf das Substrat zwischen der Mitte und der Kante zu regulieren. Das Erhöhen des Drucks in der Vakuumleitung 216 von etwa 333,30 Pa (2,5 Torr) auf etwa 1333,22 Pa (10 Torr) steigert beispielsweise die Wärmeübertragung innerhalb des Aufnahmebereichs und erhöht die Schichtdicke und -gleichmäßigkeit der abgeschiedenen Schicht.
  • Es können flache Nuten zu dem Bereich außerhalb der Vakuumspannfläche hinzugefügt werden, um die Wärmeübertragung in der Nähe der Kante des Wafers zu verringern, was dann die Dicke der an der Kante des Wafers abgeschiedenen Schicht verringert.
  • Eine Spülgasleitung 222 ist ebenfalls in der Aufnahmehalterung 210 vorhanden. Ein Spülgas wie z. B. Argon wird in eine Vielzahl von Spülgasöffnungen 224 in der Aufnahme 210 geleitet, die das Spülgas gegen die Kante des Substrats leiten, wodurch unterbunden wird, daß das Prozeßgas mit der Waferkantenoberfläche in Berührung kommt und Material wie z. B. Wolfram darauf abgeschieden wird. Etwa 120-360 Öffnungen können um den Rand der Halterung 210 in gleichen Abständen angeordnet sein. Die Verwendung eines Spülgases, um die Abscheidung auf der Kante und der Rückseite zu unterbinden, ist an sich bekannt. Da jedoch das Spülgas dann entlang der Kante des Substrats und in den Bearbeitungsbereich der Kammer strömt, entsteht ein Problem, da das Spülgas die Kante des Substrats kühlen kann und das Bearbeitungsgas an der Kante des Substrats verdünnen kann, wodurch die Abscheidung an der Kante des Substrats verringert wird. Somit wurde eine hitzebeständige Spülführung zu der vorliegenden CVD-Kammer gemäß der vorliegenden Erfindung hinzugefügt.
  • Fig. 6 ist eine Querschnittsansicht einer Spülführung 226 in Kombination mit einem zu bearbeitenden Wafer 14, der auf einer Aufnahme 210 gehalten wird.
  • Mit Bezug auf Fig. 6 wird ein Wafer 14 auf der Aufnahme 210 gestützt. Die Spülführung 226 ruht auf der Oberseite der Aufnahme 210, wenn sich die Aufnahmehalterung 210 in ihrer Bearbeitungsposition befindet. Die Spülgaszuleitung 222 führt Spülgas gegen die Kante des Wafers 14, der auf der Aufnahme 210 durch ein Vakuum gehalten wird. Die Spülführung 226 hält einen feststehenden Spalt über dem Wafer 14 aufrecht, durch den die Spülgase in den Bearbeitungsbereich der CVD-Kammer strömen. Es ist dieser feststehende Spalt, der in der Größenordnung von 0,127- 0,254 mm (5-10 Mil) liegt, der bei der Steuerung der Verteilung des Spülgases und der Aufrechterhaltung der Abscheidungsgleichmäßigkeit zwischen der Mitte und der Kante auf dem Substrat kritisch ist. Der Weg des Spülgases ist durch die Pfeile dargestellt. Geeigneterweise besteht die Spülführung 226 aus Keramik, wie z. B. Aluminiumoxid oder Aluminiumnitrid.
  • Die Kante der Aluminiumaufnahme 210, auf der die Spülführung 226 während der Bearbeitung ruht, weist eine Vielzahl von feinen Nuten 221 auf, die beispielsweise etwa 0,127-0,254 mm (5-10 Mil) auseinander liegen, um ein Festhaften zwischen der Spülführung 226 und der Aufnahme 210 zu verhindern. Dieses Festhaften kann aufgrund des Unterschieds der Ausdehnungskoeffizienten zwischen den Teilen aus Metall wie z. B. Aluminium (Aufnahme) und aus Keramik (Spülführung) auftreten; das Aluminium dehnt sich bei den Bearbeitungstemperaturen im Vergleich zu Raumtemperatur etwa dreimal so viel aus wie die Keramik. Die feinen Nuten 221 verhindern die Erzeugung von Teilchen, wenn die Spülführung 226 und die Aufnahme 210 getrennt werden, wenn die Aufnahmehalterung 210 gesenkt wird, nachdem die Bearbeitung des Wafers 14 vollendet ist.
  • Im allgemeinen sind Spülgase Inertgase wie z. B. Argon. Es kann jedoch eine kleine Menge eines Reaktionsgases wie z. B. Wasserstoff zu dem Spülgas zugegeben werden, um die Abscheidung an der Kante des Substrats zu verbessern. Im dem Fall, daß das Spülgas die Kante des Substrats kühlt oder das Bearbeitungsgas an der Kante des Substrats verdünnt, oder falls die Spülführung über dem Rand des Substrats liegt oder diesen abschattet, wodurch die Abscheidung am Rand der oberseitigen Oberfläche des Wafers verringert wird, reagiert ein zu dem Spülgas zugegebenes Reaktionsgas, wie z. B. Wasserstoff, mit beispielsweise WF&sub6;, um dessen Zersetzung zu steigern und die Abscheidungsmenge auf der Kante des Wafers zu erhöhen und die obigen Quellen für eine Abscheidungsungleichmäßigkeit zu beseitigen.
  • Fig. 7 ist eine teilweise schematische Querschnittsansicht der CVD-Kammer 300 der vorliegenden Erfindung.
  • Das Substrat 14 wird durch einen Roboterarm durch ein Spaltventil in einer Seitenwand der Kammer (nicht dargestellt) in die Kammer 300 der Erfindung gebracht. Die Kammer 300 kann ein Teil eines Vakuumbearbeitungssystems mit einer Vielzahl von Bearbeitungskammern sein, die mit einer zentralen Überführungskammer verbunden sind. Die Aufnahmehalterung 210 ist mittels eines Motors 252 vertikal beweglich. Das Substrat 14 wird in die Kammer gebracht, wenn sich die Aufnahmehalterung 210 in einer ersten Position gegenüber dem Spaltventil befindet. Das Substrat 14 wird anfänglich durch einen Satz von Stiften 228 gestützt, die durch die Aufnahmehalterung 210 verlaufen und mit der Aufnahmehalterung 210 gekoppelt sind, welche von einer Einzelmotoranordnung angetrieben wird. Eine zweite Spülleitung 236 kann hinzugefügt werden, um die Faltenbalge 229 aus rostfreiem Stahl vor einer Beschädigung durch korrosive Gase zu schützen. Da sich die Stifte 228 jedoch zusammen mit der Aufnahmehalterung 210 anheben, treffen sie auf einen Anschlag 230. Wenn sich die Aufnahmehalterung 210 weiter in die Bearbeitungsposition gegenüber der Bearbeitungsgasplatte 310 hebt, sinken die Stifte 228 in die Aufnahmehalterung 210 ein und der Wafer 14 wird auf die Aufnahmeplatte 214 abgelegt. Die Vakuumzufuhrleitung 216 der Aufnahme wird aufgemacht, was das Substrat 14 an der Aufnahme 210 festhält. Die Aufnahmehalterung 210 wird dann zum Bearbeiten des Substrats 14 in Richtung der in einer Strichlinie dargestellten Bearbeitungsgasplatte 310 nach oben bewegt. Wenn sie sich nach oben bewegt, kommt das Substrat 14 mit der Spülführung 226 in Berührung und zentriert die Spülführung 226 bezüglich des festgehaltenen Substrats 14. Wenn die Spülführung 226 zentriert ist, kommt sie nicht mit dem Wafer in Berührung, sondern hält einen feststehenden Spalt von 0,127-0,254 mm (5-10 Mil) zum Wafer 14 zum Durchgang von Spülgasen zwischen ihnen aufrecht. Gleichzeitig zentriert die Aufnahmehalterung 210 ebenfalls die Spülführung 226, wenn sie nach oben fährt. An der Seitenwand 211 der Aufnahmehalterung 210 aus Aluminium wird ein Satz von Pufferstiften 232 verwendet, um den Kontakt zwischen der Spülführung 226 und der Aufnahmehalterung 210 zu minimieren, wenn sich die Aufnahmehalterung 210 vertikal bewegt, wodurch die Erzeugung von Teilchen im Fall, daß sich die Aufnahmehalterung 210 und die Spülführung 226 beim Vorbeigehen aneinander reiben, verringert wird.
  • Wenn die Aufnahmehalterung 210 und das daran befestigte Substrat 14 die Bearbeitungsposition erreichen, wird das Bearbeitungsgas eingelassen und die Abscheidung von Wolfram oder einer anderen Schicht beginnt. Verbrauchte Prozeßgase und Nebenproduktgase werden mittels des Pumpsystems 240 für die Kammer abgesaugt.
  • Wie wir herausgefunden haben, ist das Pumpsystem des Standes der Technik eine weitere Ursache für die Ungleichmäßigkeit der abgeschiedenen Schicht. Das Pumpsystem 240 der CVD-Kammer 300 kann die Gase nicht gleichmäßig aus der ganzen Umgebung des Substrats 14 entfernen. Wie in Fig. 8 gezeigt, befindet sich der Auslaßkanal 242 der Kammer zwischen der Aufnahmehalterung 210 und dem darauf liegenden Substrat 14 und den Wänden 302 der Kammer 300. Aufgrund der Notwendigkeit, den Eintritt und Austritt des Substrats 14 durch das Spaltventil zu berücksichtigen, wird der Auslaßkanal 242 jedoch in dem Bereich des Spaltventils blockiert und der Auslaßkanal 242 umgibt das Substrat nicht vollständig.
  • Fig. 8 ist eine Draufsicht auf den Auslaßkanal 242 in der CVD-Kammer der Erfindung. Die Anwesenheit eines Spaltventils beschneidet einen Teil des Auslaßkanals 242 der Kammer um den Rand des Wafers 14. Somit ist die Entfernung der Abgase nicht gleichmäßig, was die Zusammensetzung der verbrauchten und Nebenproduktgase in diesem Bereich verzerren kann.
  • Somit wird ebenfalls gemäß der vorliegenden Erfindung eine Auslaßpumpplatte 244 bereitgestellt, wie in Fig. 9 dargestellt. Eine Vielzahl von vertikalen Öffnungen 248 in der Auslaßpumpplatte 244 sind mittels eines Kanals 250 in der Auslaßplatte 244 verbunden. Die Größe der Öffnungen 248 ist leicht einschränkend, was die Abgase zurück in den Kanal 250 treibt, wo sie gleichmäßig verteilt werden und dann mittels des Kammerauslaßkanals 242 aus der Kammer 300 abgesaugt werden. Somit werden die Abgase durch die Pumpplatte 244 gleichmäßiger verteilt und die Gase werden gleichmäßiger aus der Umgebung um den Wafer 14 herum abgepumpt.
  • Die Auslaßplatte 244 ist an den Seitenwänden 302 der Kammer an etwa der Position des Wafers 14 angebracht, wenn er sich in seiner Bearbeitungsposition befindet. Somit strömen die Abgase während der Bearbeitung in die in gleichen Abständen angeordneten vertikalen Öffnungen in einen einzigen Kanal 250 um die gesamte Umgebung des Wafers 14 und folglich strömen die Abgase gleichmäßiger in das Pumpsystem 240.
  • Wenn die Abscheidung vollendet ist, wird die Bearbeitungsgasquelle abgestellt und die Aufnahmehalterung 210 wird wieder gesenkt. Wenn sich die Aufnahmehalterung 210 der Position nähert, an der die Hebestifte 228 wieder aus der Aufnahmeplatte 214 herausragen, wird die mit der Vakuumleitung 216 verbundene Vakuumquelle abgestellt, so daß der bearbeitete Wafer durch die Stifte 228 über die Oberfläche der Aufnahmeplatte 214 angehoben werden kann. Die Aufnahmehalterung 210 und der Wafer 14 werden in ihre Anfangsposition gegenüber dem Spaltventil gesenkt, so daß der Wafer 14 aus der Kammer entnommen werden kann.
  • Eine alternative Ausführungsform der Kammer 300 verwendet eine Vielzahl von einteiligen Plazierungsstiften 260 anstelle einer Spülführung 226, wie in den Fig. 10 und 11 gezeigt. Die Verwendung von Plazierungsstiften anstelle der hierin vorstehend beschriebenen Spülführung ermöglicht, daß Wolfram über die gesamte oberseitige Oberfläche des Wafers, einschließlich der Oberkante der abgeschrägten · Kante des Wafers, d. h. mit einem Kantenausschluß von Null, abgeschieden wird.
  • Fig. 10 ist eine Draufsicht auf einen Wafer 14, der von Plazierungsstiften 260 umgeben ist, um einen Spülgasdurchgang dazwischen einzurichten. Die Spülführungsstifte 260, in der in Fig. 10 dargestellten Ausführungsform sechs davon, können aus einem hitzebeständigen Material wie z. B. Aluminiumoxid oder einem Metall wie z. B. Aluminium bestehen. Drei der Stifte 260A, 260B und 260C justieren den Wafer 14 so, daß die Waferkante zu den Stiften ausgerichtet ist. Diese Stifte 260A, 260B und 260C werden auf die nominale Wafergröße (200 mm Durchmesser) eingestellt und legen den Spalt zwischen dem Wafer 14 und dem Spülgaskanal fest. Somit wird der Spalt so eingestellt, daß er für die Mehrheit von Wafern 14 optimiert ist. Wenn ein spezieller Wafer kleiner oder größer ist als die nominale Größe, ändert sich der Spalt um den Wafer herum von etwa 0,0508-0,508 mm (2-20 Mil) von einer Seite zur anderen. Die restlichen drei Stifte 260D, E und F stehen nicht mit der Kante eines Wafers in Kontakt und stellen einen feststehenden Spalt zwischen dem Wafer und den Stiften bereit, so daß das Spülgas zwischen ihnen hindurchströmen kann. Fig. 11 ist eine Querschnittsansicht eines Plazierungsstifts 260A, der auf der Aufnahmeplatte 210 angebracht ist. Diese Ausführungsform beseitigt die hitzebeständige Spülführung, beseitigt die gesamte Abschattung der Waferkante durch die Spülführung für die Abscheidungsgase und beseitigt eine Quelle für mögliche Teilchenerzeugung. Durch geeignete Regulierung der Spülgasmischung, beispielsweise Zugeben eines Reaktionsgases wie Wasserstoff, können jegliche Inhomogenitäten an der Kante des Wafers kompensiert werden.
  • Die Verwendung der vorliegenden widerstandsgeheizten Aufnahme verbessert die Gleichmäßigkeit der Abscheidung, da die Aufnahme jederzeit auf der Bearbeitungstemperatur bleibt. Dies verringert die Temperaturschwankungen, die von dem Wafer und der Kammer erfahren werden, und verbessert die Gleichmäßigkeit der Abscheidung.
  • Obwohl die vorliegende Erfindung in Form von speziellen Ausführungsformen beschrieben wurde, können verschiedene Substitutionen von Teilen und Materialien und Abscheidungsbedingungen vorgenommen werden, wie es Fachleuten bekannt ist. Die Aufnahme kann beispielsweise eine Aufnahmehalterung und eine daran befestigte Stirnplatte umfassen, wobei die Stirnplatte die vorstehend beschriebenen Öffnungen für das Vakuum und die Spülgase aufweist, wobei sie an der Aufnahmehalterung mit den Gasleitungen darin befestigt ist, wobei die Gasleitungen mit den verschiedenen Öffnungen in der Stirnplatte verbinden. Weitere Veränderungen werden für Fachleute ersichtlich sein und gelten als hierin eingeschlossen.

Claims (13)

1. Eine Einzelsubstrat-Vakuumkammer für eine chemische Gasphasenabscheidung, die eine Quelle für ein Präkursor- Gas, eine geheizte Aufnahmehalterung (210) aus Metall für ein zu bearbeitendes Substrat (14) und ein Vakuumpumpsystem für die Kammer aufweist, die aufweist:
a) eine in der Aufnahme (210) eingebaute Widerstandsspule (200), so daß das Metall an allen Seiten mit der Spule (200) in Kontakt steht;
b) eine Vakuumzuleitung (216) durch die Aufnahme (210), die separat von der Pumpvakuumquelle der Kammer mit einer Vakuumquelle verbunden ist, um das Substrat (14) an der Aufnahme (210) zu halten;
c) eine Spülgasleitung (222) durch die Aufnahme, die mit einer Vielzahl von Öffnungen (224) außerhalb der Kante des auf der Aufnahme (210) gehaltenen Substrats (14) verbunden ist; und
d) Mittel (226; 260A-260F) zum Vorsehen eines feststehenden Spaltdurchgangs für Spülgas zum Substrat (14); wobei
- die Vakuumzuleitung (216) mit einer Inertgasquelle verbunden ist, um die Vakuum- und Temperaturgleichmäßigkeit über die Aufnahme (210) zu steuern.
2. Eine Kammer nach Anspruch 1, bei der die Heizspule (200) eine Einzelspule ist.
3. Eine Kammer nach Anspruch 1 oder 2, bei der die Oberfläche der Aufnahme (210) eine Vielzahl von Öffnungen (218) aufweist, die mit Kanälen verbunden sind, die durch die Aufnahme (210) mit der Vakuumzuleitung (216) verbunden sind, wobei die Kanäle (220) und Öffnungen (218) innerhalb der durch das Substrat (14) während der Bearbeitung belegten Fläche liegen.
4. Eine Kammer nach irgendeinem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß eine auf der Aufnahme (210) gestützte, hitzebeständige Spülführung (226) einen feststehenden Spalt zwischen der Aufnahme (210) und dem Substrat (14) vorsieht, um einen Spülgasdurchgang vorzusehen.
5. Eine Kammer nach Anspruch 4, dadurch gekennzeichnet, daß die Aufnahme (210) außerhalb der Fläche der Spülgasöffnungen (224) eine Vielzahl von feinen Nuten (221) aufweist, um die Spülführung (226) ohne ein Festhaften zu stützen.
6. Eine Kammer nach irgendeinem der vorhergehenden Ansprüche, bei der ein Satz von Plazierungsstiften (260A-260F) auf der Aufnahme (210) das Substrat (14) zu einer optimalen Position auf der Aufnahme (210) führen, um einen festgelegten Spalt zwischen dem Substrat (14) und dem Spülgaskanal festzulegen.
7. Eine Kammer nach irgendeinem der vorhergehenden Ansprüche, die weiterhin eine Auslaßplatte (244) in dem Pumpsystem (240) aufweist, die eine Vielzahl von kleinen, vertikalen Durchgängen (248) aufweist, die mit einem Kanal (250) um den gesamten Umfang der Rückseite der Platte (244) verbunden sind, so daß Abgase gleichmäßiger von dem Substrat (14) herum entfernt werden.
8. Eine Kammer nach irgendeinem der vorhergehenden Ansprüche, bei der die Aufnahme (210) eine daran befestigte Stirnplatte aufweist, die Öffnungen aufweist, die mit den Spül- und Vakuumleitungen in der Aufnahme (210) verbunden sind.
9. Ein Verfahren zum Abscheiden einer dünnen Schicht auf ein Substrat in einer Einzelsubstrat-Abscheidekammer (300) für eine chemische Gasphasenabscheidung, das aufweist:
Lagern des Substrats (14) auf einer Aufnahmeplatte (210), die bei einem Kammerdruck von ungefähr 13,33 Pa (100 Millitorr) bis ungefähr 93325 Pa (700 Torr) auf eine Temperatur von ungefähr 250-650ºC aufgeheizt wird; Befestigen des Substrats (14) mittels eines an die Platte (210) angelegten Vakuums;
Leiten eines Spülgases aus einer Vielzahl von Öffnungen (224) in der Platte (210) außerhalb der Kante des Substrats (14) durch ein Spaltfestlegungs-Mittel (226, 260A-260F), um zu verhindern, daß Prozeßgase mit der Kante in Berührung kommen;
Leiten eines Abscheidepräkursor-Gases in die Kammer (300) hinein und
Verwenden eines Inertgases, um den Druck des Vakuums zum Substrat (14) zu regulieren.
10. Ein Prozeß nach Anspruch 9, bei dem das Präkursor-Gas WF&sub6; ist.
11. Ein Prozeß nach Anspruch 9 oder 10, bei dem das Spülgas ein Reaktionsgas enthält.
12. Ein Prozeß nach Anspruch 9 oder 10, bei dem das Spülgas eine Mischung eines Inertgases und Wasserstoff enthält.
13. Ein Prozeß nach irgendeinem der Ansprüche 11 oder 12, bei dem das Spülgas gegen die Unterkante des Substrats (14) gerichtet ist.
DE69411307T 1993-04-05 1994-03-31 CVD Kammer Expired - Fee Related DE69411307T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US4296193A 1993-04-05 1993-04-05

Publications (2)

Publication Number Publication Date
DE69411307D1 DE69411307D1 (de) 1998-08-06
DE69411307T2 true DE69411307T2 (de) 1999-04-15

Family

ID=21924692

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69411307T Expired - Fee Related DE69411307T2 (de) 1993-04-05 1994-03-31 CVD Kammer

Country Status (5)

Country Link
US (7) US5800686A (de)
EP (2) EP0619381B1 (de)
JP (1) JP2918785B2 (de)
KR (1) KR100190726B1 (de)
DE (1) DE69411307T2 (de)

Families Citing this family (393)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5843233A (en) * 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5855687A (en) * 1990-12-05 1999-01-05 Applied Materials, Inc. Substrate support shield in wafer processing reactors
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US6544379B2 (en) * 1993-09-16 2003-04-08 Hitachi, Ltd. Method of holding substrate and substrate holding system
TW277139B (de) * 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
US5766365A (en) * 1994-02-23 1998-06-16 Applied Materials, Inc. Removable ring for controlling edge deposition in substrate processing apparatus
WO1995023428A2 (en) * 1994-02-23 1995-08-31 Applied Materials, Inc. Chemical vapor deposition chamber
US6033480A (en) * 1994-02-23 2000-03-07 Applied Materials, Inc. Wafer edge deposition elimination
US5888304A (en) * 1996-04-02 1999-03-30 Applied Materials, Inc. Heater with shadow ring and purge above wafer surface
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5476548A (en) * 1994-06-20 1995-12-19 Applied Materials, Inc. Reducing backside deposition in a substrate processing apparatus through the use of a shadow ring
US5705080A (en) * 1994-07-06 1998-01-06 Applied Materials, Inc. Plasma-inert cover and plasma cleaning process
US5730801A (en) * 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US6365495B2 (en) 1994-11-14 2002-04-02 Applied Materials, Inc. Method for performing metallo-organic chemical vapor deposition of titanium nitride at reduced temperature
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5985089A (en) * 1995-05-25 1999-11-16 Tegal Corporation Plasma etch system
KR0165484B1 (ko) * 1995-11-28 1999-02-01 김광호 탄탈륨산화막 증착 형성방법 및 그 장치
US5860640A (en) * 1995-11-29 1999-01-19 Applied Materials, Inc. Semiconductor wafer alignment member and clamp ring
US5895530A (en) * 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
US5709772A (en) 1996-03-29 1998-01-20 Applied Materials, Inc. Non-plasma halogenated gas flow to prevent metal residues
US5844205A (en) * 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US5885353A (en) * 1996-06-21 1999-03-23 Micron Technology, Inc. Thermal conditioning apparatus
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5993916A (en) * 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5837058A (en) * 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
JPH1064847A (ja) * 1996-07-16 1998-03-06 Applied Materials Inc タングステン材料の成膜方法、サセプタ及び成膜装置
US5653808A (en) * 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US6602348B1 (en) * 1996-09-17 2003-08-05 Applied Materials, Inc. Substrate cooldown chamber
KR100469908B1 (ko) 1996-09-30 2005-02-02 램 리서치 코포레이션 기재 지지수단 상의 폴리머 증착을 감소시키는 장치
US6120609A (en) * 1996-10-25 2000-09-19 Applied Materials, Inc. Self-aligning lift mechanism
US5951776A (en) * 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
US6033478A (en) * 1996-11-05 2000-03-07 Applied Materials, Inc. Wafer support with improved temperature control
US5968587A (en) * 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5879574A (en) * 1996-11-13 1999-03-09 Applied Materials, Inc. Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process
US6019848A (en) * 1996-11-13 2000-02-01 Applied Materials, Inc. Lid assembly for high temperature processing chamber
US5873781A (en) * 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6189482B1 (en) 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US5955858A (en) 1997-02-14 1999-09-21 Applied Materials, Inc. Mechanically clamping robot wrist
US5977519A (en) * 1997-02-28 1999-11-02 Applied Komatsu Technology, Inc. Heating element with a diamond sealing material
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6120608A (en) * 1997-03-12 2000-09-19 Applied Materials, Inc. Workpiece support platen for semiconductor process chamber
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6153260A (en) * 1997-04-11 2000-11-28 Applied Materials, Inc. Method for heating exhaust gas in a substrate reactor
US6226452B1 (en) * 1997-05-19 2001-05-01 Texas Instruments Incorporated Radiant chamber for simultaneous rapid die attach and lead frame embed for ceramic packaging
AT411304B (de) * 1997-06-18 2003-11-25 Sez Ag Träger für scheibenförmige gegenstände, insbesondere silizium-wafer
US5899653A (en) * 1997-06-23 1999-05-04 Applied Materials, Inc. Two-stage vacuum bellows
US6280790B1 (en) * 1997-06-30 2001-08-28 Applied Materials, Inc. Reducing the deposition rate of volatile contaminants onto an optical component of a substrate processing system
US5944422A (en) * 1997-07-11 1999-08-31 A. G. Associates (Israel) Ltd. Apparatus for measuring the processing temperature of workpieces particularly semiconductor wafers
US6063440A (en) 1997-07-11 2000-05-16 Applied Materials, Inc. Method for aligning a wafer
US5985033A (en) * 1997-07-11 1999-11-16 Applied Materials, Inc. Apparatus and method for delivering a gas
TW524873B (en) 1997-07-11 2003-03-21 Applied Materials Inc Improved substrate supporting apparatus and processing chamber
US6083321A (en) * 1997-07-11 2000-07-04 Applied Materials, Inc. Fluid delivery system and method
JPH1136076A (ja) * 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US5870526A (en) * 1997-07-17 1999-02-09 Steag-Ast Inflatable elastomeric element for rapid thermal processing (RTP) system
US6186092B1 (en) 1997-08-19 2001-02-13 Applied Materials, Inc. Apparatus and method for aligning and controlling edge deposition on a substrate
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6118100A (en) * 1997-11-26 2000-09-12 Mattson Technology, Inc. Susceptor hold-down mechanism
US6210483B1 (en) 1997-12-02 2001-04-03 Applied Materials, Inc. Anti-notch thinning heater
US6063441A (en) * 1997-12-02 2000-05-16 Applied Materials, Inc. Processing chamber and method for confining plasma
US6296712B1 (en) * 1997-12-02 2001-10-02 Applied Materials, Inc. Chemical vapor deposition hardware and process
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6093281A (en) * 1998-02-26 2000-07-25 International Business Machines Corp. Baffle plate design for decreasing conductance lost during precipitation of polymer precursors in plasma etching chambers
US5961724A (en) * 1998-03-30 1999-10-05 Lam Research Corporation Techniques for reducing particulate contamination on a substrate during processing
JPH11297800A (ja) * 1998-04-09 1999-10-29 Nec Kyushu Ltd 半導体装置製造用装置
US6179924B1 (en) * 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6596086B1 (en) * 1998-04-28 2003-07-22 Shin-Etsu Handotai Co., Ltd. Apparatus for thin film growth
US6146504A (en) * 1998-05-21 2000-11-14 Applied Materials, Inc. Substrate support and lift apparatus and method
US6027605A (en) * 1998-05-22 2000-02-22 Raines Technologies, Inc. Plasma etching apparatus and method and apparatus for verifying a wafer
US6146463A (en) * 1998-06-12 2000-11-14 Applied Materials, Inc. Apparatus and method for aligning a substrate on a support member
US6040011A (en) * 1998-06-24 2000-03-21 Applied Materials, Inc. Substrate support member with a purge gas channel and pumping system
US6016611A (en) 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
US6096135A (en) * 1998-07-21 2000-08-01 Applied Materials, Inc. Method and apparatus for reducing contamination of a substrate in a substrate processing system
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6231716B1 (en) * 1998-11-09 2001-05-15 Applied Materials, Inc. Processing chamber with rapid wafer exchange
JP4317608B2 (ja) * 1999-01-18 2009-08-19 東京エレクトロン株式会社 成膜装置
JP3352418B2 (ja) * 1999-01-28 2002-12-03 キヤノン株式会社 減圧処理方法及び減圧処理装置
US6159299A (en) * 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
KR100629540B1 (ko) * 1999-02-09 2006-09-27 어플라이드 머티어리얼스, 인코포레이티드 감소된 온도에서의 티타늄 질화물의 금속 유기 화학 기상 증착 수행 방법
US6610150B1 (en) 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6464795B1 (en) 1999-05-21 2002-10-15 Applied Materials, Inc. Substrate support member for a processing chamber
US20030051656A1 (en) 1999-06-14 2003-03-20 Charles Chiun-Chieh Yang Method for the preparation of an epitaxial silicon wafer with intrinsic gettering
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6261407B1 (en) * 1999-06-29 2001-07-17 Lam Research Corporation Method and apparatus for removal of thin films from wafers
US6586343B1 (en) * 1999-07-09 2003-07-01 Applied Materials, Inc. Method and apparatus for directing constituents through a processing chamber
US6530992B1 (en) * 1999-07-09 2003-03-11 Applied Materials, Inc. Method of forming a film in a chamber and positioning a substitute in a chamber
US6163015A (en) * 1999-07-21 2000-12-19 Moore Epitaxial, Inc. Substrate support element
US6354832B1 (en) * 1999-07-28 2002-03-12 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US6375748B1 (en) * 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6291347B1 (en) 1999-10-08 2001-09-18 Texas Instruments Incorporated Method and system for constructing semiconductor devices
US6184154B1 (en) * 1999-10-13 2001-02-06 Seh America, Inc. Method of processing the backside of a wafer within an epitaxial reactor chamber
US6541369B2 (en) 1999-12-07 2003-04-01 Applied Materials, Inc. Method and apparatus for reducing fixed charges in a semiconductor device
US6589352B1 (en) * 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
US6328807B1 (en) * 1999-12-14 2001-12-11 Corning Incorporated Chuck heater for improved planar deposition process
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
JP4419237B2 (ja) * 1999-12-22 2010-02-24 東京エレクトロン株式会社 成膜装置及び被処理体の処理方法
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
US6494955B1 (en) 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
US6223447B1 (en) * 2000-02-15 2001-05-01 Applied Materials, Inc. Fastening device for a purge ring
US6350320B1 (en) * 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
AU4351601A (en) * 2000-03-09 2001-09-17 Semix Inc Wafer processing apparatus and method
US6394023B1 (en) 2000-03-27 2002-05-28 Applied Materials, Inc. Process kit parts and method for using same
US6444027B1 (en) * 2000-05-08 2002-09-03 Memc Electronic Materials, Inc. Modified susceptor for use in chemical vapor deposition process
JP4422295B2 (ja) * 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
WO2002003444A1 (en) * 2000-06-30 2002-01-10 Memc Electronic Materials, Inc. Method and apparatus for forming a silicon wafer with a denuded zone
US6339016B1 (en) 2000-06-30 2002-01-15 Memc Electronic Materials, Inc. Method and apparatus for forming an epitaxial silicon wafer with a denuded zone
US6599815B1 (en) 2000-06-30 2003-07-29 Memc Electronic Materials, Inc. Method and apparatus for forming a silicon wafer with a denuded zone
US7011039B1 (en) 2000-07-07 2006-03-14 Applied Materials, Inc. Multi-purpose processing chamber with removable chamber liner
US6802906B2 (en) * 2000-07-21 2004-10-12 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
AU2001288232A1 (en) * 2000-08-10 2002-02-25 Tokyo Electron Limited Method and apparatus for tuning a plasma reactor chamber
US6503331B1 (en) * 2000-09-12 2003-01-07 Applied Materials, Inc. Tungsten chamber with stationary heater
WO2002023597A2 (en) 2000-09-15 2002-03-21 Applied Materials, Inc. Double dual slot load lock for process equipment
US7130029B2 (en) 2000-09-20 2006-10-31 Kla-Tencor Technologies Corp. Methods and systems for determining an adhesion characteristic and a thickness of a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
WO2002025708A2 (en) 2000-09-20 2002-03-28 Kla-Tencor-Inc. Methods and systems for semiconductor fabrication processes
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US6782337B2 (en) 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
US6617173B1 (en) 2000-10-11 2003-09-09 Genus, Inc. Integration of ferromagnetic films with ultrathin insulating film using atomic layer deposition
US20030190424A1 (en) * 2000-10-20 2003-10-09 Ofer Sneh Process for tungsten silicide atomic layer deposition
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
CN1322556C (zh) * 2001-02-15 2007-06-20 东京毅力科创株式会社 被处理件的处理方法及处理装置
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6795292B2 (en) 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
US6506994B2 (en) * 2001-06-15 2003-01-14 Applied Materials, Inc. Low profile thick film heaters in multi-slot bake chamber
EP1274121A1 (de) * 2001-06-29 2003-01-08 Infineon Technologies SC300 GmbH & Co. KG Halbleiterwaferhalter
JP2003060012A (ja) * 2001-08-08 2003-02-28 Asm Japan Kk 半導体処理用反応チャンバ
US7090727B2 (en) * 2001-08-17 2006-08-15 Micron Technology, Inc. Heated gas line body feedthrough for vapor and gas delivery systems and methods for employing same
US7316966B2 (en) 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
JP2003197532A (ja) * 2001-12-21 2003-07-11 Sumitomo Mitsubishi Silicon Corp エピタキシャル成長方法及びエピタキシャル成長用サセプター
KR100453014B1 (ko) * 2001-12-26 2004-10-14 주성엔지니어링(주) Cvd 장치
WO2003060973A1 (fr) * 2002-01-10 2003-07-24 Tokyo Electron Limited Dispositif de traitement
US6730175B2 (en) 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
DE10208450B4 (de) * 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US20030168174A1 (en) * 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US6576483B1 (en) * 2002-03-19 2003-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Backside cannelure to provide for wafer shift detection
US7005601B2 (en) 2002-04-18 2006-02-28 Applied Materials, Inc. Thermal flux processing by scanning
US6987240B2 (en) * 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US6776850B2 (en) * 2002-06-08 2004-08-17 Taiwan Semiconductor Manufacturing Co., Ltd Preventative maintenance aided tool for CVD chamber
US6963043B2 (en) * 2002-08-28 2005-11-08 Tokyo Electron Limited Asymmetrical focus ring
JP4083512B2 (ja) * 2002-08-30 2008-04-30 東京エレクトロン株式会社 基板処理装置
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US20040083976A1 (en) * 2002-09-25 2004-05-06 Silterra Malaysia Sdn. Bhd. Modified deposition ring to eliminate backside and wafer edge coating
US6874510B2 (en) * 2003-02-07 2005-04-05 Lsi Logic Corporation Method to use a laser to perform the edge clean operation on a semiconductor wafer
EP1593147B1 (de) * 2003-02-11 2007-05-30 Genus, Inc. Gereinigter heizer-suszeptor für einen ald/cvd-reaktor
US7033443B2 (en) * 2003-03-28 2006-04-25 Axcelis Technologies, Inc. Gas-cooled clamp for RTP
KR100522727B1 (ko) * 2003-03-31 2005-10-20 주식회사 아이피에스 박막증착용 반응용기
US20040194885A1 (en) * 2003-04-04 2004-10-07 Stacey David A. Degas chamber particle shield
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
US20040250774A1 (en) * 2003-06-16 2004-12-16 Brent Elliot Wafer heater with protected heater element
US7024105B2 (en) 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
WO2005081283A2 (en) * 2004-02-13 2005-09-01 Asm America, Inc. Substrate support system for reduced autodoping and backside deposition
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050217569A1 (en) * 2004-04-01 2005-10-06 Nirmal Ramaswamy Methods of depositing an elemental silicon-comprising material over a semiconductor substrate and methods of cleaning an internal wall of a chamber
US20050217585A1 (en) * 2004-04-01 2005-10-06 Blomiley Eric R Substrate susceptor for receiving a substrate to be deposited upon
US20050223993A1 (en) * 2004-04-08 2005-10-13 Blomiley Eric R Deposition apparatuses; methods for assessing alignments of substrates within deposition apparatuses; and methods for assessing thicknesses of deposited layers within deposition apparatuses
US20050223985A1 (en) * 2004-04-08 2005-10-13 Blomiley Eric R Deposition apparatuses, methods of assessing the temperature of semiconductor wafer substrates within deposition apparatuses, and methods for deposition of epitaxial semiconductive material
US8236105B2 (en) * 2004-04-08 2012-08-07 Applied Materials, Inc. Apparatus for controlling gas flow in a semiconductor substrate processing chamber
EP1738251A2 (de) * 2004-04-16 2007-01-03 Cascade Basic Research Corp. Modellierung von verhältnissen in einer umgebung mit vernetzter verbindung
KR100587681B1 (ko) * 2004-05-07 2006-06-08 삼성전자주식회사 반도체 제조용 챔버의 히터블록 장착용 라인의 실링구조
US7497414B2 (en) 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US7253107B2 (en) * 2004-06-17 2007-08-07 Asm International N.V. Pressure control system
US7628863B2 (en) * 2004-08-03 2009-12-08 Applied Materials, Inc. Heated gas box for PECVD applications
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
KR20060041497A (ko) * 2004-11-09 2006-05-12 동부일렉트로닉스 주식회사 건식 식각장치
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20080314320A1 (en) * 2005-02-04 2008-12-25 Component Re-Engineering Company, Inc. Chamber Mount for High Temperature Application of AIN Heaters
JP4590363B2 (ja) * 2005-03-16 2010-12-01 日本碍子株式会社 ガス供給部材及びそれを用いた処理装置
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7550381B2 (en) * 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US7429718B2 (en) * 2005-08-02 2008-09-30 Applied Materials, Inc. Heating and cooling of substrate support
US8038837B2 (en) 2005-09-02 2011-10-18 Tokyo Electron Limited Ring-shaped component for use in a plasma processing, plasma processing apparatus and outer ring-shaped member
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
JP4844086B2 (ja) * 2005-10-28 2011-12-21 三菱電機株式会社 半導体製造方法及びサテライト
KR100689843B1 (ko) * 2006-01-03 2007-03-08 삼성전자주식회사 웨이퍼 스테이지 및 이를 이용한 웨이퍼 안착방법
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US20070169703A1 (en) * 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
US20070221128A1 (en) * 2006-03-23 2007-09-27 Soo Young Choi Method and apparatus for improving uniformity of large-area substrates
WO2007135838A1 (ja) 2006-05-18 2007-11-29 Kabushiki Kaisha Kobe Seiko Sho アルミニウム合金厚板の製造方法およびアルミニウム合金厚板
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US8124907B2 (en) 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7541290B2 (en) * 2007-03-08 2009-06-02 Samsung Electronics Co., Ltd. Methods of forming mask patterns on semiconductor wafers that compensate for nonuniform center-to-edge etch rates during photolithographic processing
US8377207B2 (en) * 2007-05-09 2013-02-19 Ulvac, Inc. Purge gas assembly
EP2151855B1 (de) * 2007-05-18 2014-03-12 Ulvac, Inc. Plasmaverarbeitungseinrichtung und verfahren zur herstellung eines adhäsion verhindernden glieds
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20080314319A1 (en) * 2007-06-19 2008-12-25 Memc Electronic Materials, Inc. Susceptor for improving throughput and reducing wafer damage
US20090031955A1 (en) * 2007-07-30 2009-02-05 Applied Materials, Inc. Vacuum chucking heater of axisymmetrical and uniform thermal profile
US20090151872A1 (en) * 2007-12-17 2009-06-18 Tugrul Samir Low cost high conductance chamber
US8999106B2 (en) * 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US8404049B2 (en) * 2007-12-27 2013-03-26 Memc Electronic Materials, Inc. Epitaxial barrel susceptor having improved thickness uniformity
CN101552182B (zh) * 2008-03-31 2010-11-03 北京北方微电子基地设备工艺研究中心有限责任公司 一种用于半导体制造工艺中的边缘环机构
US20090274590A1 (en) * 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed
US20090280248A1 (en) * 2008-05-06 2009-11-12 Asm America, Inc. Porous substrate holder with thinned portions
US20100098519A1 (en) * 2008-10-17 2010-04-22 Memc Electronic Materials, Inc. Support for a semiconductor wafer in a high temperature environment
US20100177454A1 (en) * 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
WO2013155073A1 (en) * 2012-04-10 2013-10-17 Memc Electronic Materials, Inc. Susceptor for improved epitaxial wafer flatness and methods for fabricating a semiconductor wafer processing device
US8940094B2 (en) 2012-04-10 2015-01-27 Sunedison Semiconductor Limited Methods for fabricating a semiconductor wafer processing device
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9997381B2 (en) 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
FR3002242B1 (fr) 2013-02-21 2015-04-03 Altatech Semiconductor Dispositif de depot chimique en phase vapeur
FR3002241B1 (fr) 2013-02-21 2015-11-20 Altatech Semiconductor Dispositif de depot chimique en phase vapeur
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP5386046B1 (ja) * 2013-03-27 2014-01-15 エピクルー株式会社 サセプタ支持部およびこのサセプタ支持部を備えるエピタキシャル成長装置
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US10804081B2 (en) 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9633875B2 (en) * 2015-03-13 2017-04-25 Varian Semiconductor Equipment Associates, Inc. Apparatus for improving temperature uniformity of a workpiece
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6942121B2 (ja) * 2015-10-15 2021-09-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板キャリアシステム
KR102468743B1 (ko) 2015-12-31 2022-11-21 엘지디스플레이 주식회사 표시장치, 터치 센싱 회로 및 구동방법
TWI734770B (zh) * 2016-04-24 2021-08-01 美商應用材料股份有限公司 用於防止空間ald處理腔室中之背側沉積的設備
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6632469B2 (ja) * 2016-05-24 2020-01-22 三菱電機株式会社 ウエハトレイ
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
TWI728197B (zh) 2016-10-24 2021-05-21 美商克萊譚克公司 整合至一計量及/或檢測工具中之製程模組
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
DE102017206671A1 (de) * 2017-04-20 2018-10-25 Siltronic Ag Suszeptor zum Halten einer Halbleiterscheibe mit Orientierungskerbe während des Abscheidens einer Schicht auf einer Vorderseite der Halbleiterscheibe und Verfahren zum Abscheiden der Schicht unter Verwendung des Suszeptors
JP6837911B2 (ja) * 2017-05-17 2021-03-03 株式会社Screenホールディングス 熱処理装置
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
JP6967954B2 (ja) * 2017-12-05 2021-11-17 東京エレクトロン株式会社 排気装置、処理装置及び排気方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019245592A1 (en) * 2018-06-22 2019-12-26 Versum Materials Us, Llc Purge system for gas supply equipment capable of high-temperature high-pressure purging
US11427912B2 (en) 2018-06-25 2022-08-30 Applied Materials, Inc. High temperature rotation module for a processing chamber
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
CN109136884A (zh) * 2018-07-23 2019-01-04 中国电子科技集团公司第四十八研究所 平板式pecvd设备载板自动传输控制装置、pecvd设备及方法
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11961756B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Vented susceptor
CN111863589A (zh) * 2019-04-24 2020-10-30 北京北方华创微电子装备有限公司 吹扫方法及结构、沉积工艺及进气系统
US11404302B2 (en) * 2019-05-22 2022-08-02 Asm Ip Holding B.V. Substrate susceptor using edge purging
US11415463B2 (en) * 2019-06-04 2022-08-16 Applied Materials, Inc. Contactless workpiece temperature sensor
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
US11817313B2 (en) 2020-02-05 2023-11-14 Applied Materials, Inc. Methods for pressure ramped plasma purge
USD1031676S1 (en) 2020-12-04 2024-06-18 Asm Ip Holding B.V. Combined susceptor, support, and lift system
US20220216093A1 (en) * 2021-01-06 2022-07-07 Changxin Memory Technologies, Inc. Floating pin, wafer carrying device and depositing apparatus

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2171877A1 (en) * 1972-02-14 1973-09-28 Commissariat Energie Atomique Semiconductor coating appts - giving improved uniformity of coating
US4061800A (en) * 1975-02-06 1977-12-06 Applied Materials, Inc. Vapor desposition method
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4585920A (en) * 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
JPS612319A (ja) * 1984-06-14 1986-01-08 Semiconductor Energy Lab Co Ltd Cvd装置
JPS636833A (ja) * 1986-06-26 1988-01-12 Toshiba Ceramics Co Ltd 気相成長装置
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5228501A (en) * 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4997677A (en) * 1987-08-31 1991-03-05 Massachusetts Institute Of Technology Vapor phase reactor for making multilayer structures
US4963423A (en) * 1987-10-08 1990-10-16 Anelva Corporation Method for forming a thin film and apparatus of forming a metal thin film utilizing temperature controlling means
US4820371A (en) * 1987-12-15 1989-04-11 Texas Instruments Incorporated Apertured ring for exhausting plasma reactor gases
JPH0610356B2 (ja) * 1988-02-18 1994-02-09 松下電器産業株式会社 プラズマ処理装置およびプラズマ温度測定方法
FR2638020B1 (fr) * 1988-10-14 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a collecteur de gaz ameliore
EP0423327B1 (de) * 1989-05-08 1994-03-30 Koninklijke Philips Electronics N.V. Vorrichtung und verfahren zur behandlung eines flachen, scheibenförmigen substrates unter niedrigem druck
JPH03211823A (ja) * 1990-01-17 1991-09-17 Matsushita Electron Corp 半導体製造装置
US5108792A (en) * 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
EP0447155B1 (de) * 1990-03-12 1995-07-26 Ngk Insulators, Ltd. Wafer-Heizgeräte für Apparate, zur Halbleiterherstellung Heizanlage mit diesen Heizgeräten und Herstellung von Heizgeräten
EP0456372B1 (de) * 1990-04-23 1995-03-22 Genus, Inc. Peripherieabdichtung für Halbleiterplättchen durch Gasinjektion
US5578532A (en) * 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5230741A (en) * 1990-07-16 1993-07-27 Novellus Systems, Inc. Gas-based backside protection during substrate processing
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5148714A (en) * 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
JPH05226252A (ja) * 1992-02-10 1993-09-03 Fujitsu Ltd 気相成長装置および該装置を用いた気相成長方法
JPH0811718B2 (ja) * 1992-02-27 1996-02-07 大同ほくさん株式会社 ガスソース分子線エピタキシー装置
US5370739A (en) * 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
NL9201211A (nl) * 1992-07-07 1994-02-01 Cobrain Nv Inrichting en werkwijze voor het behandelen van een plak halfgeleider-materiaal.
US5589224A (en) * 1992-09-30 1996-12-31 Applied Materials, Inc. Apparatus for full wafer deposition
US5292554A (en) * 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
US5343938A (en) * 1992-12-24 1994-09-06 Vlsi Technology, Inc. Method and apparatus for thermally insulating a wafer support
JP2662365B2 (ja) * 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
DE59406900D1 (de) * 1993-02-08 1998-10-22 Sez Semiconduct Equip Zubehoer Träger für scheibenförmige Gegenstände
US5421893A (en) * 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
US5326725A (en) * 1993-03-11 1994-07-05 Applied Materials, Inc. Clamping ring and susceptor therefor
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
JP3190165B2 (ja) * 1993-04-13 2001-07-23 東京エレクトロン株式会社 縦型熱処理装置及び熱処理方法
US5324553A (en) * 1993-04-30 1994-06-28 Energy Conversion Devices, Inc. Method for the improved microwave deposition of thin films
US5525160A (en) * 1993-05-10 1996-06-11 Tokyo Electron Kabushiki Kaisha Film deposition processing device having transparent support and transfer pins
US5437757A (en) * 1994-01-21 1995-08-01 Applied Materials, Inc. Clamp ring for domed pedestal in wafer processing chamber
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5476548A (en) * 1994-06-20 1995-12-19 Applied Materials, Inc. Reducing backside deposition in a substrate processing apparatus through the use of a shadow ring

Also Published As

Publication number Publication date
US5695568A (en) 1997-12-09
JPH06306615A (ja) 1994-11-01
EP0619381A1 (de) 1994-10-12
US5935338A (en) 1999-08-10
US6103014A (en) 2000-08-15
US5516367A (en) 1996-05-14
US5882419A (en) 1999-03-16
EP0843023A3 (de) 1998-10-28
JP2918785B2 (ja) 1999-07-12
EP0619381B1 (de) 1998-07-01
KR100190726B1 (ko) 1999-06-01
US5800686A (en) 1998-09-01
EP0843023A2 (de) 1998-05-20
US5856240A (en) 1999-01-05
DE69411307D1 (de) 1998-08-06

Similar Documents

Publication Publication Date Title
DE69411307T2 (de) CVD Kammer
DE69529325T2 (de) Kontrolle von Kantenniederschlag auf Halbleitersubstrate
DE69523412T2 (de) Kammer für CVD Behandlungen
DE69118085T2 (de) Differentialdruck-Haltungssystem für CVD-Anlage
DE69321954T2 (de) Methode zur behandlung von halbleiter-wafern und apparat mit kontolle des waerme- und des gasflusses
DE69130897T2 (de) Vakuum-Behandlungsverfahren und Vorrichtung
DE69306783T2 (de) Reaktor zur herstellung von halbleiterplaettchen durch gasphasenabscheidung
DE69528217T2 (de) Vorrichtung und Verfahren zur Bearbeitung von Substraten
DE3889649T2 (de) Ätzverfahren und -gerät.
DE69115374T2 (de) Vorrichtung zum Herstellen eines reduzierten Drucks und zur Objektverarbeitung
DE60220787T2 (de) Glatter mehrteiliger substratträger für cvd
DE3722944C2 (de) Vorrichtung zur chemischen Dampfabscheidung und ihre Verwendung
DE19982566B4 (de) Einrichtung und Verfahren zum Bearbeiten eines Substrats
DE69426679T2 (de) Vorrichtung und Verfahren zur Sicherstellung der Hitzeübertragung auf BZW von einem ganzen Substrat während der Bearbeitung eines Halbleiterbauteils
DE69304038T2 (de) Vorrichtung für ein Vakuumverfahren mit verbessertem Durchsatz
DE3047441C2 (de)
DE69806281T2 (de) Verfahren und Vorrichtung zum Herstellen laminierter dünnen Schichten
DE69608873T2 (de) Vorrichtung zur Reduzierung von Rückständen in einer Kammer zur Behandlung von Halbleitern
DE69032189T2 (de) Peripherieabdichtung für Halbleiterplättchen und verfahren zu deren Verwendung
DE3873847T2 (de) Behandlungsapparat und -verfahren.
DE69524841T2 (de) Reaktoren zum Behandeln von Substraten
DE60133376T2 (de) Vorrichtung zur thermischen verarbeitung von wafern
DE69928289T2 (de) Ätzkammern mit plasma dichte und geringer kontamination und herstellungsverfahren derselben
DE69801291T2 (de) Innenbeschichtung von Vakuumbehältern
DE69118228T2 (de) Klemmechanismus für physikalische Dampfniederschlagvorrichtung

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee