TW492045B - Integration of remote plasma generator with semiconductor processing chamber - Google Patents

Integration of remote plasma generator with semiconductor processing chamber Download PDF

Info

Publication number
TW492045B
TW492045B TW090110215A TW90110215A TW492045B TW 492045 B TW492045 B TW 492045B TW 090110215 A TW090110215 A TW 090110215A TW 90110215 A TW90110215 A TW 90110215A TW 492045 B TW492045 B TW 492045B
Authority
TW
Taiwan
Prior art keywords
gas
processing chamber
patent application
remote plasma
chamber
Prior art date
Application number
TW090110215A
Other languages
English (en)
Inventor
Karthik Janakiraman
Kelly Fong
Chen-An Chen
Paul Le
Rong Pan
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW492045B publication Critical patent/TW492045B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel

Description

492045
五、發明說明( 發jg領域 本發明大致關係於一種用以基材處理的設備,更明確 地說,係關於一種微型自備遠端電漿產生器與一基材處理 系統的整合。 發jfl背景: 半導體產品,例如積體電路的製造經常涉及於一例如 麥曰圓的基材上形成諸層。各種技術已經開發用於沉積製 程中’因為諸層經常涉及不同材料。例如,一金屬層可以 被 >儿積並作出圖案,以形成導電内連線,或一介電層可以 被形成’以將諸導電層彼此電氣絕緣。已經被用以形成介 電材料及其他材料層之其他類型的層形成製程為化學氣 相沉積(CVD)製程。 CVD製程包含熱沉積製程,其中前驅物氣體或蒸氣反 應於基材之受熱表面而反應,及電漿加強CVD(PECVD)製 程’其中電磁能係被施加至至少一前驅物氣體或蒸氣,以 將前驅物轉換為更活性之電漿。形成一電漿可以降低形成 一薄膜所需之溫度’增加形成逯率,或者是兩者。因此, 電漿加強製程於很多應用中係想要的。 經濟部智慧財產局員工消費合作社印製 當一層被形成於基材上時,一些材料係通常被沉積在 室的壁面上,及沉積系統之其他元件上成為殘留物。於室 的壁面上之材料大致係不想要的,因為殘留物可能累積, 因而變成粒子污染源,造成晶圓不合格。幾項清洗程序已 經被開發,以由室内去除殘留物。一種被稱為,,濕式清洗’ 第5頁 本紙張尺度適用中國國家標準(CNS)A4規格(2K) x 297公釐) 492045 五 經濟部智慧財產局員工消費合作社印製 A7 B7 發明說明() 之程序係藉由部份分解沉積室並以適當清洗流體沖洗表 面加以執行。其他類型之清洗製程利用一 ♦將、,丄 私水,以去除殘 留物,藉由將其轉換為一可以由室排氣系統所去除之揮發 產品。這些製程係被稱為”乾式”清洗。 a 電漿乾式m洗製秋大致有兩一般類型之電襞。一類型 形成一 C蒙於該處理室内’或”内部”。内部電漿清洗例使 用NF3及Cd6氣體,以形成自由氟,以去除在室内部之 殘留物。另一類型形成一電漿於一遠端電聚產生器中,然 後,將離子通入處理室中。此一遠端電漿清洗製程提供幾 項優點,例如對沒有内部電漿系統之沉積系統,提供一乾 式清洗能力。再者’一遠端電漿系統可能較有效率於將清 洗電漿前驅物或洛氣轉換為電聚’並在室外形成電聚以保 持室内部不受電漿形成製程’例如電聚加熱及賤射作用之 可能不想要副產物之影響。一遠端電漿系統的使用同時降 低了全氟化碳(P F C)之排放。另一方面,適用於c v D系統 之遠端電漿系統典型係昂貴及龐大,並且是不實用或不符 經濟效益。修整具有遠端電漿產生器之現行CVD系統可 能需要大量替換,這些係昂貴並費時的。 發明目的及概述: 本發明有關於一微型自備低成本遠端電漿產生器與 基材處理設備。於特定實施例中,遠端電漿產生器係安裝 在處理室的蓋部,在安置於處理室中之基材夾具上。一配 氣系統係提供以經由遠端電漿產生器,而導引清洗電漿前 第6頁 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) f請先閱讀背面之注意事項再填寫本頁) 訂---------線, 492045 A7 B7 五、發明說明() 驅物至處理室,用以產生清洗電漿物種,以於清洗操作 中,清洗該室。於沉積時’配氣系統將一或多數處理氣體 導引入處理室,而旁路過該遠端電漿產生器。一混合裝置 於清洗操作時,提供由遠端電漿產生器至處理室之清洗電 漿物種的短直接流動路徑,及於沉積處理時,提供長混合 路徑,用以混合多數處理氣體。該整合只需要對現行處理 室作少量變化,並係特別適用於以低成本及最少系統停機 時間,來修整這些室。該整合係足夠堅固,以能適用於不 同室架構中。除了大量降低PFC排放外,整合系統藉由降 低清洗時間,增加了產量,藉以降低操作成本。整合系統 可以藉由消除對室内部由於内部電漿清洗製程所造成之 有害作用,而延長了 CVD系統的壽命。 經濟部智慧財產局員工消費合作社印制衣 (請先閱讀背面之注意事項再填寫本頁) 依據本發明之一方面,一基材處理系統包含一外殼, 定義一處理室,及一基材支撐件,用以於基材處理時將基 材支撐於處理室中。該系統更包含一遠端電漿產生器,具 有一入口及一出口,及一配氣系統,用以將諸氣體導入處 理室中。配氣系統包含一三向閥,其有一閥入口,一第一 閥出口,及一第二閥出口。該三向閥係可調整以切換流於 第一閥路徑及第二閥路徑間,第一閥路徑係由閥入口至第 一閥出口,及第二閥路徑係由該閥入口至該第二閥出口。 一第一入口流導管係連接於遠端電漿產生器之出口及處 理罜足間。一遠端電漿導管係連接於該三向閥之第一閥出 口及遠场包水產生态之入口間。一第二入口流導管係連接 於該三向閥之第二閥出口及處理室之間。 第7頁
經濟部智慧財產局員工消費合作社印製 492045 A7 B7 五、發明說明() 於一些實施例中,配氣系統包含一第三入口流導管連 接至該處理室。該配氣系統包含一混合方塊,其具有一第 一流路徑,用以導引來自遠端電漿產生器之氣體流經由第 一入口流導管至該室。該混合方塊包含一第二流路徑,連 接於第二入口流導管及處理室間,及一第三流路徑,連於 該第三入口流導管及處理室間。該於混合方塊中之第二及 第三流路徑係至少部份重疊,並包含多圈,以混合來自第 二及第三入口流導管之處理氣體。 於一較佳實施例中,遠端電漿產生器係安裝在外殼的 蓋部上,其係大致安裝在室中之基材支撐件上。一陶瓷隔 離件係連接於遠端電漿產生器及處理室間,並包含一流動 通道,以完成於遠端電漿產生器及處理室間之氣體流通。 於室中之内部電漿製程中,處理氣體可以逆流向遠端電漿 產生器。於室一側之RF熱元件及於室另一側之安裝遠端 電漿產生器之接地安裝硬體間之處理氣體的出現可以造 成一二次電漿形成於該區域中。該二次電漿可以造成於室 内之電漿的不穩定性並負面影響沉積。陶瓷隔離件隔離開 室的 RF熱元件與接地安裝硬體,以避免二次電漿的形 成。 依據本發明之另一方面,一基材處理系統包含一外殼 定義一處理室,一基材支撐件,用以於基材處理時,支撐 一基材於該處理室内,及一遠端電漿產生器。該系統更包 含一第一氣體管路,一第二氣體管路,一第一氣體供給, 用於第一氣體,一第二氣體供給,用於一第二氣體,及一 第8頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) ·# 訂---------線' 經濟部智慧財產局員工消費合作社印製 492045 A7 B7___ 五、發明說明() 第三氣體供給,用於一第三氣體。一裝置係被提供以連接 第一氣體供給與第一氣體管路,以使第一氣體於第一操作 時,流經第一氣體管路,及遠端電漿產生器至處理室。於 第二操作時,該裝置連接第二氣體供給與第二氣體管路, 以使第二氣體經由第一氣體管路至該處理室,但旁路過該 遠端電漿產生器,連接第三氣體供給與第二氣體管路,以 使第三氣體流入處理室中,並於將第二及第二氣體通入處 理室前,將第二氣體及第三氣體混合在一起。 圖式簡單說明: 第1 A及1 B圖為依據本發明之化學氣相沉積設備之一實施 例的垂直剖面圖; 第1C及1D圖為描繪於第1A圖中之CVD室之分解立體 剖面圖; 第1 E圖為可能包含一或多室之多室系統中之系統監視器 及CVD系統之簡化圖; 第2圖為安裝在依據本發明之一實施例之第1A圖中之 CVD室之蓋上之遠端電漿產生器之立體圖; 第3圖為用以安裝在第2圖之CVD室蓋部之遠端電漿產 生器之安裝組件的分解立體圖; 第4圖為一簡化示意圖,顯示處理氣體流經第1 A圖之CVD 室及遠端電漿產生器; 第5 A-5C圖為依據本發明之一實施例之混合插入之立體 圖; 第9頁 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公f ) (請先閱讀背面之注意事項再填寫本頁) 訂---------線. 492045 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明() 第6圖為安裝在第1A圖 之CVD 室蓋部上之遠端電漿產生 器之另一立體圖 ;及 第7 圖為依據本發明之- -實施例製程的簡化流程圖。 圖號對照說明: 7 氣體源 8 氣體管路 9 混合系統 10 CVD系統 11 歧管 12 托架 12a 平坦面 13a 配氣面板 13b 穿孔 15 處理室 15a 室壁 15b 室蓋組件 16 槽型小孔 17 排氣充氣室 18 熱交換通道 19 介電質襯墊 20 室蓋 21 橫向延伸部 23 排氣通道 24 關閉閥 25 排氣出口 26 插入/移除開口 32 馬達 34 控制器 36 控制線路 37 處理機 38 記憶體 42 穿孔塊板 50a 監視器 50b 光筆 60 遠端電漿產生器 64 轉接器 66 隔離件 70 混合裝置 72 混合插件 74 槽 76 混合方塊 77 三向閥 第10頁 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 χ 297公t ) ---訂---------線· 492045 A7 B7 五、發明說明() 78 入口 80 入口 81 出π 82 入口 84 出口 90 氣體管路 91 入口 92 出口 93 出π 94 中間導管 95 導管 98 入口 108 流動路徑 1 10 流動路徑 1 14 孔徑 1 16 孔徑 120 壓力開關 122 壓力開關 123 連接器 124 連接器 126 壓力開關 128 冷卻劑管路 發明 詳細說明: I.例示基材處理系統 本發明的方法可以被執行之一適當基材處理系統係 示於第1A及1B圖中,其係為一 CVD系統10之垂直剖面 圖,並具有一真空或處理室15,其包含室壁15a及室蓋部 組件15b。室壁15a及室蓋組件15b係被第1C及1D圖中 被以分解及立體圖加以顯示。 A.處理室 CVD系統1 0包含一配氣歧管1 1,用以將處理氣體分 散至一在受熱托架12上之基材(未示出)上,該托架係對中 於處理室1 5。於處理時,基材(例如半導體晶圓)係定位在 托架12之平坦面(或略凸出)面12a上。托架12可以可控 第頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂---------線. 經濟部智慧財產局員工消費合作社印製 492045 A7
五、發明說明() 制地移動於一下裝載/卸載位置(繪於第1 A圖)及一上處理 位置(由第1 A圖之虛線所表示並示於第1 b圖)之間,其係 接近該歧管11。一中心板(未示出)包含感應器,用以提供 晶圓的位置的資訊。 沉積及載氣係經由傳統扁平圓形配氣面板1 3 a之穿孔 13b(第1D圖)而引入室15中。更明確地說,沉積處理氣 體經由入口歧管11(由第1B圖之箭頭4〇表示),經由傳統 穿孔塊板42及經由配氣面板1 3 a中之貫穿孔1 3 b而流入 處理室。 在到達歧管1 1前,沉積及載氣係被由氣體源7經由 氣體供給管路8(第1B圖)輸入至一混合系統9,其中諸氣 3豆被/½合然後被送入歧管1 1。一般而言,用於每一處理氣 體的供給管路包含(i)幾個安全關閉閥(未示出),其可以用 以自動或手動關閉處理氣體流之進入室中,及(H)質流控 制器(也未顯示)’其量測經由供給管路的氣體流量。當有 毒氣體被用於製程中時,幾個安全關閉閥被以傳統架構, 定位在每一氣體管路上。 執行於CVD系統1 〇中之沉積處理可以為一熱處理或 一電漿加強處理。於一電漿加強處理中,一 RF電源44施 加電源於配氣面板1 3 a與托架1 2間,以激勵處理氣體混 合物’以在面板1 3 a及托架1 2間之圓柱區域内形成一電 漿。(這區域將於此後被稱為”反應區域,,)電漿的構成反應 以沉積一想要薄膜於被支撐於托架1 2上之半導體晶圓的 表面上。RF電源44係為一混合頻率rf電源,其典型施 第12頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) (請先閱讀背面之注意事項再填寫本頁) 訂---------線. 經濟部智慧財產局員工消費合作社印製 492045 A7 ______ B7 五、發明說明() 加13·56ΜΗζ之高RF頻率(RF1)及3 60KHz之低RF頻率 (RF2)的電力’以加強引入真空室15中之反應物種的分 解。於一熱處理中,RF電源44將不會被限制,及處理氣 體混合物熱反應以沉積想要薄膜於被支撐於托架1 2上之 半導體晶圓的表面上,該托架丨2係被電阻加熱以提供熱 能給該反應。 於一電聚加強沉積處理中,電漿加熱整個處理室丨〇, 包含圍住排氣通道23及關閉閥24之室主體1 5a壁面。當 電装未導通或於一熱沉積處理時,一熱液體係循環經處理 室15之壁面15a,以維持該室於一高溫。於室15中之蓋 組件1 5 b中之這些熱交換通道1 8係如於第1 B圖所示。室 壁15a之其他部份中之通道並未示出。用以加熱室壁i5a 之流體包含典型流體類型,即水為主乙二醇或油為主傳熱 流體。此加熱(被”熱交換器”所稱為加熱)有利於降低或消 除不想要反應劑產物之凝結,並消除了可能污染製程之處 理氣體的揮發氣體及其他污染物,若這些揮發氣體與污染 物係滅結在冷卻真2通道之壁面並可能於沒有氣體流通 時,遷移回到處理室的話。 未儿積於一層中之氣體混合物之其餘部份包含反應 副產物係由室15為一真空泵(未示出)所抽出。明確地說, 諸氣體係排氣經由一包圍反應區之環形,槽狀小孔1 6進 入一環形排氣充氣室17。環形槽16及充氣室17係為室圓 柱側壁15a(包含壁上之上介電質襯塾19)及圓形室蓋2〇底 部間之間隙所定義。該槽形小孔16及充氣室17之360。 第13頁 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂---------線 經濟部智慧財產局員工消費合作社印製 492045 A7 R7
經濟部智慧財產局員工消費合作社印副衣 五、發明說明() 圓形對稱及均句性對於在晶圓上完成一均勻處理氣體流 係重要的,以在晶圓上沉積一均勻薄膜。 由排氣充氣室1 7,流經排氣充氣室i 7之橫向延伸部 21下之氣體通過一觀看埠(未示出),經由一向丁延伸氣體 通道23,通過一真空關閉閥24(其主體係與下室壁15& 一 體成型),並進入經由一前級管道(未示出)連接至外部真空 泵(未示出)之排氣出口 25。 托架12之晶圓支撐平台(較佳為鋁,陶瓷,或其組合) 係使用内藏單環内藏加熱元件加以作電阻性加煞,兮加熱 元件係作成兩圈之平行同心圓。加熱元件之外部份係鄰近 支撐件平台的圓周’而内部份則在具有較小半徑之同心圓 上。至加熱元件的接線通過托架1 2之主軸。 典型地,任一或所有室襯墊,氣體入口歧管面板,及 各種其他反應室硬體係由例如鋁,陽極化鋁或陶資之材料 作成。此一 CVD設備例係描述於美國專利由蘇特人所領 證之名為"CVD處理室’’之美國專利第5,558,7 1 7號案中。 該5,5 5 8,7 1 7號專利係受讓給本案之受讓人應用材料公 司,並於此被併入作為參考。 當晶圓係為一機械手臂(未示出)所傳送進出室丨5之 主體,經由在室1 0側之插入/移除開口 26時,一抬舉機構 及馬達3 2 (第1 A圖)上升及下降加熱托架組件1 2及其晶圓 抬舉銷12b。馬達32上升及下降托架12於一處理位置14 及一下方晶圓裝載位置之間。連接至供給管路8,配氣系 統,節流閥,RF電源44,及室及基材加熱系統之馬達, 第u頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) (請先閱讀背面之注意事項再填寫本頁) 訂---------線 492045 經濟部智慧財產局員工消費合作社印製 Α7 Β7 五、發明說明() 閥,流量控制器係為一在控制管路3 6 (只示出部份管路)上 之系統控制器34(第1B圖)所控制。控制器34取決於來自 光學感應器之回授而決定例如節流閥及基板之可動機械 組件的位置,這些係在控制器34的控制下,為適當之馬 達所移動。 於示於第1 B圖中之例示實施例中,系統控制器包本 一硬碟機(記憶體3 8),一軟碟機及一處理機3 7。該處理機 包含一單板電腦(SBC),類比及數位輸入/輸出板,界面板 及步進馬達控制板。CVD系統1 〇之各元件均符合維莎模 組歐洲(VME)標準,其定義板,卡及連接器尺寸及種類。 VME標準同時定義匯流排結構,具有1 6位元資料匯流排 及24位元位址匯流排。 系統控制器34控制CVD機器之所有活動。系統控制 器執行系統控制軟體,其係為一儲存在一電腦可讀取媒 體,例如s己憶體3 8之電腦程式。較佳地,記憶體3 $為一 硬碟機,但記憶體3 8也可以是其他類型之記憶體。電腦 程式包含諸指令組’其指示一特定處理的時序,氣體混 合,室壓力’室溫度,RF功率位準,基板位置,及其他 參數。其他儲存於記憶體裝置上之電腦程式包含例如軟碟 或其他類型適當機器也可以用以操作控制器34。 一用以沉積薄膜於基材上之製程或一清洗室之製 程可以使用可為控制器3 4所執行之電腦程式產品加以實 行。該電腦程式碼可以被以任何傳統電腦可讀取程式語 言,例如68000組合語言,C,c何,pascal或福傳或其他 第15頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公ϋ --- (請先閱讀背面之注意事項再填寫本頁) Μ 訂---------線· 492045 A7 五、發明說明( 語言加以撰寫》合適之程式碼係使用一傳統文字編輯器加 以輸入-單1案或多樓案中’並被儲存在例如—電腦中 疋記憶體系統之電腦可使用媒體,例如電腦之記憶體系統 中。若所輸入碼文字係高階語t,則冑被編譯,所^㈣ 碼然後被連結至預編輯視窗庫常式之目的m執行所 連結之編譯目的碼,系統使用者呼唤目的碼,使得電腦系 統載入於記憶體中之碼、然後’ CPU讀取並執行該:二 執行指定於程式中之工作。 於使用者及控制器34間之界面係經由一 CRT監視器 50a及一光筆50b,如於第1E圖所示,其係為於基材處理 系統中之系統監視器及CVD系統1〇之簡化圖,該處理系 統可以包含一或多數室。於一較佳實施例中,兩監視器5“ 被使用,一安裝在無塵室壁,給操作者使用及另一個則在 該壁面之後,給服務技術員使用。該兩監視器5〇a同時顯 示相同資訊,但只有一光筆50b可使用。於光筆50b中之 尖‘中之光感應益檢測由CRT顯示器所發射之光。為選擇 一特定螢幕或功能,操作者接觸顯示螢幕之指定區域並按 下在適當光筆50b上之按鈕。被接觸之區域改變其發亮色 彩’或一新名單或螢幕被顧示,而確認於光筆及顯示螢幕 間之通訊。除了光筆5 0 b外,其他裝置,例如鍵盤,滑鼠 或其他指點或通訊裝置也可以使用以允許使用者與控制 器34作通訊。 B·遠端電漿產生器之整合 第1A及2圖顯示一安裝在處理室15之蓋組件15b 第16頁 ‘紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) -----------------^ 經濟部智慧財產局員工消費合作社印製 492045 A7 B7 經濟部智慧財產局員工消費合作社印制衣 五、發明說明( 中之遠端電漿產生器60,其包含配氣面板13a及配氣歧管 1 1。安裝轉接器64安裝電漿產生器60於蓋組件15b上, 如由第1A及3圖所示。轉接器64係典叟由金屬作成。一 混合裝置7 0係連接至配氣歧管1 1之上游側(第1 A圖)。 混合裝置70包含一混合插入72安置於混合方塊76之槽 7 4中,用以混合處理氣體(第3圖)。一陶瓷隔離件6 6係 放置於安裝轉接器64及混合裝置70之間。一陶瓷間隔件 66係放置於安裝轉接器64及混合裝置70之間(第1 A及3 圖)。陶瓷隔離件66係由例如a1203(約度99%),鐵弗龍等 之陶瓷材料作成。當被安裝時,混合裝置70及陶瓷隔離 件66形成蓋組件15b之部份。隔離件66隔離開金屬轉接 器64與混合裝置7〇與配氣歧管n,以最小化形成於蓋組 件1 5b中之用於二次電漿的電位,這係如以下所詳述。如 於第2圖所示,一三向閥77控制處理氣體以直接或經由 遠端電聚產生器60流至處理室15。 遠端電衆產生器60係較佳為一微型自備單元,其可 以被方便地安裝在蓋組件丨5 b,並可以容易地整修現行 至,而不必大成本及費時修改。一適當單元係為由美國麻 川p柏之應用科學及技術公司所購得之astr〇n產生 器。該ASTRON產生器利用低場環形(LFT)電漿以分解一 處理氣體。於-例子中,電毅分解一包含例如nf3之含氣 氣體及例如氬之載氣的處理氣體,以產生自由氟,其係可 用以清洗在處理室1 5中之薄膜沉積。 如於第3及4圖所示,混合方塊%包含兩入口 78 , mi?頁 (請先閱讀背面之注意事項再填寫本頁) --------訂---------線· 經濟部智慧时產局員工消費合作社印製 492045 A7 B7__ 五、發明說明() 8 0,用以接收予以混合於其中之處理氣體,及一出口 81 在下方,氣體混合物經由該處離開。冷卻劑入口 8 2及冷 卻劑出口 84係提供用以循環一冷卻劑,烴由混合方塊 76,作冷卻用(第3圖)。處理氣體的混合發生於混合插件 72中。 第4圖例示出經CVD系統1 0之氣體流。一來自第一 氣體管路90之第一處理氣體由入口 91進入該三向閥77, 及於第一出口 92或第二出口 93離開。第一出口 92經由 遠端電漿產生器60導引中間導管94至連接至混合方塊76 之上入口 98之上導管95。於遠端電漿產生器60中之電漿 由第一處理氣體產生電漿物種,第一處理氣體然後經由上 導管95,混合裝置70,及配氣歧管1 1流入室1 5。如於第 1A圖所示,經由陶瓷插入66之安裝轉接器64之導管形 成上導管95,其係流體連接於遠端電漿產生器60及混合 裝置70之間。 參考第4圖,第二出口 93直接經由一入口導管96導 引至混合裝置70之混合方塊76之側入口 78,旁路過遠端 電漿產生器60。一第二處理氣體經由一第二氣體管路100 進入於側入口 80之混合方塊76。於一特定實施例,一蒸 發器1 0 1係連接至第二氣體管路1 00,用以蒸發供給至第 二氣體管路1 〇〇之液體前驅物。諸處理氣體係混合於混合 裝置70之混合插件72中。氣體混合物係離開混合方塊76 之出口 8 1並經由配氣歧管1 1進入室1 5。排出氣體經由排 氣出口 2 5離開室1 5。 第18頁 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公t ) (請先閱讀背面之注意事項再填寫本頁) L^]·- .線. 492045 A7 B7 五 經濟部智慧財產局員工消費合作社印製 發明說明() 可以由第5A圖之前視圖及篥 舉5 B及5 C圖之側視圖看 出,混合插入72包含多數流動路 ,^ ι 吻fe。由虛線1〇6所表示 之第一流動路徑直直延伸經插入71,a ^72之中心線(第5B及5C 圖),並流體連接於混合方塊76(m 1闽、、L 、吊4圖)c上入口 98及出 口 81間。由箭頭108所表示之笛 ,、名u弗一流動路fe係大致迁迴 於插件72之右側(第5A及5C圖、廿杨、六赚Α 口),並係流體連接於混合 方塊76(第4圖)之側入口 78及出〇 士叫 出口 81之間。由箭頭i i 〇 所表示之第三流動路徑迂迴經插件79 > — w , μ 卿件72 (左側(第5α及5Β 圖),並係流體連接於混合方塊76γ楚Λ同、、7 t 〜(罘4圖)足側入口 go及 出口 81之間。如由第5A圖所示,笛一芬贫一. 108, 1 10係部份地重疊於多個位置,較佳地,係於轉彎處, 以提供氣體的迎面混合’以進行全面混合。於接近插件72 之底部,流動路徑1 〇 8,1 1 〇分別經由孔徑1 1 4,1 1 6 (第 5 Α及5 Β圖)與第一流動路徑1 〇 6之最後部份合併進入中 心至一共同出口 ,其係流體連接至混合方塊76之出口 81(第4圖)。第二及第三流動路徑1〇8,11〇係遠長於第一 流動路徑10 6,並包含多圈轉,以產生擾流,以完成來自 入口 78,80之第一及第二處理氣體的完全混合。第一流 動路徑1 0 6係較佳短的並朝向以最小化產生於遠端電漿產 生器60中之電漿物種的再結合。於一實施例中,第一流 動路徑1 0 6係約1 · 5吋長及第二及第二流動路徑1 〇 8,1 ^ 〇 係均為7.5吋長。 三向閥77係被安裝至遠端電漿產生器6〇之側邊,如 於第6圖所示。三向閥7 7係典型為氣動操作,及包含一 第19肓 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公f ) (請先閱讀背面之注意事項再填寫本頁) -¾ 訂---------線- 經濟部智慧財產局員工消費合作社印製 492045 A7 B7_;_ 五、發明說明() 連接於入口 9 1及第一出口 92間之第一壓力開關1 20,及 連接於入口 91及第二出口 93間之第二壓力開關122。三 向閥77之入口 91係連接至第一氣體管路90。三向閥77 之第一氣體管路90係連接至接至遠端電漿產生器60之中 間導管94。三向閥77之第二出口 93係連接至接至室15 之入口導管9 6。第一壓力開關1 2 0係常閉,以阻擋由入口 9 1至第一出口 92之流動,而第二壓力開關1 22係常開, 以允許由入口 9 1至第二出口 9 3之流動。於此模式中,第 一處理氣體經由入口導管96至室15,旁路過遠端電漿產 生器60。當三向閥77切換至另一模式時,第一壓力開關 1 20係被打開及第二壓力開關1 22係被閉合,以導引第一 處理氣體在進入室15前,經由中間導管94至遠端電漿產 生器60。 較佳地,三向閥77確保只有為遠端電漿產生器60所 分解之氣體被通入經遠端電漿產生器60,並使其他氣體離 開遠端電漿產生器60。雖然,也可能使其他氣體例如臭氧 流經遠端電漿產生器60,在電漿關閉下,但此實施的長期 影響並不知道。三向閥77之使用避免了任何潛在的問題。 再者,三向閥77的使用允許在不同處理中,相同氣體管 路90被不同氣體所使用而通入室1 5中,藉由將諸氣體如 所需地導入遠端電漿產生器60或旁路過遠端電漿產生器 60。此架構最小化所需之氣體管路,加強了系統1 0之服 務能力,並使對配氣系統所修改現行室之替換量最少。 如於第6圖所示,第一連接器123連接第一氣體管路 第20頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) # 訂---------線 492045 A7
90之上及下部份一起及第二連接器124連接入口導管% 之上及下部份一起。第一氣體管路9〇之上部份係附著至 运端電漿產生器60,而第一氣體管路90及入口導管% 之下部份係附著至室1 5之側。在第一連接器丨2 3之第— 氣體管路90之上部份與在第二連接器124之入口導管% 之上部份之斷開允許蓋組件1 5b之容易及快速移除。三向 閥77係附著至遠端電漿產生器60,其係安裝至蓋組件 1 5 b。閥7 7 ’產生器ό 0 ’及蓋組件1 5 b可以方便地被移除 並重新組合在一起。 第6圖顯示一安全壓力開關126,連接第一氣體管路 90至三向閥77,以監視於入口區域之壓力。若壓力累積 至一預定位準,則安全壓力開關126產生一信號,以關閉 輸入氣體流,以防止過多壓力累積及洩漏。對於例如 之有毒或危險氣體,這是特別重要的。第6圖同時也示出 一冷卻劑管路1 28,其係連接至遠端電漿產生器6〇,用以 傳送冷卻劑,以冷卻產生器60。 示於第1A及6圖中之例示實施例具有配氣系統,其 中一混合裝置70,其提供一流動路徑1 〇6,用於給由遠端 電漿產生器60來之氣體進入室15中。混合裝置70更包 含雨部份重疊流動路徑1 08,1 1 〇,用以混合來自入口氣體 管路96,100之處理氣體,並將混合物送入室i2(第5A-5C圖)。配氣系統典型操作於兩模式之一。於沉積模式時, 第一處理氣體由第一氣體管路90流經三向閥77及入口導 管96至混合裝置70,及第二處理氣體由第二氣體管路1〇〇 第21頁 本纸張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公餐) C請先閱讀背面之注意事項再填寫本頁) I n I ΜβΜ» n n ϋ 一OJ· n n aiv I an n gat I \ 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 492045 A: B7_ 五、發明說明() 流至混合裝置70(第4圖)。混合裝置70混合第一及第二 處理氣體並配送混合物至室1 5,用以沉積一層於一基材 上。於一清洗模式中,用於第二處理氣體的供給被關閉。 第一處理氣體係為清洗電漿前驅物,並由第一氣體管路90 流經三向閥77及中間導管94至遠端電漿產生器60(第4 圖)。遠端電漿產生器60形成一電漿以分解前驅物成為清 洗電漿物種,並配送電漿物種經導管95及混合裝置70至 室15,用以清洗於室1 5中之沉積物。當然,操作的其他 模式也可以實行。例如,另一模式可以涉及將由第一氣體 管路90來之第一處理氣體經由遠端電漿產生器60至室 15,及一第二處理氣體直接經由第二氣體管路 100至室 15 〇 可以了解的是,由於其模組結構,配氣系統可以相當 容易地被修改。例如,第3圖及第5A-5C圖之混合插件72 可以被具有不同混合架構之插件所替換。混合方塊76可 以被具有其他入口之方塊所替換,以接收來自兩個以上之 氣體管路之氣體。這些修改提供氣體流的進一步選擇,以 實施氣體混合之其他模式,並輸送至室1 5,以執行不同處 理。
示於第1 A及3圖之陶瓷隔離件66的使用消除了可能 形成於蓋組件1 5b中之二次電漿所造成之不穩定。於示於 第1A圖中之系統架構中,處理氣體可以回流經由導管所 形成之上導管95經由安裝轉接器64及陶瓷插件66。當一 電漿形成於室1 5中時,配氣歧管1 1及混合裝置70被RF 第22頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公f ) (請先閱讀背面之注意事項再填寫本頁) 訂---------線 492045 A7 B7 五、發明說明( 經濟部智慧財產局員工消費合作社印製 加熱。金屬安裝轉接64係典型被接地。若碰撞—例如& 之電漿的氣體出現在RF熱歧管1 1及接地轉接器64間之 導管95中時,一二次電漿可能形成在上導管95中。- —'次 電漿可能造成在室1 5中之電漿的不穩定性,並使沉積之 薄膜具有差品質,例如,一多孔堆疊膜。例如氦之氣體之 出現於上導管95中是可能的,例如,於A處理基材時, 這係常見於形成一内部堆疊膜中,一 PE(電漿加強)膜之冗 積及S A (/入大氣壓)膜之沉積間。n 2處理係典型為使用氡 及氮氣之電漿處理,並碰撞於室15中之電漿。於A處理 時,氦可能回流入上導管95中。因為配氣歧管丨丨及混合 裝置70為RF加熱及安裝轉接器64被接地,所以於配氣 歧管1 1及轉接器64間之上導管95中出現氦可能於導管 95中造成二次電漿,並使室丨5内之電漿不穩定。藉由使 金屬轉接器64與混合裝置7〇及配氣歧管n分隔,陶資 隔離器66最小化於上導管95中產生二次電漿的可能。 可以了解的疋,一次電漿係為一當内部電漿處理進行 於該室中’的-可能問冑。二次電漿係於n2處理中,因 為用於N2及He之離子化電位於其間係為最低的。 II·例示處理流 第7圖之簡化流程圖例+ 罔W不用弟1A-7圖之CVD系統 1 0 ’以執行沉積及清洗程序。於、 於紅序開始足步驟2 0 0,一 處理模式係被選擇。於沉積趑斗士,土 μ 、/儿償梃式中(步驟2〇2),一基材被 提供於室15(步驟204)中。於+锁^ 山 於步驟206中,三向閥77係被 切換,以旁路開遠端電漿產生哭 厘生奋60(罘4圖)。第一處理氣 2请先閱讀背面 <注意事項再填寫本頁) -¾ 訂· -線 第23頁 492045
AT B7 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 五、發明說明( 體係由第一氣體管路9〇經三向闕77及入口導管96導引 至混合裝置7〇(步驟2〇8)。於步騾210中,一第二處理氣 體係經由第二入口管路1 0 〇 (第4圖)通入混合裝置7 0。於 步驟212中,三向閥77(第5a-5C圖)混合第一及第二處理 氣體。氣體混合物係通入室1 5中,以沉積一層於基材上(步 驟214)。於一例子中,第一處理氣體包含臭氧,及第二處 理氣體包含TEOS。 於第7圖之清洗模式(步驟216)中,三向閥77(第*圖) 係被切換至以將氣流導至遠端電漿產生器6〇(於步驟 218)。一清洗電漿前驅物係由第一氣體管路9〇經由三^ 閥W至遠端電聚產生器6〇,其分解前驅物,以產生 電衆物種(步驟22。)。於步驟222,電裝物種係由遠端電裝 產生益60經由混合裝置7〇通入室15,以清洗室b(第4 圖)。於-例子中,清洗電渡前驅物包含含氟氣體,例如 nf3及氬。於遠端電装產生器6〇中之電漿分解nf3 生自由氟’以清洗於處理室1 5巾之沉積物。 吾人發現若於遠端電衆產生器6〇中之壓力較高 清洗時間可以減少’該壓力係較佳高於室15中之壓力 :如’於遠端電聚產生器60中之壓力係較佳至少…: 瑕好至少30倍於室15中之龎Λ。认 ^ 壓力於一特定實施例中,於 1: 15中之壓力係約〇·25托 、 陆 今於逆袖電漿產生器00中夕 心力係約8托耳。系統控制器34可以 ^ 用以^^制於%端雷 漿產生器6〇中之壓力及室15中之壓力。 , 雖然上述為本發明特定實施例的完整說明,但各種修
第24X 本紙張尺度適財關家鮮(CNS);y祕(21G χ (請先閱讀背面之注意事項再填寫本頁) -------訂---------· 492045 A7 B7 五、發明說明( 改,變化及替換也可以使用。例如,三向閥可以為其他流 控制裝置所替換,用以導引氣體流於其他流動路徑間。〒 合裝置可以有其他架構及混合式樣。其他變化 ^ 本技藝者係明顯的。這些等效及替換係包本太 “、‘於 。赘明之r _ 中。因此,本發明之蔬園並夫限定於阱捕4 i &園 》方之r 中。因此’本發明之範圍並未限定於所述之會、 祀 是由以下之申請專利範圍及其等效範圍所定義。τ, 而 (請先閱讀背面之注意事項再填寫本頁) — — — — — — — ·11111111 . 經濟部智慧財產局員工消費合作社印製 第25頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t )

Claims (1)

  1. 492045 A8 B8 C8 D8 六、申請專利範圍 1。一種棊材處理系統,該系統至少包含: 一外殼,定義一處理室; (請先閱讀背面之注意事項再填寫本頁) 一基材支撐件,用以於基材處理時,將基材支撐於 該處理室中; 一遠端電聚產生器,具有一入口及一出口;及 一配氣系統,用以將諸氣體引入處理室中,該配氣 系統包含: 一三向閥,具有一閥入口,一第一閥出口 ,及一第 二閥出口,該三向閥係可調整以切換流動於第一閥路徑 及第二閥路徑間,第一閥路徑係由閥入口至第一閥出 口 ,第二閥路徑係由閥入口至第二閥出口; 一第一入口流導管,連接於遠端電漿產生器及處理 室間; 一遠端電漿導管,連接於該三向閥之第一閥出口及 遠端電漿產生器之入口間;及 一第二入口流導管,連接於該三向閥之第二閥出口 及處理室之間。 經濟部智慧財產局員工消費合作社印製 \2.如申請專利範圍第1項所述之基材處理系統,其中上述 之三向閥係被氣動操作。 3.如申請專利範圍第;1項所述之基材處理系統,其中上述 之配氣系統更包含一第三流導管連接至處理室。 第26頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 經濟部智慧財產局員工消費合作社印製 492045 A8 B8 C8 D8 六、申請專利範圍 Λ4.如申請專利範圍第:3項所述之基材處理系統,其中上述 之配氣系統更包含一蒸發器,連接至該第三入口流導 管。 \5 .如申請專利範圍第3項所述之基材處理系統,其中上述 ' - 之第一入口流導管,第二入口流導管,及第三入口流導 管係連接至該處理室,於該大致被安置於基材支撐件上 之室入口處。 6. 如申請專利範圍第3 ,項所述之基材處理系統,其中上述 , \, . . - . 之配氣系統更包含一混合裝置,該混合裝置具有一出口 連接至該處理室,一第一入口連接至該第一入口流導 管,一第二入口連接至該第二入口流導管,及一第三入 口連接至該第三入口流導管。 7. 如申請專利範圍第6項所述之基材處理系統,其中上述 之混合裝置具有一第一流路徑於該第一入口及出口 間,一第二流路徑於該第二入口及出口間,及一第三流 路徑於該第三入口及出口間,該第二流路徑及第三流路 徑係至少部份重疊。 '8.如申請專利範圍第7項所述之基材處理系統,其中上述 之第一流動路徑之長度係遠端於第二及第三流動路 徑。 第27頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) •淨· m HI Hi 11 n n n 一°4I n n n ϋ ϋ I— I I n . 492045 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 '9.如申請專利範圍第7項所述之基材處理系統,其中上述 之第二及第三流動路徑包含多數圈轉。 10.如申請專利範圍第1項所述之基材處理系統,其中上述 之遠端電漿產生器係安裝於大致在基材支撐件上之處 理室的蓋部上。 '1 1 ·如申請專利範圍第1 〇項所述之基材處理系統,更包 含:一陶瓷隔離件,連接於該遠端電漿產生器及處理室 間,該陶瓷間隔件包含一流動通道形成了連接於遠端電 漿產生器之出口及處理室間之第一入口流導管之一部 份。 1 2.如申請專利範圍第1 1項所述之基材處理室,其中上述 之處理室包含一配氣歧管具有多數出口孔徑安置於該 基材上’該配氣歧管係流體連接至遠陶竞隔離件之流f 通道。 'U .—種基材處理系統,至少包含: 一外殼,定義一處理室; 一基材支撐件,用以於基材處理時,支轉—基材於 處理室中; 一遠端電漿產生器; 一第一氣體管路; 第28頁 本I氏張尺度適財_家鮮(CNS)A4規格⑽χ挪公餐) "^---- (請先閲讀背面之注意事項再填寫本頁) - 訂---------線! -ϋ n I 1 ϋ ϋ n H ϋ ai·— «I ϋ ·ϋ n fi n I
    經濟部智慧財產局員工消費合作社印製 申凊專利範圍 一第二氣體管路; 一用於第一氣體之第,氣體供給; 一用於第二氣體之第二氣體供給; 一用於第三氣體之第彡氣體供給;及 連接機構,用以於第/操作時,連接第一氣體供給 至第一氣體管路,以使第〆氣體流經第一氣體管路及遠 端電漿產生器至該處理室,及於第二操作時,連接第二 氣體供給與第一氣體管路,使第二氣體流經第一氣體管 路至處理室,旁路過該遠端電漿產生益’連接該第三氣 體供給與第二氣體管路,以使弟二氣體流入處理室中, 並於第二及第三氣體進入處理主如’>扣合弟 >一氣體及第 三氣體。 1 4.如申請專利範圍第1 3項所述之基材處理系統,更包含 一陶瓷隔離件,具有一流體通道流體連接於該遠端電漿 產生器及處理室間。 'U ·如申請專利範圍第14項所述之基材處理系統,其中上 述之處理室包含一配氣歧管,其具有多數出口孔徑安置 於基材上,該配氣歧管係流體連接於陶瓷隔離件之流體 通道。 1 6·如申請專利範圍第1 3項所述之基材處理系統,其中上 述之外殼包含一蓋部,安置於基材支撐件之上及其中該 第29頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂---------線L 492045 A8 B8 C8 D8 經濟部智慧財產局員工消費合作社印製 、申請專利範圍 遠端電漿產生器係安裝於該裏部上。 \7·如申請專利範圍第13項所述之基材處理系統,更包含 一蒸發器連接至該第二氣體管路° 1 §.如申請專利範圍第1 3項所述之'基材處理系統,更包含 機構,用以控制於遠端電漿產生器之壓力及於處理室中 之壓力。 1 9.如申請專利範圍第1 §項所述之基材處理系統,其中上 述之控制機構包含指令,用以提供於遠端電漿產生器中 之壓力,其係高於處理室中之壓力。 2 0 ·如申請專利範圍第1 9項所述之基材處理系統,其中上 述之控制機構包含指令,用以提供於遠端電漿產生器中 之壓力,其係至少10倍於處理室中之壓力。 ·如申請專利範圍第20項所述之基材處理系統,其中上 述之控制機構包含指令,用以提供於遠端電漿產生器中 之壓力,其係至少約3 0倍於處理室中之壓力。 第30頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) .淨: 訂---------線!
TW090110215A 2000-04-28 2001-04-27 Integration of remote plasma generator with semiconductor processing chamber TW492045B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/561,325 US6387207B1 (en) 2000-04-28 2000-04-28 Integration of remote plasma generator with semiconductor processing chamber

Publications (1)

Publication Number Publication Date
TW492045B true TW492045B (en) 2002-06-21

Family

ID=24241489

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090110215A TW492045B (en) 2000-04-28 2001-04-27 Integration of remote plasma generator with semiconductor processing chamber

Country Status (6)

Country Link
US (1) US6387207B1 (zh)
EP (1) EP1150332A3 (zh)
JP (1) JP5214832B2 (zh)
KR (1) KR100715075B1 (zh)
SG (1) SG90772A1 (zh)
TW (1) TW492045B (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI471929B (zh) * 2007-07-11 2015-02-01 Sosul Co Ltd 電漿蝕刻設備與蝕刻晶圓之方法
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
TWI743664B (zh) * 2019-01-30 2021-10-21 美商應用材料股份有限公司 用以清洗一真空腔室之方法、用以清洗一真空系統之方法、用於一基板之真空處理之方法、及用於一基板之真空處理的設備
TWI774308B (zh) * 2020-04-09 2022-08-11 美商應用材料股份有限公司 用於高頻處理的蓋堆疊

Families Citing this family (585)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3381774B2 (ja) * 1997-12-24 2003-03-04 東京エレクトロン株式会社 CVD−Ti膜の成膜方法
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
US6868856B2 (en) * 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7025866B2 (en) * 2002-08-21 2006-04-11 Micron Technology, Inc. Microelectronic workpiece for electrochemical deposition processing and methods of manufacturing and using such microelectronic workpieces
US6767836B2 (en) 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US20040129385A1 (en) * 2003-01-02 2004-07-08 International Business Machines Corporation Pre-loaded plasma reactor apparatus and application thereof
US6818249B2 (en) * 2003-03-03 2004-11-16 Micron Technology, Inc. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
WO2004085703A1 (ja) * 2003-03-25 2004-10-07 Tokyo Electron Limited 処理装置及び処理方法
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US6872909B2 (en) * 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
US6830624B2 (en) * 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050178333A1 (en) * 2004-02-18 2005-08-18 Asm Japan K.K. System and method of CVD chamber cleaning
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US20050227502A1 (en) * 2004-04-12 2005-10-13 Applied Materials, Inc. Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
KR101134437B1 (ko) * 2005-06-21 2012-04-09 주식회사 원익아이피에스 하부주입 rpg 세정용 공정챔버
KR100706251B1 (ko) * 2005-07-14 2007-04-12 삼성전자주식회사 반도체 제조 장치 및 냉매 순환 방법
US20070042131A1 (en) * 2005-08-22 2007-02-22 Applied Materials, Inc., A Delaware Corporation Non-intrusive plasma monitoring system for arc detection and prevention for blanket CVD films
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
TWI366876B (en) 2006-05-30 2012-06-21 Applied Materials Inc A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080087642A1 (en) * 2006-09-25 2008-04-17 Sawin Herbert H Method for removing surface deposits in the interior of a chemical vapor deposition reactor
US7849919B2 (en) * 2007-06-22 2010-12-14 Lockheed Martin Corporation Methods and systems for generating and using plasma conduits
US20090090382A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K. Method of self-cleaning of carbon-based film
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7871926B2 (en) * 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090120364A1 (en) * 2007-11-09 2009-05-14 Applied Materials, Inc. Gas mixing swirl insert assembly
US7993462B2 (en) 2008-03-19 2011-08-09 Asm Japan K.K. Substrate-supporting device having continuous concavity
US20090246399A1 (en) * 2008-03-28 2009-10-01 Asm Japan K.K. Method for activating reactive oxygen species for cleaning carbon-based film deposition
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090297731A1 (en) * 2008-05-30 2009-12-03 Asm Japan K.K. Apparatus and method for improving production throughput in cvd chamber
US20090325391A1 (en) * 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8133555B2 (en) * 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR101690327B1 (ko) * 2009-03-31 2016-12-27 램 리써치 코포레이션 플라즈마 어레스터 인서트
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
SG10201501824XA (en) * 2010-03-12 2015-05-28 Applied Materials Inc Atomic layer deposition chamber with multi inject
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
KR101893471B1 (ko) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
WO2013139878A2 (en) 2012-03-20 2013-09-26 Mapper Lithography Ip B.V. Arrangement and method for transporting radicals
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
WO2013162972A1 (en) 2012-04-25 2013-10-31 Applied Materials, Inc. Process chamber having separate process gas and purge gas regions
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
KR20140023807A (ko) * 2012-08-17 2014-02-27 삼성전자주식회사 반도체 소자를 제조하는 설비
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9343293B2 (en) 2013-04-04 2016-05-17 Applied Materials, Inc. Flowable silicon—carbon—oxygen layers for semiconductor processing
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9142393B2 (en) 2013-05-23 2015-09-22 Asm Ip Holding B.V. Method for cleaning reaction chamber using pre-cleaning process
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US20160002784A1 (en) 2014-07-07 2016-01-07 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for depositing a monolayer on a three dimensional structure
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US9981293B2 (en) 2016-04-21 2018-05-29 Mapper Lithography Ip B.V. Method and system for the removal and/or avoidance of contamination in charged particle beam systems
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10934620B2 (en) 2016-11-29 2021-03-02 Applied Materials, Inc. Integration of dual remote plasmas sources for flowable CVD
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
JP7176860B6 (ja) * 2017-05-17 2022-12-16 アプライド マテリアルズ インコーポレイテッド 前駆体の流れを改善する半導体処理チャンバ
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11077410B2 (en) 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR102610827B1 (ko) * 2018-12-20 2023-12-07 어플라이드 머티어리얼스, 인코포레이티드 개선된 가스 유동을 처리 챔버의 처리 용적에 공급하기 위한 방법 및 장치
US11721527B2 (en) * 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US20230005765A1 (en) * 2021-07-02 2023-01-05 Applied Materials, Inc. Semiconductor processing chamber adapter
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69017271T2 (de) * 1989-06-15 1995-06-22 Semiconductor Energy Lab Gerät zur Bearbeitung mittels Mikrowellen in einem magnetischen Feld.
JP3468312B2 (ja) * 1994-07-29 2003-11-17 株式会社三菱化学ヤトロン アルカリホスファターゼの検出方法
JP3107275B2 (ja) * 1994-08-22 2000-11-06 東京エレクトロン株式会社 半導体製造装置及び半導体製造装置のクリーニング方法
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5935334A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6079426A (en) * 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
US6143084A (en) * 1998-03-19 2000-11-07 Applied Materials, Inc. Apparatus and method for generating plasma

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI471929B (zh) * 2007-07-11 2015-02-01 Sosul Co Ltd 電漿蝕刻設備與蝕刻晶圓之方法
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
TWI697942B (zh) * 2012-12-21 2020-07-01 美商諾發系統有限公司 用於遠距離電漿原子層沉積之自由基來源設計
US11053587B2 (en) 2012-12-21 2021-07-06 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10494717B2 (en) 2015-05-26 2019-12-03 Lam Research Corporation Anti-transient showerhead
US11608559B2 (en) 2016-12-14 2023-03-21 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11101164B2 (en) 2016-12-14 2021-08-24 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
TWI759183B (zh) * 2019-01-30 2022-03-21 美商應用材料股份有限公司 用以清洗一真空腔室之方法、用以清洗一真空系統之方法、用於一基板之真空處理之方法、及用於一基板之真空處理的設備
TWI743664B (zh) * 2019-01-30 2021-10-21 美商應用材料股份有限公司 用以清洗一真空腔室之方法、用以清洗一真空系統之方法、用於一基板之真空處理之方法、及用於一基板之真空處理的設備
TWI774308B (zh) * 2020-04-09 2022-08-11 美商應用材料股份有限公司 用於高頻處理的蓋堆疊
US11499231B2 (en) 2020-04-09 2022-11-15 Applied Materials, Inc. Lid stack for high frequency processing
US11846011B2 (en) 2020-04-09 2023-12-19 Applied Materials, Inc. Lid stack for high frequency processing

Also Published As

Publication number Publication date
JP5214832B2 (ja) 2013-06-19
JP2002057114A (ja) 2002-02-22
SG90772A1 (en) 2002-08-20
KR100715075B1 (ko) 2007-05-07
EP1150332A3 (en) 2005-03-23
KR20010098961A (ko) 2001-11-08
EP1150332A2 (en) 2001-10-31
US6387207B1 (en) 2002-05-14

Similar Documents

Publication Publication Date Title
TW492045B (en) Integration of remote plasma generator with semiconductor processing chamber
US6830624B2 (en) Blocker plate by-pass for remote plasma clean
TW460959B (en) Accelerated plasma clean
US10903071B2 (en) Selective deposition of silicon oxide
CN105316651B (zh) 抑制喷头背面寄生等离子体的方法和装置
US7037376B2 (en) Backflush chamber clean
KR101081628B1 (ko) 배기 개구를 특징으로 하는 가스 분배 샤워헤드
TWI270926B (en) Systems and methods for remote plasma clean
TW505953B (en) Dilute remote plasma clean
CN105088189B (zh) 具有多孔挡板的低体积喷淋头
US6614181B1 (en) UV radiation source for densification of CVD carbon-doped silicon oxide films
TW451286B (en) Apparatus and methods for upgraded substrate processing system with microwave plasma source
CN107523804A (zh) 用于膜轮廓调节的喷头帘式气体方法和系统
US6277347B1 (en) Use of ozone in process effluent abatement
KR20050088454A (ko) 챔버 에지에서의 세정율을 개선하는 차단기 플레이트우회로 설계
WO2018200288A1 (en) Euv photopatterning and selective deposition for negative pattern mask
JPH11152573A (ja) ガス混合装置及び方法
CN101765464A (zh) Hdp-cvd应用的高轮廓最小接触工艺套组
JP2017017180A (ja) プラズマ処理装置およびそれに用いる排気構造
CN107833845A (zh) 低氧环境下通过控制暴露于vuv光减少表面和嵌入衬底电荷
US6523563B2 (en) Modular gas panel closet for a semiconductor wafer processing platform
JP2020155625A (ja) 基板処理装置及び半導体装置の製造方法及びプログラム
JP3402713B2 (ja) 熱処理装置
US6946368B1 (en) Reduction of native oxide at germanium interface using hydrogen-based plasma
TW202104656A (zh) 噴淋頭護罩

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees