TWI404142B - 於光阻剝除槽中自基板上移除光阻之方法 - Google Patents

於光阻剝除槽中自基板上移除光阻之方法 Download PDF

Info

Publication number
TWI404142B
TWI404142B TW095111519A TW95111519A TWI404142B TW I404142 B TWI404142 B TW I404142B TW 095111519 A TW095111519 A TW 095111519A TW 95111519 A TW95111519 A TW 95111519A TW I404142 B TWI404142 B TW I404142B
Authority
TW
Taiwan
Prior art keywords
temperature
low
photoresist
dielectric material
stripping
Prior art date
Application number
TW095111519A
Other languages
English (en)
Other versions
TW200644123A (en
Inventor
Erik A Edelberg
Gladys S Lo
Jack K Kuo
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200644123A publication Critical patent/TW200644123A/zh
Application granted granted Critical
Publication of TWI404142B publication Critical patent/TWI404142B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

於光阻剝除槽中自基板上移除光阻之方法
本發明係關於於光阻剝除槽中自基板上移除光阻之方法。
藉由包括沉積法、蝕刻法及光阻剝除法之技術來處理半導體基板材料,諸如矽晶圓。半導體積體電路(IC)製程包括在基板上形成裝置。在該等製程期間,將導電及絕緣材料層沉積於基板上。光阻可作為遮罩塗覆且可將光阻圖案化以保護無需蝕刻處的下層材料部分。蝕刻過程完成後,藉由剝除技術將光阻自該結構移除。
在光阻剝除槽中自半導體基板剝除光阻之方法之一較佳實施例包含在光阻剝除槽中支撐一半導體基板。該半導體基板包括低k介電材料及覆蓋該低k介電材料之光阻層。該低k介電材料具有一熱降解溫度。自處理氣體產生遙距電漿,且將在高於該低k介電材料之熱降解溫度之溫度下之含有活性物質之氣體提供於該光阻剝除槽中。在該電漿剝除槽中將該等活性物質冷卻至低於該介電材料之熱降解溫度之溫度。以該經冷卻之活性物質自半導體基板剝除該光阻層,同時將該半導體基板維持在不超過該低k介電材料之熱降解溫度之溫度下。
在一較佳實施例中,該低k介電材料為有機低k介電材料。
在一較佳實施例中,藉由使該等活性物質穿過面對該半導體基板之導熱氣體分佈元件之流道來使其冷卻。
在一較佳實施例中,藉由基板支撐加熱半導體基板,該基板支撐溫度設置為低於在該基板支撐上所承載之半導體基板之低k介電材料的熱降解溫度。
在半導體裝置製造過程中使用光阻剝除槽以移除用作半導體結構之"軟遮罩"之光阻(其亦稱作光阻(photoresist))。一般地,在已蝕刻一或多層以在該等層中形成特徵後,自該半導體結構之底層移除光阻。在裝置製造過程中可進行多次光阻剝除。
可在光阻剝除槽中進行以自半導體結構移除光阻之一剝除技術為乾式剝除,其亦係指"灰化"。乾式剝除使用電漿乾式蝕刻技術。
可使用遙距電漿源來製造用於乾式剝除半導體處理中之光阻遮罩的遙距電漿。習知電漿源在與處理基板相同之處理槽中產生離子化及活性中性粒子,及紫外(UV)光子。然而,離子轟擊可導致特定材料(諸如低k介電材料)之完整性降級及損失。相反,在遙距電漿源系統中,該處理基板位於遙距電漿源"下游",且該遙距電漿源可傳送僅含有長效活性物質之氣體從而幫助蝕刻反應以在剝除槽中移除光阻層。
然而,當在光阻剝除法中使用高功率電漿處理技術時,遙距電漿源可發生非所要之基板加熱。施加高功率(例如,藉由使用微波能)來製造用於剝除之遙距電漿之處理氣體導致將活性物質加熱至高溫。在該等方法中,熱活性物質可為處理基板傳輸足夠量之熱以使得該基板達到非所要之高溫。
然而,用於形成半導體基板之材料蝕刻速率、材料之蝕刻選擇性及材料特性可很大程度上取決於該處理基板在電漿處理過程中達到之最高溫度。舉例而言,若該基板變得太熱,則在該基板表面可形成不可控制之處理條件,導致非所要蝕刻反應及對溫度敏感材料之損傷。
可在多層相互連接應用中使用低k介電材料。舉例而言,為了降低連接矽積體電路之獨立裝置之多層配線的RC延遲,可使用包括低k介電材料之多層電鍍金屬結構。低k介電材料具有小於約4之介電常數。低k介電材料可為有機材料、無機材料(意即,SiO2 相關材料)或混合材料(其既含有碳基團亦含有矽基團)。
對於該等半導體結構,在蝕刻低k介電材料後,在一光阻剝除槽中將光阻層剝除。然而,對於該光阻剝除方法,成功移除光阻層而不損傷低k介電材料,意即不增加該低k介電材料之k值或不使膜完整性降級是有困難的。舉例而言,當在光阻剝除方法中使用氧電漿時,低k介電材料可經氧化而損傷。在光阻剝除方法中,氧可擴散至低k介電材料中。高溫增加氧擴撒至該等材料之速率。結果,低k介電材料之k值可增加且膜完整性可降低,由此消除使用低k介電材料之優勢。結果,需要控制基板溫度以將導致氧過度擴散之問題降至最低。
因此,在光阻剝除方法中,需要將基板溫度維持在低於特定最高溫度以下從而維持所要之蝕刻選擇性,以及維持該基板之層的所要特性。然而,已確定該遙距電漿之組份當引入至該剝除槽中時可為足夠高之溫度以使得到達基板之活性物質將該基板加熱至高於最高溫度之溫度。更特定言之,若分佈於基板之經處理表面的活性物質之溫度超過最高溫度,則該等活性物質可將基板加熱至高於最高溫度之溫度。結果,可損傷該基板之一或多層且該方法之蝕刻選擇性可降低至一不可接受之值。
在光阻剝除槽中,可將基板支撐於一溫控壓板。當將基板支撐於該壓板時,該等壓板適合將該基板維持在所要溫度,且槽壓力足夠高以在該基板及該壓板之間獲得優良導熱性。然而,在光阻剝除方法中,該等系統在真空條件下(~1 Torr或更小)運作,在該條件下基板與壓板之間熱傳導一般很少。因此,當將基板支撐於壓板時即使該壓板之溫度設定為低於最高溫度,該等系統在光阻剝除過程中在較低槽壓力下亦不能令人滿意地控制基板溫度。
然而,已確定在該光阻剝除槽中可冷卻遙距電漿源產生之活性物質,從而較佳最小化槽中處理之基板的加熱。較佳可藉由導熱氣體分佈元件來冷卻該等活性物質。該氣體分佈元件適於將活性物質冷卻至足夠低之溫度以使得該等活性物質在光阻剝除方法中不導致基板溫度超過較佳之最高溫度。該較佳之最高溫度取決於該處理基板之層的組合物。例如,該氣體分佈元件可為具有氣體流道之氣體分佈板或隔板。
在一實施例中,該氣體分佈元件為鋁隔板或可用於該光阻剝除槽之其他合適導熱材料之隔板。在一較佳實施例中,該隔板為鋁或鋁合金(二者皆涵蓋於本文所用之術語"鋁")。例如該鋁合金可為6061鋁。
該隔板之鋁材料較佳具有一氧化鋁外層,其可提供氧化抗性及/或對蝕刻處理氣體(包括氟化氣體)之腐蝕抗性。較佳在暴露於活性物質之隔板的所有表面提供氧化鋁層。該氧化鋁層較佳具有約50埃(angstrom)至約300埃之厚度,更佳具有約50埃至100埃之厚度。該氧化鋁外層之氧化鋁理論密度較佳至少為約90%、更佳至少為約95%。
在一實施例中,該氣體分佈元件(諸如隔板)可包括諸如石英(意即,SiO2 )之合適材料薄保護性外部塗層。該塗層較佳具有足夠低之熱質量以使得該塗層不顯著降低該氣體分佈元件之複合物導熱特性。較佳在暴露於活性物質之氣體分佈元件之所有表面提供該塗層。
圖1描述包括一氣體分佈元件(意即隔板50)之一光阻剝除槽10之例示性實施例。可使用該光阻剝除槽10進行自基板剝除光阻之方法的實施例。該光阻剝除槽10包括一側壁12、一底壁14及一蓋16。該等壁12、14及該蓋16可為任何合適金屬、陶瓷及/或聚合材料。該蓋16較佳旋轉附著於側壁12。該光阻剝除槽10在底壁14包括真空口18。
該光阻剝除槽10亦包括適於在光阻剝除方法中用於支撐半導體基板22(諸如晶圓)之基板支撐20。該基板22包括在光阻剝除方法中提供用於保護該基板22之底層的遮罩層的光阻。該底層可為導電性、絕緣性及/或半導電性材料。
該基板支撐20較佳包括一適於加熱該基板支撐之上表面23之加熱器,該基板22支撐於該上表面23。在光阻剝除過程中該基板加熱至之溫度取決於該基板22之特定層的組合物。該加熱器較佳適於將該基板22加熱至不高於最高溫度之溫度,以使得可暴露該基板而對該基板之一或多層無損傷,或不將該方法之蝕刻選擇性降低至不可接收之值。舉例而言,對於最高基板溫度約100℃而言,該加熱器較佳可將該基板加熱至低於約100℃之溫度,諸如約25℃至約95℃。
可藉由在側壁12處提供之基板入口26來將基板22引入光阻剝除槽10及自該光阻剝除槽10移除。舉例而言,可自藉由一轉移槽連接於光阻剝除槽之蝕刻槽將基板22轉移至該光阻剝除槽10之內部。
在該實施例中,配置一遙距電漿源30來產生遙距電漿且藉由連接於該光阻剝除槽10之通道32來向該光阻剝除槽10供應含活性物質之氣體。活性物質對於自該支撐於該基板支撐20之基板22移除光阻係有效的。該電漿源30之說明性實施例包括一遙距能源34及一剝除氣體源36。該能源34可為任何合適來源,且較佳為微波生成器。例示性設備包括自位於Fremont,California之Lam Research Corporation購得之微波生成器。合適光阻剝除槽為自Lam Research Corporation購得之型號2300。在一較佳實施例中,該微波生成器在約1000 W至約3000 W之範圍內、更佳在約2000 W至約2500 W之範圍內提供功率位準。一般而言,增加提供之功率位準可增加所產生活性物質之量,且可增加光阻剝除速率,其限制條件為活性物質自其產生之處理氣體流動速率足夠高。由箭頭38所示之微波藉由微波生成器34產生且經由一波導40傳播至通道32。
氣體源36為通道32供應處理氣體(由箭頭42表示),在該通道32中氣體藉由微波38而能量化以產生電漿。含有活性物質之氣體經由一開口44流入光阻剝除槽10之內部。
該等活性物質在流至該基板22及剝除光阻之前藉由隔板50分佈於該光阻剝除槽10中。較佳藉由在基板支撐20中之加熱器加熱基板22,至少在剝除光阻前加熱該基板22。經由排出口18將光阻剝除過程中產生之廢產物自光阻剝除槽10泵出。
如圖2中所示,隔板50較佳為環狀一件體導熱材料。該光阻剝除槽10較佳為圓柱形以處理單一晶圓。隔板50包括具有帶有一上表面54之凸起之中間部分52及流道56之一內部分。在此實施例中,穿過該通道32之UV輻射一般以垂直於上表面54之方向照射該上表面54。將通道56較佳相對於上表面54定向以防止UV輻射之直線視界穿過隔板50及防止損傷基板22。
該隔板50包括在在中心部分52與外周部分60間之流道58。構造流道58從而以所要流通模式將活性物質分佈於介於隔板50與晶圓22間之光阻剝除槽10之區域。如圖2所示,流道58為同心排列之列孔的形式。該等通道58較佳具有圓形截面且較佳自中心部分52向外周部分60徑向地以向外之方向增加截面尺寸(例如,直徑)。
如圖2所示,隔板50之外周部分60包括一具有用於接收扣件66(圖1)之孔64的凸緣62,以將隔板50可移動地連接於光阻剝除槽10之側壁12之頂表面68。
可將襯墊70支撐於隔板50之上表面72上以在光阻剝除方法中將材料在蓋16之底部表面的沉積作用降至最低。在隔板50之上表面72提供隔片65以支撐襯墊70且在其間形成充氣部74(圖1)。該襯墊70包括中心通道44,活性物質自該通道經通道32流入該充氣部74。該襯墊70較佳由鋁製成。
隔板50係熱接的,意即,該隔板50與光阻剝除槽10之一部分熱接觸。舉例而言,當隔板50適於安裝在圓柱形光阻剝除槽中時,該隔板50較佳具有大體上與該光阻剝除槽10之內部直徑相等或比其大之直徑,以使得該隔板與該側壁12直接熱接觸。該側壁12較佳具有足夠熱質量以增加自該隔板50至該側壁12之導熱速率。
在一較佳實施例中,該側壁12可經主動溫控。舉例而言,可使環境溫度或低於環境溫度之熱轉移介質(例如水或其類似物)流經該側壁12以將該側壁冷卻至所要溫度。在光阻剝除方法中,一般可將該側壁12冷卻至約20℃至約35℃之範圍內的溫度。當該光阻剝除槽10空閒時及亦在光阻剝除過程中可冷卻該側壁12以將該隔板50之溫度維持在一大體上恆定之溫度。較佳將隔板50之溫度近似地維持在該側壁12之溫度。
然而,已確定即使不主動冷卻側壁12,在光阻剝除槽10中,隔板50在光阻剝除過程中可保持足夠低之溫度以充分冷卻活性物質從而避免對低k介電材料之有害特性變化,(例如)經由暴露於高於約100℃之溫度可損傷該低k介電材料。
隔板50較佳具有足夠高之氣體接觸表面區域以在活性物質到達基板22之經處理表面前使離開電漿源區域30之活性物質與隔板50熱平衡。舉例而言,一般地在約125℃至約225℃之溫度將該遙距電漿之組份引入至光阻剝除槽,該溫度取決於藉由能源34施加於該處理氣體以生產遙距電漿之功率位準。已確定藉由使熱活性物質穿過隔板可將其溫度降低至約為該隔板50之溫度(例如約20℃至約35℃)。結果,可將活性物質對該基板22之加熱降至最低,其允許緊密控制基板溫度。
在一較佳實施例中,藉由控制活性物質之溫度(其為重要加工生產因素)將生產結果、基板與基板及/或工具與工具之變化降至最小。緊密控制活性物質溫度可顯著降低第一基板效應(意即,在一批晶圓之連續處理中所處理之第一基板),其可在不穩定狀態運作中由光阻剝除槽溫度之變化引起。
在圖4中展示可在光阻剝除槽10中處理之基板22的例示性實施例。該基板22包含一通常為矽之基底基板24;一低k介電材料層26,例如為有機低k介電材料;及覆蓋光阻層28,例如有機單層或多層光阻。在進行光阻剝除之前描繪該基板22。在其他實施例中,該基板22可包括在所展示之層的上面、下面或之間的一或多個其他層,其取決於建立於該基板22上之電子裝置的類型。
該低k介電材料具有如下介電特性,若將該低k介電材料層26加熱至高於該低k介電材料熱降解溫度之溫度,則該介電特性發生非所要改變。如本文所用,術語低k介電材料之"熱降解溫度"定義為一近似溫度,高於該近似溫度時低k介電材料之介電特性有害變化。已確定若該低k介電材料之介電特性作為過度加熱之結果而有害變化,則建立於該基板24之電子裝置具有不可接受之效能。
舉例而言,某些有機低k介電材料之熱降解溫度約為100℃。在光阻剝除方法中,選擇性移除低k介電材料層26之光阻層28亦為較佳的。亦較佳以最短時間移除光阻層28以最大化加工效率。藉由所使用之處理氣體之化學性質及該基板22之溫度來定義蝕刻選擇性。該光阻層28之移除速率取決於該基板之溫度。因此,光阻剝除之較佳條件為在高功率下進行該方法,且該基板之溫度盡可能接近該低k介電材料層26之熱降解溫度,意即盡可能接近100℃。然而,藉由運作在該基板支撐上所提供之加熱器來將該基板加熱至接近100℃之溫度,高於100℃溫度之活性物質可輸出足夠之額外能量以將晶圓溫度升高至100℃以上。已確定藉由使用導熱隔板50可將該基板溫度維持在低於該低k介電材料之降解溫度,同時可藉由加熱器將該基板加熱至接近於該熱降解溫度之溫度。
由於在光阻剝除過程中該隔板50可維持在顯著低於100℃之溫度,因此可使用該等方法之實施例自包括低k介電材料或其他材料之基板(其具有低於100℃之熱降解溫度,例如介於經冷卻之活性物質之溫度與100℃間之溫度)剝除光阻。在該等實施例中,可將基板支撐20中之加熱器設置於一合適溫度,此溫度取決於較佳不超過之熱降解溫度。
用於形成遙距電漿之處理氣體包括氣體混合物。將該氣體混合物能量化以產生遙距電漿。將來自該電漿之活性物質輸送至光阻剝除槽10之內部且該活性物質壽命足夠長以與在該基板22上之光阻層112反應(意即,還原、氧化或"灰化")。藉由剝除方法移除光阻之速率稱作"剝除速率"。該處理氣體可具有任何取決於該基板組合物之合適組合物。舉例而言,該處理氣體可為含氧氣體混合物,諸如O2 /H2 /惰性氣體。例如,該惰性氣體可為氬氣或氦氣。該氣體混合物亦可含有含氟組份,諸如CF4 或C2 F6 。可將N2 添加至該氣體混合物以與第二材料(諸如障壁材料及/或底部材料)相比增加該光阻材料之選擇性。如本文所用,術語與第二材料相比的光阻材料之"選擇性"定義為該光阻蝕刻速率與第二材料之蝕刻速率的比率。
在光阻剝除過程中,處理氣體之總流動速率較佳在約2000 sccm至約6000 sccm之範圍,且光阻剝除槽10中之壓力較佳在約200 mTorr至約1 Torr之範圍。可用於在該槽中之光阻剝除方法之典型處理條件為:處理氣體混合物O2 /H2 /CF4 /He,5000 sccm總處理氣流,由微波生成器供應之至少2500 W之功率,及將基板支撐之經加熱表面設為約80℃至約90℃之溫度。
實例1
在實例1中,該光阻剝除槽不包括用於冷卻活性物質之熱連接導熱隔板。將該基板支撐之溫度設置為25℃,該槽壓力為1 Torr,且藉由微波生成器將2500瓦之功率位準施加於氣體持續30秒來產生遙距電漿。使用熱電偶量測該基板表面多個位點的溫度。如圖6所示,該等位點包括該基板表面之中心(曲線A)、中部(曲線B、C)及邊緣(曲線D)。如所示,在電漿存在期間,該基板表面之中心的表面溫度增高約16℃。
實例2
在實例2中,光阻剝除槽包括安裝於該基板支撐上之側壁的熱連接導熱隔板。將基板支撐之溫度設為25℃,槽壓力為1 Torr,且藉由微波生成器將2500瓦之功率位準施加於氣體,持續30秒。使用熱電偶量測該基板表面多個位點的溫度。如圖7所示,在引發電漿期間該表面在中心、中部及邊緣位置維持在約22℃至約25℃間之大體上恆定之溫度。該等測試結果說明活性物質最小程度地影響該基板溫度。
實例3
在實例3中,光阻剝除槽包含一安裝於側壁之熱連接導熱隔板。將基板支撐之溫度設為90℃。在處理一基板過程中,將2500 W功率位準施加於微波生成器。在處理第二基板過程中不向該微波生成器施加功率,意即不產生電漿。兩基板皆處理10分鐘。量測該基板表面之中心及邊緣溫度。對於未經電漿生成處理之基板,在中心及邊緣所量測之最高溫度為82℃至88℃。對於經電漿處理之基板,在中心及邊緣所量測之最高溫度為88℃至93℃。該等測試結果說明當使用熱連接導熱隔板時,用於兩個基板的引入至該槽之氣體溫度之重大差別最小程度上影響基板溫度。
已藉由參考較佳實施例描述本發明。然而熟習此項技術者將顯而易見,除上文所描述之形式外的特定形式可體現本發明而不背離本發明之精神。該較佳實施例係說明性的且不應以任何方式認為係限制性的。藉由附加申請專利範圍而非先前描述來給出本發明之範圍,且本文意欲涵蓋在申請專利範圍內之所有變體及等價物。
10...光阻剝除槽
12...側壁
14...底壁
16...蓋
18...排出口
20...基板支撐
22...基板
23...上表面
24...基底基板
26...低k介電材料層
28...覆蓋光阻層
30...遙距電漿源
32...通道
34...遙距能源
36...剝除氣體源
40...波導
44...開口/中心通道
50...隔板
52...凸起之中間部分
54、72...上表面
56、58...流道
60...外周部分
62...凸緣
64...孔
65...隔片
66...扣件
68...頂表面
70...襯墊
74...充氣部
圖1描述可用於實踐自基板移除光阻之方法之實施例的光阻剝除槽之一例示性實施例。
圖2闡述在光阻剝除槽中所用之隔板之一較佳實施例。
圖3闡述置於如圖2所示之隔板上之襯墊。
圖4闡述包含一低k介電材料層及一覆蓋光阻層之半導體基板之實施例。
圖5闡述已自基板剝除光阻後之圖4所示之基板。
圖6展示當在該光阻剝除槽中未使用導熱隔板時在晶圓表面之不同位置處晶圓(表面)溫度間之關係。
圖7展示當在該光阻剝除槽中使用導熱隔板時在晶圓表面之不同位置處晶圓溫度間之關係。
10...光阻剝除槽
12...側壁
14...底壁
16...蓋
18...真空口/排出口
20...基板支撐
22...基板
26...低k介電材料層
30...遙距電漿源
32...通道
34...遙距能源
36...剝除氣體源
40...波導
44...開口
50...隔板
52...凸起之中間部分
56、58...流道
62...凸緣
66...扣件
68...頂表面
70...襯墊
74...穩壓室

Claims (27)

  1. 一種在一光阻剝除槽中自一半導體基板剝除光阻之方法,其包含:在一光阻剝除槽中提供一半導體基板,該半導體基板包括一低k介電材料及一覆蓋該低k介電材料之光阻層,該低k介電材料具有一熱降解溫度;自一處理氣體製造一遙距電漿,且由此將一含有溫度高於該低k介電材料之熱降解溫度之活性物質的氣體提供至該光阻剝除槽;在該電漿剝除槽中將該活性物質冷卻至低於該介電材料之熱降解溫度的溫度;且以該經冷卻之活性物質自該半導體基板剝除該光阻層,使得該半導體基板不超過該低k介電材料之熱降解溫度;以及其中該半導體基板支撐於一基板支撐之一支撐表面,該基板支撐包括一將該支撐表面加熱至低於該低k介電材料之熱降解溫度之溫度的加熱器。
  2. 如請求項1之方法,其中該冷卻包含使該活性物質穿過面對該半導體基板之一鋁製導熱氣體分佈元件的流道。
  3. 如請求項2之方法,其中該氣體分佈元件具有一氧化鋁外層。
  4. 如請求項2之方法,其中該氣體分佈元件熱接觸溫度低於該低k介電材料之熱降解溫度的該光阻剝除槽之一部分。
  5. 如請求項4之方法,其中在光阻剝除過程中,該氣體分佈 元件及該光阻剝除槽之該部分溫度近似相同。
  6. 如請求項4之方法,其中該光阻剝除槽之該部分係藉由使熱轉移媒介流過而被冷卻。
  7. 如請求項1之方法,其中藉由使用一微波能源向該處理氣體施加功率來生產該遙距電漿。
  8. 如請求項7之方法,其中該低k介電材料為有機低k介電材料。
  9. 如請求項1之方法,其包含在該光阻剝除槽中連續處理複數個該等半導體基板,以使得在該剝除光阻層過程中,將該等半導體基板之每一者維持在不超過該低k介電材料之熱降解溫度之溫度。
  10. 如請求項1之方法,其中該處理氣體包含氧、氫及氟。
  11. 如請求項1之方法,其中該加熱器加熱該支撐表面至約攝氏80度至90度之間。
  12. 一種在一光阻剝除槽中自一半導體基板剝除光阻之方法,其包含:在一光阻剝除槽中提供一半導體基板,該半導體基板包括一有機低k介電材料及一覆蓋該低k介電材料之光阻層,該低k介電材料具有一熱降解溫度;自一處理氣體製造遙距電漿且由此將一含有溫度高於該低k介電材料之熱降解溫度的活性物質之氣體輸送至該光阻剝除槽;使該活性物質穿過面對該半導體基板之一導熱氣體分佈元件之流道,藉此將該活性物質冷卻至低於該低k介電 材料之熱降解溫度之溫度;及以該經冷卻之活性物質自該半導體基板剝除該光阻層,使得該半導體基板不超過該低k介電材料之熱降解溫度;以及其中該半導體基板支撐於一基板支撐之一支撐表面,該基板支撐包括一將該支撐表面加熱至低於該低k介電材料之熱降解溫度之溫度的加熱器。
  13. 如請求項12之方法,其中該氣體分佈元件熱接觸一溫度低於該低k介電材料之熱降解溫度之該光阻剝除槽之壁。
  14. 如請求項13之方法,其中該氣體分佈元件及該壁在光阻剝除過程中溫度近似相同。
  15. 如請求項13之方法,其包含藉由使熱轉移媒介流過而冷卻該壁。
  16. 如請求項12之方法,其中:該低k介電材料之熱降解溫度為約100℃;且藉由該加熱器將該支撐表面加熱至約25℃至約95℃。
  17. 如請求項16之方法,其中在穿過該氣體分佈元件之前,將該活性物質於高達約225℃之溫度提供至該光阻剝除槽。
  18. 如請求項12之方法,其中:該低k介電材料之熱降解溫度為約100℃;且在穿過該氣體分佈元件之前將該活性物質在高達約225℃之溫度下提供至該光阻剝除槽。
  19. 如請求項12之方法,其中在該光阻剝除過程中將該槽壁 冷卻至約20℃至約35℃之溫度。
  20. 如請求項12之方法,其中藉由以約2000 W至約3000 W之功率位準向該處理氣體施加微波能來製造該遙距電漿。
  21. 如請求項12之方法,其包含在該光阻剝除槽中連續處理複數個該等半導體基板,以使得在該剝除光阻層過程中將該等半導體基板之每一者維持在不超過該低k介電材料之熱降解溫度的溫度。
  22. 如請求項12之方法,其中該處理氣體包含氧、氫及氟。
  23. 如請求項12之方法,其中該加熱器加熱該支撐表面至約攝氏80度至90度之間。
  24. 一種在一光阻剝除槽中自一半導體基板剝除光阻之方法,其包含:在一光阻剝除槽中將一半導體基板支撐於一支撐表面,該半導體基板包括一覆蓋有光阻層之具有一熱降解溫度之有機低k介電材料;將該支撐表面加熱至低於該低k介電材料之熱降解溫度的溫度;使用一微波能源向一處理氣體施加能量以製造遙距電漿,且由此將溫度高於該低k介電材料之熱降解溫度的活性物質提供至該光阻剝除槽;在該光阻剝除槽內將該活性物質冷卻至低於該低k介電材料之熱降解溫度之溫度;且以該經冷卻之活性物質自該半導體基板移除該光阻層,使得該半導體基板不超過該低k介電材料之熱降解溫度。
  25. 如請求項24之方法,其包含在該光阻剝除槽中連續處理複數個該等半導體基板,以使得在該剝除光阻層過程中將該等半導體基板之每一者維持在不超過該低k介電材料之熱降解溫度的溫度。
  26. 如請求項24之方法,其中該處理氣體包含氧、氫及氟。
  27. 如請求項24之方法,其中該加熱器加熱該支撐表面至約攝氏80度至90度之間。
TW095111519A 2005-03-31 2006-03-31 於光阻剝除槽中自基板上移除光阻之方法 TWI404142B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/094,689 US20060228889A1 (en) 2005-03-31 2005-03-31 Methods of removing resist from substrates in resist stripping chambers

Publications (2)

Publication Number Publication Date
TW200644123A TW200644123A (en) 2006-12-16
TWI404142B true TWI404142B (zh) 2013-08-01

Family

ID=37030285

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095111519A TWI404142B (zh) 2005-03-31 2006-03-31 於光阻剝除槽中自基板上移除光阻之方法

Country Status (3)

Country Link
US (1) US20060228889A1 (zh)
CN (1) CN1841214B (zh)
TW (1) TWI404142B (zh)

Families Citing this family (134)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007142690A2 (en) 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7749903B2 (en) * 2008-02-07 2010-07-06 International Business Machines Corporation Gate patterning scheme with self aligned independent gate etch
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9082593B2 (en) * 2011-03-31 2015-07-14 Tokyo Electron Limited Electrode having gas discharge function and plasma processing apparatus
US10225919B2 (en) * 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US20150200042A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9627219B2 (en) * 2014-04-16 2017-04-18 Globalfoundries Singapore Pte. Ltd. CMP wafer edge control of dielectric
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010008805A1 (en) * 1998-09-22 2001-07-19 Hideo Kitagawa Process for producing semiconductor device
US20040140053A1 (en) * 2001-04-06 2004-07-22 Srivastava Aseem Kumar Method and apparatus for micro-jet enabled, low-energy ion generation and transport in plasma processing

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5003178A (en) * 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
EP0370729A1 (en) * 1988-11-25 1990-05-30 Mitsui Petrochemical Industries, Ltd. Process for producing isopropylnaphthols
US5268034A (en) * 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5468595A (en) * 1993-01-29 1995-11-21 Electron Vision Corporation Method for three-dimensional control of solubility properties of resist layers
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US6083451A (en) * 1995-04-18 2000-07-04 Applied Materials, Inc. Method of producing a polycrystalline alumina ceramic which is resistant to a fluorine-comprising plasma
MY113904A (en) * 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
US5792672A (en) * 1996-03-20 1998-08-11 Chartered Semiconductor Manufacturing Ltd. Photoresist strip method
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5819434A (en) * 1996-04-25 1998-10-13 Applied Materials, Inc. Etch enhancement using an improved gas distribution plate
JPH10167859A (ja) * 1996-12-05 1998-06-23 Ngk Insulators Ltd セラミックス部品およびその製造方法
US5972111A (en) * 1997-06-19 1999-10-26 Anderson; Dean Robert Gary Metering device for paint for digital printing
US6080680A (en) * 1997-12-19 2000-06-27 Lam Research Corporation Method and composition for dry etching in semiconductor fabrication
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6105588A (en) * 1998-05-27 2000-08-22 Micron Technology, Inc. Method of resist stripping during semiconductor device fabrication
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6150070A (en) * 1999-03-17 2000-11-21 Alliedsignal Inc. Method of creating optimal profile in single layer photoresist
US6255035B1 (en) * 1999-03-17 2001-07-03 Electron Vision Corporation Method of creating optimal photoresist structures used in the manufacture of metal T-gates for high-speed semiconductor devices
US6218090B1 (en) * 1999-03-17 2001-04-17 Electron Vision Corporation Method of creating controlled discontinuity between photoresist and substrate for improving metal lift off
US6207555B1 (en) * 1999-03-17 2001-03-27 Electron Vision Corporation Electron beam process during dual damascene processing
US6195246B1 (en) * 1999-03-30 2001-02-27 Electron Vision Corporation Electrostatic chuck having replaceable dielectric cover
US6263830B1 (en) * 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6319655B1 (en) * 1999-06-11 2001-11-20 Electron Vision Corporation Modification of 193 nm sensitive photoresist materials by electron beam exposure
US6340556B1 (en) * 1999-08-04 2002-01-22 Electron Vision Corporation Tailoring of linewidth through electron beam post exposure
US6271146B1 (en) * 1999-09-30 2001-08-07 Electron Vision Corporation Electron beam treatment of fluorinated silicate glass
US6407399B1 (en) * 1999-09-30 2002-06-18 Electron Vision Corporation Uniformity correction for large area electron source
US6426127B1 (en) * 1999-12-28 2002-07-30 Electron Vision Corporation Electron beam modification of perhydrosilazane spin-on glass
US6358670B1 (en) * 1999-12-28 2002-03-19 Electron Vision Corporation Enhancement of photoresist plasma etch resistance via electron beam surface cure
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
JP4849705B2 (ja) * 2000-03-24 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置、プラズマ生成導入部材及び誘電体
US6362110B1 (en) * 2000-03-30 2002-03-26 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6391932B1 (en) * 2000-08-08 2002-05-21 Shipley Company, L.L.C. Porous materials
US6461974B1 (en) * 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
US20020132496A1 (en) * 2001-02-12 2002-09-19 Ball Ian J. Ultra low-k dielectric materials
US6811651B2 (en) * 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US20040101632A1 (en) * 2002-11-22 2004-05-27 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
US20040154743A1 (en) * 2002-11-29 2004-08-12 Savas Stephen E. Apparatus and method for low temperature stripping of photoresist and residues
US20040195208A1 (en) * 2003-02-15 2004-10-07 Pavel Elizabeth G. Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
US6913992B2 (en) * 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US7892357B2 (en) * 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
JP5115798B2 (ja) * 2004-09-01 2013-01-09 アクセリス テクノロジーズ インコーポレーテッド フォトレジストの除去速度を増加する装置及びプラズマアッシング方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010008805A1 (en) * 1998-09-22 2001-07-19 Hideo Kitagawa Process for producing semiconductor device
US20040140053A1 (en) * 2001-04-06 2004-07-22 Srivastava Aseem Kumar Method and apparatus for micro-jet enabled, low-energy ion generation and transport in plasma processing

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Han Xu :"Resist stripping process development for porous low-k dielectric materials"2003年04月01日 *

Also Published As

Publication number Publication date
CN1841214B (zh) 2011-11-30
CN1841214A (zh) 2006-10-04
TW200644123A (en) 2006-12-16
US20060228889A1 (en) 2006-10-12

Similar Documents

Publication Publication Date Title
TWI404142B (zh) 於光阻剝除槽中自基板上移除光阻之方法
EP1604387B1 (en) Processing system and method for treating a substrate
US7097779B2 (en) Processing system and method for chemically treating a TERA layer
KR101170861B1 (ko) 포토레지스터 제거 레이트를 증가시키는 플라즈마 애싱프로세스 및 냉각 수단을 갖는 장치
EP1604389B1 (en) Processing system and method for thermally treating a substrate
JP5555743B2 (ja) 基板を化学的処理する処理システムおよび方法
KR101200132B1 (ko) 기판을 처리하기 위한 처리 시스템 및 방법
US7402523B2 (en) Etching method
US20050218114A1 (en) Method and system for performing a chemical oxide removal process
KR20070003797A (ko) 분압을 이용하여 화학적 산화물 제거 프로세스를 조절하기위한 방법 및 시스템
JP2011176365A (ja) 化学的酸化物除去(ChemicalOxideRemoval)処理システム及び方法
KR20090127323A (ko) 고효율의 비플라즈마 처리를 실행하기 위한 처리 시스템 및 방법
US20120108072A1 (en) Showerhead configurations for plasma reactors
CN109923660B (zh) 高压退火及降低湿蚀刻速率
JP2023551182A (ja) 堆積残留物制御のためのシステム及び方法
JP2009094380A (ja) 半導体装置の製造方法
US20240120193A1 (en) Carbon replenishment of silicon-containing material