JP5555743B2 - 基板を化学的処理する処理システムおよび方法 - Google Patents

基板を化学的処理する処理システムおよび方法 Download PDF

Info

Publication number
JP5555743B2
JP5555743B2 JP2012144125A JP2012144125A JP5555743B2 JP 5555743 B2 JP5555743 B2 JP 5555743B2 JP 2012144125 A JP2012144125 A JP 2012144125A JP 2012144125 A JP2012144125 A JP 2012144125A JP 5555743 B2 JP5555743 B2 JP 5555743B2
Authority
JP
Japan
Prior art keywords
substrate
temperature
processing system
processing chamber
chemical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2012144125A
Other languages
English (en)
Other versions
JP2012209574A (ja
Inventor
トーマス・ハメリン
ジェイ・ウォーレス
アーサー・エイチ.・ジュニア・ラフランム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2012209574A publication Critical patent/JP2012209574A/ja
Application granted granted Critical
Publication of JP5555743B2 publication Critical patent/JP5555743B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • C25D11/026Anodisation with spark discharge

Description

この出願は、2003年3月17日に出願された米国仮出願番号60/454、642号の利益を求めるものであり、その内容は、全体としてここに組み込まれる。
本出願は、「基板を処理するための処理システムおよび方法(Processing System and Method for Treating a Substrate)」というタイトルの2003年11月12日に出願された出願中の米国特許出願シリアル番号10/705,201号と、「熱的に基板を処理する処理システムおよび方法(Processing System and Method for Thermally Treating a Substrate)」というタイトルの2003年11月12日に出願された出願中の米国特許出願シリアル番号10/504,969号と、「隣接した温度制御されるチャンバを熱的に絶縁するための方法と装置(Method and Apparatus for Thermally Insulating Adjacent Temperature Controlled Chambers)」というタイトルの2003年11月12日に出願した出願中の米国特許出願シリアル番号10/705,397号とに関連する。それら出願の全ての全体の内容は、全体として参照して、ここに組み込まれる。
本発明は、基板を処理するシステムと方法に関し、より詳しくは、本発明は、基板の化学的な処理のシステムおよび方法に関する。
半導体プロセスの間、(ドライ)プラズマエッチングプロセスは、微細線に沿った、またはシリコン基板上にパターン化されたバイア(via)もしくはコンタクト内の材料を取り除くか、またはエッチングを行うために利用され得る。プラズマエッチングプロセスは、一般に上層に横たわっているパターン化された保護層、例えばフォトレジスト層を有する半導体基板を処理チャンバに置くことを含む。一度、基板がチャンバの中に配置されると、真空ポンプが周囲のプロセス圧力に達成するようにスロットル調整(抑圧)されながら、イオン化可能な、解離性ガス混合が予め指定された流量でチャンバ中へ導入される。その後、ある一部分のガス種が、誘導的にもしくは容量的にラジオ周波数(RF)パワーの移送、またはマイクロ波パワー例えば電子サイクロトロン共鳴(ECR)の使用を介して、加熱された電子によってイオン化されるときに、プラズマが形成される。さらに、加熱された電子は、周囲の気体種のいくつかの種を解離し、さらされた表面のエッチング化学に適している反応種を生成するのに役立つ。一旦プラズマが形成されると、基板の選択された表面は、プラズマによってエッチングされる。プロセスは、基板の選択された領域に、さまざまな形態(feature)(例えば溝、バイア、コンタクト、ゲート、その他)にエッチングするように望ましい反応物およびイオン集団の適切な濃度を含む適切なコンディションを達成するように調整される。エッチングが必要とされるこのような基板材料には、二酸化珪素(SiO2)、low−k誘電材料(低誘電率材料)、ポリシリコンおよび窒化シリコンが含まれる。材料処理の間、一般に、このような形態にエッチングすることは、それぞれの形態が形成される下層のフィルムへのマスク層内に形成されたパターンの転写を具備するものである。マスクは、(ネガティブか、もしくはポジティブの)フォトレジスト、フォトレジストおよび反射防止コーティング(ARC)のような層を含む多重層、またはフォトレジストのような第1の層内のパターンの下層のハードマスク層への転写から形成されたハードマスクのような感光材料を具備し得る。
本発明は、化学的に基板を処理するシステムと方法に関するものである。
本発明の一態様において、処理システムは、基板を化学的に処理することに対し記載される。処理システムは、化学的処理システムを備え、ここで化学的処理システムは、温度制御される化学的処理チャンバ、化学的処理チャンバから実質的に熱的に分離されるように構成された温度制御される基板ホルダ、化学的処理チャンバに接続された真空排気システム、および化学的処理チャンバに1つ以上のプロセスガスを導入するための温度制御されるガス分配システムを備え、ここで、プロセスガスは、プラズマを形成するために、利用されない。
加えて、基板を処理するための処理システムの操作方法は、記載される。この方法は、基板を化学的処理へ移送することと;化学的処理システムのための1つ以上の化学的処理パラメータをセットすること;とを具備し、ここで1つ以上の化学的処理パラメータは、化学的処理プロセス圧力、化学的処理チャンバ温度、化学的処理基板温度、化学的処理基板ホルダ温度、および化学的処理ガス流量の少なくとも1つを備え、前記方法は、1つ以上の化学的処理パラメータを使用して化学的処理システムにおいて基板を処理することをさらに具備する。あるいはまたは加えて、1つ以上の化学的処理パラメータは、ガス分配システム温度を備えることができる。
本発明の1つの実施形態に係る化学的処理システムおよび熱処理システムのための移送システムの概略図である。 本発明の他の実施形態に係る化学的処理システムおよび熱処理システムのための移送システムの概略図である。 本発明のさらにもう1つの他の実施形態に係る化学的処理システムおよび熱処理システムのための移送システムの概略図である。 本発明の1つの実施形態に係る処理システムの概略断面図である。 本発明の1つの実施形態に係る化学的処理システムの概略断面図である。 本発明の他の実施態様に係る化学的処理システムの斜視図である。 本発明の1つの実施形態に係る熱処理システムの概略断面図である。 本発明の他の実施形態に係る熱処理システムの斜視図である。 本発明の1つの実施形態に係る基板ホルダの概略断面図である。 本発明の1つの実施形態に係るガス分配システムの概略断面図である。 本発明の他の実施形態に係るガス分配システムの概略断面図である。 本発明の1つの実施形態に係る図9Aに示されるガス分配システムの拡大された図である。 本発明の1つの実施形態に係る図9Aに示されるガス分配システムの斜視図である。 本発明の1つの実施形態に係る図9Aに示されるガス分配システムの斜視図である。 本発明の1つの実施形態に係る基板リフターアセンブリを示す図である。 本発明の1つの実施形態に係る断熱アセンブリの側面図である。 本発明の1つの実施形態に係る断熱アセンブリの平面図である。 本発明の1つの実施形態に係る断熱アセンブリの縦断面図である。 基板処理のフローチャートである。
材料プロセス手順において、パターンエッチングは、感光材料、例えばフォトレジストの薄膜層を基板の上側表面に塗布すること(application)を具備し、引き続き、エッチング中に、このパターンを下層の薄膜に転写するためのマスクを提供する目的で、パターン化される。感光材料のパターニングは、一般に、例えばマイクロリソグラフィシステムを使用して、感光材料のレチクル(および関連した光学部品)を介して放射線源による露光を含み、そして、現像溶媒を使用して、感光材料(ポジ型フォトレジストの場合)の照射を受けた領域または非照射領域(ネガ型レジストの場合)の除去が続く。
加えて、マルチレイヤ(多層)およびハードマスクは、薄膜のエッチング形態のために実施することができる。例えば、ハードマスクを使用して、薄膜の形態エッチングのとき、光感応層のマスクパターンは、薄膜のためのメインエッチング工程の前に、別々のエッチング工程を使用してハードマスク層に転写される。例えばハードマスクは、例として二酸化珪素(SiO)、窒化シリコン(Si)およびカーボンを含むシリコンプロセスに対して、いくつかの材料から、選ばれ得る。
薄膜に形成される形態のサイズを縮小するために、ハードマスクは、例えば、ハードマスク層の表面化学を変更するため、ハードマスク層のさらされた表面の化学的処理と、変更された表面化学を脱離するため、ハードマスク層のさらされた表面の後処理とを含む2ステッププロセスを使用して、側面が整えられ得る(trimmed laterally)。
1つの実施形態によれば、図1Aは、例えばマスク層トリミングを使用して、基板を処理するための基板処理システム1を示す。処理システム1は、第1の処理システム10、および第1の処理システム10に組み合わされた第2の処理システム20を具備する。例えば、第1の処理システム10は、化学的処理システムを備え、第2の処理システム20は、熱処理システムを備えることができる。あるいは、第2の処理システム20は、基板リンスシステム、例えば水リンスシステムを備えることができる。また、図1Aにて示したように、移送システム30は、第1の処理システム10および第2の処理システム20の中へおよび外に基板を移送するために第1の処理システム10に組み合わされることができて、基板をマルチ部材(multi−element)製造システム40で交換することができる。第1および第2の処理システム10、20および移送システム30は、例えば、マルチ部材製造システム40内の処理部材を備えることができる。例えば、マルチ部材製造システム40は、エッチングシステム、堆積システム、コーティングシステム、パターニングシステム、計測学システム等のようなデバイスを含んでいる処理部材へ/から、基板の移送ができるようにし得る。第1および第2のシステムで起こっているプロセスを分離するために、アイソレーションアセンブリ50は、各々のシステムを接続させるために利用され得る。例えば、アイソレーションアセンブリ50は、熱的な分離を提供する断熱アセンブリ、および真空の分離を提供するゲートバルブアセンブリの少なくとも1つを備えることができる。もちろん、処理システム10と20、および移送システム30は、いかなるシーケンスにも配置され得る。
あるいは、他の実施の形態において、図1Bは、マスク層トリミングのようなプロセスを使用して基板を処理するための処理システム100を示す。処理システム100は、第1の処理システム110、および第2の処理システム120を備えている。例えば、第1の処理システム110は、化学的処理システム、および熱処理システムを備える得る第2の処理システム120を具備し得る。あるいは、第2の処理システム120は、基板リンス(substrate rinsing)システム、例えば水リンスシステムを備え得る。また、図1Bにて示したように、移送システム130は、第1の処理システム110との間で基板を移送するために第1の処理システム110に組み合わすことができて、第2の処理システム120との間で基板を移送するために、第2の処理システム120に組み合わすことができる。加えて、移送システム130は、基板を1つ以上の基板カセット(図示せず)で交換することができる。2つの処理システムだけが図1Bにおいて示されているが、他の処理システムは、エッチングシステム、堆積システム、コーティングシステム、パターニングシステム、計測学システム等のようなデバイスを含む移送システム130にアクセスできる。第1および第2のシステムで起こっているプロセスを分離するために、アイソレーションアセンブリ150は、各々のシステムを組み合わせるように利用され得る。例えば、アイソレーションアセンブリ150は、熱的な分離を提供する断熱アセンブリおよび真空の分離を提供するゲートバルブアセンブリの少なくとも1つを備えることができる。加えて、例えば、移送システム130は、アイソレーションアセンブリ150の一部として役に立ち得る。
あるいは、もう1つの実施の形態として、図1Cは、マスク層トリミングのようなプロセスを使用して、基板を処理する処理システム600を示す。処理システム600は、第1の処理システム610および第2の処理システム620を備えており、ここで第1の処理システム610は、第2の処理システム620の上に、示されるように縦方向に積み重ねられる。例えば、第1の処理システム610は、化学的処理システムを備えることができ、そして第2の処理システム620は、熱処理システムを備えることができる。あるいは、第2の処理システム620は、基板リンスシステム、例えば水リンスシステムを備えることができる。また、図1Cにて示したように、移送システム630は、第1の処理システム610との間で基板を移送するために第1の処理システム610に組み合わされ得、そして第2の処理システム620との間で基板を移送するために、第2の処理システム620に組み合わされ得る。加えて、移送システム630は、基板を1つ以上の基板カセット(図示せず)で交換することができる。2つのプロセスシステムだけが図1Cで示されるが、他の処理システムは、エッチングシステム、堆積システム、コーティングシステム、パターニングシステム、および計測学システム等のようなデバイスを含む移送システム630にアクセスすることができる。第1および第2のシステムで起こっているプロセスを分離するために、アイソレーションアセンブリ650は、各々のシステムを組み合わせるために利用されることができる。例えば、アイソレーションアセンブリ650は、熱的な分離を提供する断熱アセンブリ、および真空の分離を提供するゲートバルブアセンブリのうちの少なくとも1つを備えることができる。加えて、例えば、移送システム630は、アイソレーションアセンブリ650の一部として役に立つことができる。
概ね、図1Aにおいて記載される処理システム1の、第1の処理システム10および第2の処理システム20の少なくとも1つは、少なくとも2つの移送開口部を、そこを通過して基板の通過ができるように、備えている。例えば、図1Aにて図示するように、第1の処理システム10は2つの移送開口部を備え、第1の移送開口部は、第1の処理システム10および移送システム30の間を基板が通過できるようにし、そして第2の移送開口部は、第1の処理システムおよび第2の処理システムの間を基板が通過できるようにする。しかしなから、図1Bおよび、それぞれ、図1C、各々の処理システム110,120および610,620において記載される処理システム600において記載される処理システム100に注意することは、それによって基板の通路ができるようにするために開いている少なくとも1つの移送を備えている。しかしながら、図1Bにおいて記載される処理システム100および図1Cにおいて記載される処理システム600に関し、各々の処理システム110と120、および610と620は、それぞれ少なくとも1つの移送開口部を、そこを通して基板の通過ができるように、備えている。
図2を、ここで参照すると、基板の化学的処理および熱的処理を実行するための処理システム200が示されている。処理システム200は、化学的処理システム210、および化学的処理システム210に組み合わされた熱処理システム220を備えている。化学的処理システム210は、温度制御され得る化学的処理チャンバ211を備えている。熱処理システム220は、温度制御され得る熱処理チャンバ221を備えている。化学的処理チャンバ211および熱処理チャンバ221は、より詳しくは以下で記載されるように、断熱アセンブリ230を使用して、お互いから断熱されることができ、かつゲートバルブアセンブリ296を使用して、お互いから真空分離されることができる。
図2および図3にて示されるように、化学的処理システム210は、化学的処理チャンバ211から実質的に熱的に分離されるように構成されて、かつ基板242を支持するように構成された温度制御される基板ホルダ240と、化学的処理チャンバ211を排気するように化学的処理チャンバ211に接続された真空排気システム250と、化学的処理チャンバ211内のプロセス空間262にプロセスガスを導入するためのガス分配システム260とを、さらに備えている。
図2および図5にて示されるように、熱処理システム220は、熱処理チャンバ221内にマウントされて、熱処理チャンバ221から実質的に熱的に絶縁されるように構成されて、かつ基板242’を支持するように構成された温度制御される基板ホルダ270と、熱処理チャンバ221を排気する真空排気システム280と、熱処理チャンバ221に接続された基板リフターアセンブリ290とを、さらに備えている。リフターアセンブリ290は、保持平面(実線)および基板ホルダ270(破線)の間を、またはその間に位置される移送平面へ、基板242’’を垂直に移動することができる。熱処理チャンバ221は、上部アセンブリ284を、さらに備えることができる。
加えて、化学的処理チャンバ211、熱処理チャンバ221および断熱アセンブリ230は、基板が移送され得る共通の開口部294を定める。プロセスの間、共通の開口部294は、2つのチャンバ211,221内で独立したプロセスができるようにするために、ゲートバルブアセンブリ296を使用して密閉され得る。さらにまた、移送開口部298は、図1Aにて示したように、移送システムで基板交換を許すために、熱処理チャンバ221に形成され得る。例えば、第2の断熱アセンブリ231は、移送システム(図示せず)から熱処理チャンバ221を断熱するように使用され得る。開口部298が熱処理チャンバ221の一部として(図1Aと整合して)示されるけれども、移送開口部298は、熱処理チャンバ221ではなく、化学的処理チャンバ211に形成されることができ(図1Aで示す逆チャンバ位置)、または移送開口部298は、化学的処理チャンバ211および熱処理チャンバ221(図1Bおよび図1Cに示すように)に形成されることができる。
図2および図3にて示したように、化学的処理システム210は、基板242を熱的に制御し、かつ処理するため、いくつかの操作上の機能を提供することを目的に、基板ホルダ240および基板ホルダアセンブリ244を備えている。基板ホルダ240および基板ホルダアセンブリ244は、基板ホルダ240に基板242を電気的に(または機械的に)クランプするために、静電クランピングシステム(または機械的なクランピングシステム)を備えることができる。さらにまた、基板ホルダ240は、例えば、基板ホルダ240から熱を受けて、熱交換器システム(図示せず)へ熱を移すか、または加熱する場合には、熱交換器システムから熱を移す、再循環冷却剤流れ(re−circulating coolant flow)を有する冷却システムをさらに含むことができる。さらに、熱伝達ガスは、例えば、基板242および基板ホルダ240の間のガス空隙(gas−gap)熱伝導(thermal conductance)を改良するように、裏面ガスシステムを介して基板242の裏面に分配されることができる。例えば、基板242の裏面に供給される熱伝達ガスは、ヘリウム、アルゴン、キセノン、クリプトンのような不活性ガス、プロセスガス、または酸素、窒素もしくは水素のような他のガスを含むことができる。基板の温度制御が、上昇した、もしくは低下した温度で必要とされるとき、このようなシステムは利用され得る。例えば、裏面ガスシステムは、2ゾーン(中心部と端部)システムのようなマルチゾーンガス分配システムを有することができ、ここで裏面ガス空隙圧力は、基板242の中心部および端部間で、独立して変化させることができる。他の実施の形態において、例えば抵抗加熱部材(resistive heating elements)のような加熱/冷却部材(heating/cooling elements)または熱電ヒータ/クーラ(thermo−electric heaters/coolers)は、化学的処理チャンバ211のチャンバ壁と同様に、基板ホルダ240内に含まれることができる。
例えば、図7は、先ほど確認した機能のいくつかを実行するための温度制御される基板ホルダ300を示す。基板ホルダ300は、化学的処理チャンバ211の下壁に接続されたチャンバ嵌合コンポーネント310(chamber mating component 310)、チャンバ嵌合コンポーネント310に接続された絶縁コンポーネント312、および絶縁コンポーネント312に接続された温度制御コンポーネント314を備えている。チャンバ嵌合および温度制御コンポーネント310,314は、例えば、電気的におよび熱的に伝導している材料、例えばアルミニウム、ステンレス鋼、ニッケルなどから製造されることができる。絶縁コンポーネント312は、例えば、石英、アルミナ、テフロン(登録商標)など比較的低い熱伝導率を有する熱的な抵抗材料から製造されることができる。
温度制御コンポーネント314は、冷却チャンネル、加熱チャンネル、抵抗加熱部材または熱電変換素子(thermo−electric elements)のような温度制御部材を備えることができる。例えば、図7にて示したように、温度制御コンポーネント314は、冷却剤(クーラント)注入口322および冷却剤出口324を有する冷却剤チャンネル320を備えている。冷却剤チャンネル320は、例えば、温度制御コンポーネント314の伝導の対流冷却を提供するために、水、フロリナート(登録商標)(Fluorinert)、ガルデン(登録商標)(Galden)HT−135等のような冷却剤の流量を許容する温度制御コンポーネント314内のスパイラル通路であり得る。あるいは、温度制御コンポーネント314は、それぞれの部材を通る電気的な電流の流れの方向によって、基板を加熱するかまたは冷却することができる熱電変換素子の配列(array)を含むことができる。典型的な熱電変換素子は、Advanced Thermoelectricから、市販されているものの1つとして、モデルST−127−1.4−8.5M(72Wの最大熱伝達パワーが可能な40mm×40mm×3.4mmの熱電デバイス(thermo−electric device))がある。
加えて、基板ホルダ300は、静電クランプ(ESC:electrostatic clamp)328をさらに備えることができ、この静電クランプ328は、セラミック層330と、その中に埋められたクランピング電極332と、電気的接続336を使用してクランピング電極332に接続された高電圧(HV)直流電圧源334とを含んでいる。ESC328は、例えば、単極(mono−polar)であり得、または双極(bi−polar)であり得る。このようなクランプの設計および実装は、静電クランピングシステムの当業者にとって周知である。
加えて、基板ホルダ300は、少なくとも1つのガス供給ライン342を介して基板242の裏面に熱伝達ガス、例えばヘリウム、アルゴン、キセノン、クリプトンを含む不活性ガス、プロセスガス、または酸素、窒素もしくは水素を含む他のガスを供給するための裏面ガス供給システム340と、複数の、オリフィスおよびチャンネルの少なくとも1つとを、さらに備えることができる。裏面ガス供給システム340は、例えば、2ゾーン(中心部と端部)システムのようなマルチゾーン供給システムであり得、ここで裏面圧力は、中心部から端部に放射状に変化し得る。
絶縁コンポーネント312は、温度制御コンポーネント314および下層の嵌合コンポーネント310の間の追加の断熱を提供するために、断熱空隙350をさらに含むことができる。断熱空隙350は、排気システム(図示せず)、または真空排気システム250の一部としての真空ラインを使用して、排気されることができ、および/または、その熱伝導率を変えるためにガス供給(図示せず)に接続されることができる。ガス供給は、例えば、熱伝達ガスを基板242の裏面に接続させるように利用される裏面ガス供給340であり得る。
嵌合コンポーネント310は、基板ホルダ300の上側表面および処理システムの移送平面へ/から垂直に基板242を移動するために、3つ以上のリフトピン362を上下させることができるリフトピンアセンブリ360を、さらに含むことができる。
各々のコンポーネント310、312および314は、1つのコンポーネントをもう一方に付けるために、そして基板ホルダ300を化学的処理チャンバ211に付けるために、ボルトおよびタップ穴のような留め具デバイスを、さらに備えている。さらにまた、各々のコンポーネント310、312および314は、それぞれのコンポーネントに上記のユーティリティの通過を容易にし、かつエラストマO−リングのような真空シールは、処理システムの真空完全性を維持するために必要なところには、利用される。
温度制御される基板ホルダ240の温度は、熱電対(例えばK−タイプ熱電対、Ptセンサ等)のような温度感知装置344を使用してモニタされることができる。さらにまた、コントローラは、基板ホルダ240の温度を制御するために、基板ホルダアセンブリ244へのフィードバックとして、温度測定を利用することができる。例えば、流体流量、流体温度、熱伝達ガスタイプ、熱伝達ガス圧、クランプ力、抵抗ヒータ部材の電流または電圧、および熱電デバイスの電流または極性等の少なくとも1つは、基板ホルダ240および/または基板242の温度変化に影響を与える目的で、調整することができる。
図2および図3を再度参照し、化学的処理システム210は、ガス分配システム260を備えている。1つの実施形態において、図8に示すように、ガス分配システム400は、ガス分配アセンブリ402を有するシャワーヘッドガス注入システム、およびガス分配アセンブリ402に接続し、かつガス分配プレナム406を形成するように構成されたガス分配プレート404を備えている。図示はしていないが、ガス分配プレナム406は、1つ以上のガス分配バッフル板を備えることができる。ガス分配プレート404は、化学的処理チャンバ211内でガス分配プレナム406からプロセス空間までプロセスガスを分配するように、1つ以上のガス分配オリフィス408を、さらに備えている。追加の、1つ以上のガス供給ライン410,410’等は、1つ以上のガスを含んでいるプロセスガスを供給するために、例えばガス分配アセンブリを介して、ガス分配プレナム406に接続されることができる。プロセスガスは、例えば、NH、HF、H、O、CO,CO、Ar、He等を含むことができる。
もう一つの実施形態では、図9Aおよび図9B(図9Aの図を拡大した)に示すように、少なくとも2つのガスを含んでいるプロセスガスを分配するためのガス分配システム420は、1つ以上のコンポーネント424、426および428を有するガス分配アセンブリ422と、ガス分配アセンブリ422に接続し、かつ第1のガスを化学的処理チャンバ211のプロセス空間に接続させるように構成された第1のガス分配プレート430と、第1のガス分配プレート430に接続し、かつ第2のガスを化学的処理チャンバ211のプロセス空間に接続させるように構成された第2のガス分配プレート432とを備えている。ガス分配アセンブリ422に接続するときに、第1のガス分配プレート430は、第1のガス分配プレナム440を形成する。加えて、第1のガス分配プレート430に接続するときに、第2のガス分配プレート432は、第2のガス分配プレナム442を形成する。図示はしていないが、ガス分配プレナム440,442は、1つ以上のガス分配バッフル板を備えることができる。第2のガス分配プレート432は、第1のガス分配プレート430内に形成された1つ以上の通路446の配列と接続し、一致する1つ以上のオリフィス444の第1の配列と、1つ以上のオリフィス448の第2の配列とを、さらに備えている。1つ以上のオリフィス444の第1の配列は、1つ以上の通路446の配列と合わさって、第1のガス分配プレナム440から化学的処理チャンバ211のプロセス空間まで第1のガスを分配するように構成されている。1つ以上のオリフィス448の第2の配列は、第2のガス分配プレナム442から化学的処理チャンバ211のプロセス空間まで第2のガスを分配するように構成されている。プロセスガスは、例えば、NH、HF、H、O、CO、CO、Ar、He等を含むことができる。この構成によって、第1のガスおよび第2のガスは、プロセス空間以外、いかなる相互作用も無く、プロセス空間に独立して導入される。
図10Aに示すように、第1のガスは、ガス分配アセンブリ422内に形成された第1のガス供給通路450を介して、第1のガス分配プレナム440に接続することができる。加えて、図10Bに示すように、第2のガスは、ガス分配アセンブリ422内に形成された第2のガス供給通路452を介して、第2のガス分配プレナム442に接続することができる。
再び図2および図3を参照し、化学的処理システム220は、昇温状態に維持される温度制御される化学的処理チャンバ211を、さらに備えている。例えば、壁加熱部材266は、壁温度コントロールユニット268に接続することができ、かつ壁加熱部材266は、化学的処理チャンバ211に接続するように構成されることができる。加熱部材は、例えば、タングステン、ニッケル−クロム合金、アルミニウム−鉄合金、窒化アルミニウム、その他、フィラメントのような抵抗ヒータ部材を含むことができる。抵抗加熱部材を製造する市販の材料の実施例は、Kanthal(登録商標)、Nikrothal(登録商標)、Akrothal(登録商標)を含み、そして、それはBethel、CTのKanthal社によって生産される合金の登録商標名である。Kanthal系統は、フェライト合金(FeCrAl)を含み、そしてNikrothal系統は、オーステナイト合金(NiCr、NiCrFe)を含む。電流がフィラメントを介して流れるときに、パワーは熱として放散され、そして、それゆえに、壁温度コントロールユニット268は、例えば、制御可能な直流電源装置を備えることができる。例えば、壁加熱部材266は、Watlow(1310 Kingsland Dr., Batavia, IL, 60510)から市販の少なくとも1つのFirerodカートリッジヒータを備えることができる。冷却部材は、また、化学的処理チャンバ211において使用されることができる。化学的処理チャンバ211の温度は、熱電対(例えばK−タイプ熱電対、Ptセンサ等)のような温度感知装置(temperature−sensing device)を使用して、モニタされることができる。さらにまた、コントローラは、化学的処理チャンバ211の温度を制御するために、壁温度コントロールユニット268へのフィードバックとして温度測定を利用することができる。
図3を再度参照し、化学的処理システム210は、どれかの選択された温度に維持され得る、温度制御されるガス分配システム260を、さらに備えることができる。例えば、ガス分配加熱部材267は、ガス分配システム温度コントロールユニット269に接続することができ、ガス分配加熱部材267は、ガス分配システム260に接続するように構成されることができる。加熱部材は、例えば、タングステン、ニッケル−クロム合金、アルミニウム−鉄合金、窒化アルミニウム、その他、フィラメントのような抵抗ヒータ部材を備えることができる。抵抗加熱部材を製造する市販の材料の実施例は、Kanthal(登録商標)、Nikrothal(登録商標)、Akrothal(登録商標)を含み、そして、それはBethel、CTのKanthal社によって生産される合金の登録商標名前である。Kanthal系統は、フェライト合金(FeCrAl)を含み、そしてNikrothal系統は、オーステナイト合金(NiCr、NiCrFe)を含む。電流がフィラメントを介して流れるときに、パワーは熱として放散され、そして、それゆえに、ガス分配システム温度コントロールユニット269は、例えば、制御可能な直流電源装置を備えることができる。例えば、ガス分配加熱部材267は、約1400W(または約5W/inの出力密度)を許容できる二重ゾーンシリコーンゴムヒータ(厚さ約1.0mm)を備えることができる。ガス分配システム260の温度は、熱電対(例えばK−タイプ熱電対、Ptセンサ等)のような温度感知装置を使用してモニタされることができる。さらに、コントローラは、ガス分配システム260の温度を制御するために、ガス分配システム温度コントロールユニット269へのフィードバックとして、温度測定を利用することができる。図8〜図10Bのガス分配システムは、また、温度制御システムを組み込むことができる。代わりにまたは加えて、冷却部材は、実施形態のいずれかにおいて使用されることができる。
図2および3をさらに参照し、真空排気システム250は、真空ポンプ252およびチャンバ圧をスロットル調整するためのゲートバルブ254を含むことができる。真空ポンプ252は、例えば、1秒につき約5000リットル(より大きい)までの排気スピードが可能なターボモレキュラ真空ポンプ(TMP)を含むことができる。例えば、TMPは、セイコーSTP―A803真空ポンプまたは荏原ET1301W真空ポンプであり得る。TMPは、典型的には約50mTorr未満の低圧プロセスに有効である。高圧(すなわち、約100mTorrより高い)または低いスループットプロセス(すなわち気体流でない)に対し、メカニカルブースターポンプおよびドライ荒引き(roughing)ポンプは、使用されることができる。
図3を再度参照し、化学的処理システム210は、マイクロプロセッサと、メモリと、化学的処理システム210へ通信し、入力の起動をし、そして同じく温度および圧力感知装置のような化学的処理システム210からの出力をモニタするのに十分な制御電圧の生成を可能とするデジタルl/Oポートとを有するコントローラ235を、さらに含むことができる。さらに、コントローラ235は、基板ホルダアセンブリ244、ガス分配システム260、真空排気システム250、ゲートバルブアセンブリ296、壁温度コントロールユニット268およびガス分配システム温度コントロールユニット269に接続され、情報を交換することができる。例えば、メモリに格納されたプログラムは、プロセスレシピに従って化学的処理システム210の上述したコンポーネントへの入力を起動させるために利用されることができる。コントローラ235の1つの実施例は、デル社、オースティン、テキサスから入手可能なデルプレシジョンワークステーション610(登録商標)である。
1つの実施例において、図4は、ハンドル213および少なくとも1つの留め金214を有する蓋212と、少なくとも1つのヒンジ217と、光学ビューポート215と、少なくとも1つの圧力感知装置216とをさらに備えている化学的処理システム210’を示す。
図2および図5に記載されているように、熱処理システム220は、温度制御される基板ホルダ270を、さらに備えている。基板ホルダ270は、熱障壁274を使用して、熱処理チャンバ221から熱的に絶縁された台272(pedestal272)を備えている。例えば、基板ホルダ270は、アルミニウム、ステンレス鋼またはニッケルから製造されることができ、熱障壁274は、テフロン(登録商標)、アルミナまたは石英のような熱絶縁物から製造されることができる。基板ホルダ270は、その内部に埋め込まれる加熱部材276、およびそこに接続される基板ホルダ温度コントロールユニット278をさらに備えている。加熱部材276は、例えば、タングステン、ニッケル−クロム合金、アルミニウム−鉄合金、窒化アルミニウム、その他、フィラメントのような抵抗ヒータ部材を備えることができる。抵抗加熱部材を製造する市販の材料の実施例は、Kanthal(登録商標)、Nikrothal(登録商標)およびAkrothal(登録商標)を含み、そして、それはBethel、CTのKanthal社によって生産される合金の登録商標名である。Kanthal系統は、フェライト合金(FeCrAl)を含み、そしてNikrothal系統は、オーステナイト合金(NiCr、NiCrFe)を含む。電流がフィラメントを介して流れるときに、パワーは熱として放散され、そして、それゆえに、基板ホルダ温度コントロールユニット278は、例えば、制御可能な直流電源装置を備えることができる。あるいは、温度制御される基板ホルダ270は、例えば、400〜450℃の最高動作温度が可能であるWatlow(1310Kingsland Dr. , Batavia, IL, 60510)から市販されている鋳込みヒータ、または、Watlowから同じく市販され、約300℃程度の動作温度と、約23.25W/cmまでの出力密度が可能な窒化アルミニウム材料を備えているフィルムヒーターであり得る。あるいは、冷却部材は、基板ホルダ270に組み入れられることができる。
基板ホルダ270の温度は、熱電対(例えばK−タイプ熱電対)のような温度感知装置を使用してモニタされることができる。さらにまた、コントローラは、基板ホルダ270の温度を制御するために、基板ホルダ温度コントロールユニット278へのフィードバックとして温度測定を利用することができる。
加えて、基板温度は、温度感知装置を使用してモニタされることができ、その温度感知装置は、例えば約50℃〜2000℃の測定と、約プラスマイナス1.5℃の精度とが可能なAdvanced Energies, Inc(1625 Sharp Point Drive, Fort Colins, CO, 80525)から市販されている光ファイバー温度計モデルNo.OR2000F、または2002年7月2日に出願された係属中の米国特許出願10/168544にて説明したような帯端(band−edge)温度計測システムであり、その内容は、それら全体として参照し、ここに組み込まれる。
図5を再度参照し、熱処理システム220は、選択された温度に維持される、温度制御される熱処理チャンバ221をさらに備えている。例えば、熱壁加熱部材283は、熱壁温度コントロールユニット281に接続することができ、熱壁加熱部材283は、熱処理チャンバ221に接続されるように構成されることができる。加熱部材は、例えば、タングステン、ニッケル−クロム合金、アルミニウム−鉄合金、窒化アルミニウム、その他、フィラメントのような抵抗ヒータ部材を含むことができる。抵抗加熱部材を製造する市販の材料の実施例は、Kanthal(登録商標)、Nikrothal(登録商標)、Akrothal(登録商標)を含み、そして、それはBethel, CTのKanthai社によって生産される合金の登録商標名である。Kanthal系統は、フェライト合金(FeCrAl)を含むおよび、Nikrothal系統は、オーステナイト合金(NiCr、NiCrFe)を含む。電流がフィラメントを介して流れるときに、パワーは熱として放散され、それゆえに、熱壁温度コントロールユニット281は、例えば、制御可能な直流電源装置を備えることができる。例えば、熱壁加熱部材283は、Watlow(1310 Kingsland Dr., Batavia, IL, 60510)から市販の、少なくとも1つのFirerodカートリッジヒータを備えることができる。代わりに、または加えて、冷却部材は、熱処理チャンバ221において使用され得る。熱処理チャンバ221の温度は、熱電対(例えばK−タイプ熱電対、Ptセンサ等)のような温度感知装置を使用してモニタされることができる。さらに、コントローラは、熱処理チャンバ221の温度を制御するために、熱壁温度コントロールユニット281へのフィードバックとして温度測定を利用することができる。
図2および図5をさらに参照し、熱処理システム220は、上部アセンブリ284を、さらに備えている。上部アセンブリ284は、例えば、熱処理チャンバ221にパージガス、プロセスガスまたはクリーニングガスを導入するためのガス注入システムを含むことができる。あるいは、熱処理チャンバ221は、上部アセンブリから独立したガス注入システムを備えることができる。例えば、パージガス、プロセスガスまたはクリーニングガスは、熱処理チャンバ221に、その側壁を通して、導入されることができる。それは、閉位置の蓋に掛け金をかけるための少なくとも1つのヒンジ、ハンドルおよび留め金を有するカバーまたは蓋を、さらに備えることができる。他の実施形態では、上部アセンブリ284は、基板リフターアセンブリ290のブレード500(図11を参照)の上に載置している基板242’’を加熱するための、タングステンハロゲンランプの配列のような放射性ヒータを備えることができる。この場合、基板ホルダ270は、熱処理チャンバ221から除外されることができた。
図5を再度参照し、熱処理システム220は、選択された温度に維持されることができる温度制御される上部アセンブリ284をさらに備えることができる。例えば、上部アセンブリ285は、上部アセンブリ温度コントロールユニット286に接続することができ、上部アセンブリ加熱部材285は、上部アセンブリ284に接続させるように構成されることができる。加熱部材は、例えば、タングステン、ニッケル−クロム合金、アルミニウム−鉄合金、窒化アルミニウム、その他、フィラメントのような抵抗ヒータ部材を含むことができる。抵抗加熱部材を製造する市販の材料の実施例は、Kanthal(登録商標)、Nikrothal(登録商標)、Akrothal(登録商標)を含み、そして、それは、Bethel, CTのKanthal社によって生産される合金の登録商標名である。Kanthal系統は、フェライト合金(FeCrAl)を含み、Nikrothal系統はオーステナイト合金(NiCr、NiCrFe)を含む。電流がフィラメントを介して流れるときに、パワーは熱として放散され、そして、それゆえに、上部アセンブリ温度コントロールユニット286は、例えば、制御可能な直流電源装置を備えることができる。例えば、上部アセンブリ加熱部材267は、約1400W(または5W/inの出力密度)を許容できる二重ゾーンシリコーンゴムヒーター(厚さ約1.0mm)を備えることができる。上部アセンブリ284の温度は、熱電対(例えばK−タイプ熱電対、Ptセンサ等)のような温度感知装置を使用してモニタされることができる。さらにまた、コントローラは、上部アセンブリ284の温度を制御するために、上部アセンブリ温度コントロールユニット286へのフィードバックとして、温度測定を利用することができる。上部アセンブリ284は、加えて、または代わりに、冷却部材を含むことができる。
図2および図5を再度参照し、熱処理システム220は、基板リフターアセンブリ290をさらに備えている。基板リフターアセンブリ290は、基板ホルダ270の上側表面に基板242’を降下させるように、同様に、基板ホルダ270の上側表面から保持平面、またはその間の移送平面に基板242’’を上昇させように、構成される。移送平面で、基板242’’は、化学的および熱処理チャンバ211,221との間で基板を移送するために利用される移送システムを用いて交換されることができる。保持平面で、基板242’’は、他の基板が移送システムおよび化学的もしくは熱処理チャンバ211,221の間で交換される間、冷やされることができる。図11に示すように、基板リフターアセンブリ290は、3つ以上のタブ510を有するブレード500と、基板リフターアセンブリ290を熱処理チャンバ221に接続させるためのフランジ520と、熱処理チャンバ221内でブレード500の垂直移送ができるようにするための駆動システム530とを備える。タブ510は、上昇位置において基板242’’をつかむように、下降位置のときには、基板ホルダ270(図5を参照)内に形成された収容腔540内に置かれるように構成される。駆動システム530は、例えば、シリンダー行程長(stroke length)、シリンダー行程速度、位置決め精度、非回転精度、その他を含むさまざまな仕様を満たすように設計された空気駆動システムであり得、それの設計は、空気圧駆動システム設計の当業者にとって公知である。
図2および図5をさらに参照して、熱処理システム220は、真空排気システム280を、さらに備えている。真空排気システム280は、例えば、真空ポンプおよびスロットルバルブ例えばゲートバルブまたはバタフライ弁を含むことができる。真空ポンプは、例えば、1秒につき約5000リットル(より大きい)までの排気速度が可能なターボモレキュラ真空ポンプ(TMP)を含むことができる。TMPは、典型的には約50mTorr未満の低圧プロセスに有効である。高圧プロセス(すなわち約100mTorrを超える)に対しては、メカニカルブースターポンプおよびドライ荒引きポンプは、使用され得る。
図5を再度参照し、熱処理システム220は、マイクロプロセッサと、メモリと、熱処理システム220へ通信し、入力を起動し、そして同じく熱処理システム220からの出力をモニタするのに十分な制御電圧の生成を可能とするデジタルI/Oポートとを有するコントローラ275を、さらに備えることができる。さらに、コントローラ275は、基板ホルダ温度コントロールユニット278、上部アセンブリ温度コントロールユニット286、上部アセンブリ284、熱壁温度コントロールユニット281、真空排気システム280および基板リフターアセンブリ290に接続され、情報を交換することができる。例えば、メモリに格納されたプログラムは、プロセスレシピに従って熱処理システム220の上述したコンポーネントへの入力を起動させるために利用されることができる。コントローラ275の1つの実施例は、デル社、オースティン、テキサスから入手可能なデルプレシジョンワークステーション610(登録商標)である。
代替の実施形態では、コントローラ235および275は、同じコントローラであり得る。
1つの実施例において、図6は、ハンドル223および少なくとも1つのヒンジ224を有する蓋222と、光学ビューポート225と、少なくとも1つの圧力感知装置226とをさらに備えている熱処理システム220’を示す。加えて、熱処理システム220’は、基板が保持平面に位置されているかどうか識別するために、基板検出システム227をさらに備えている。基板検出システムは、例えば、キーエンスデジタルレーザセンサを備えることができる。
図12、13および14は、断熱アセンブリ230の側面図、平面図および側面の断面図を、それぞれ記載する。断熱アセンブリ50、150または650のような類似したアセンブリも、使用されることができる。断熱アセンブリ230は、例えば、図12に示すように、化学的処理チャンバ211に接続し、かつ熱処理チャンバ221(図14を参照)および化学的処理チャンバ211の間の構造的なコンタクトを形成するように構成されたインタフェースプレート231と、インタフェースプレート231に接続され、熱処理チャンバ221および化学的処理チャンバ211間の熱接触を減らすように構成された絶縁体板232(insulator plate232)を備えることができる。さらにまた、図12において、インタフェースプレート231は、熱処理チャンバ221上の結合面に接続するように構成された結合面234、を有する1つ以上の構造的な接点部材233を備えている。インタフェースプレート231は、2つのチャンバ211、221間の剛性コンタクト(rigid contact)を形成するために、アルミニウム、ステンレス鋼等のような金属から製作され得る。絶縁体板232は、テフロン(登録商標)、アルミナ、石英等のような低い熱伝導率を有する材料から製作されることができる。断熱アセンブリは、2003年11月12日に出願された、タイトルが「熱的に絶縁隣接した温度制御されるチャンバのための方法と装置(Method and apparatus for thermally insulating adjacent temperature controlled chambers)」の係属中の米国出願番号10/705,397において更に詳細に記載され、それは全体として参照し、ここに組み込まれる。
図2および図14にて図示したように、ゲートバルブアセンブリ297は、共通の開口部294を開閉するために垂直にゲートバルブ297を移動するように利用される。ゲートバルブアセンブリ296は、真空シールにインタフェースプレート231を提供し、かつシールにゲートバルブ297を提供するゲートバルブアダプタープレート239をさらに備えることができる。
2つのチャンバ211、221は、図6のように、1つ以上のアラインメント装置235および1つ以上の配列レセプタ235’内への終端と、第1のチャンバ(例えば化学的処理チャンバ211)上のフランジ237を通って延びている1つ以上の固定デバイス236(すなわちボルト)および第2のチャンバ(例えば熱処理チャンバ221)の1つ以上の収容デバイス236’(すなわちタップ穴)内に、図6のように、終端することとを使用して、互いに接続することができる。図14に示すように、真空シールは、例えば、エラストマO―リングシール238を使用して、絶縁体板232、インタフェースプレート231、ゲートアダプタープレート239、および化学的処理チャンバ211との間に形成されることができ、真空シールは、O−リングシール238を介して、インタフェースプレート232および熱処理チャンバ221の間に形成されることができる。
さらに、化学的処理チャンバ211および熱処理チャンバ221を備えているコンポーネントの1つ以上の表面は、防護壁で被覆され得る。防護壁は、カプトン(登録商標)、テフロン(登録商標)、表面陽極酸化、アルミナやイットリア等のようなセラミックスプレー塗装、プラズマ電解酸化等の少なくとも1つを備えることができる。
図15は、化学的処理システム210および熱処理システム220を備えている処理システム200を操作する方法を示す。この方法は、ステップ810から始まるフローチャート800として示され、ここで基板は、基板移送システムを使用して、化学的処理システム210に移送される。基板は、基板ホルダ内に収容されるリフトピンによって受け取られ、そして基板は、基板ホルダに降ろされる。その後、基板は、静電クランピングシステムのようなクランピングシステムを使用して、基板ホルダに固定され、そして熱伝達ガスは、基板の裏面に供給される。
ステップ820において、基板の化学的処理のための1つ以上の化学的処理パラメータは、セットされる。例えば、1つ以上の化学的処理パラメータは、化学的処理プロセス圧力、化学的処理壁温度、化学的処理基板ホルダ温度、化学的処理基板温度、化学的処理ガス分配システム温度、および化学的処理ガス流量の少なくとも1つを備えている。例えば、次の1つ以上は起こり得る:1)壁温度コントロールユニットおよび第1の温度感知装置に接続されたコントローラは、化学的処理チャンバのための化学的処理チャンバ温度をセットするように利用される;2)ガス分配システム温度コントロールユニットおよび第2の温度感知装置に接続されたコントローラは、化学的処理チャンバのための化学的処理ガス分配システム温度をセットするように利用される;3)少なくとも1つの温度制御部材および第3の温度感知装置に接続されたコントローラは、化学的処理基板ホルダ温度をセットするように利用される;4)温度制御部材、裏面ガス供給システム、クランピングシステム、および基板ホルダ内の第4の温度感知装置の少なくとも1つに接続されたコントローラは、化学的処理基板温度をセットするように利用される;5)真空排気システム、ガス分配システムおよび圧力感知装置の少なくとも1つに接続されたコントローラは、化学的処理チャンバ内のプロセス圧力をセットするように利用される;および/または、6)1つ以上のプロセスガスのマス流量(mass flow rates)は、ガス分配システム内の1つ以上のマスフローコントローラに接続されたコントローラによってセットされる。
ステップ830において、基板は、第1の期間の間、ステップ820に記載されるコンディションの下で、化学的に処理される。例えば、第1の期間は、約10〜約480秒の範囲とすることができる。
ステップ840において、基板は、化学的処理チャンバから熱処理チャンバへ移送される。ある時間の間で、基板クランプは、除去され、そして基板の裏面に対する熱伝達ガスの流れは、終了される。基板は、基板ホルダ内に収容されているリフトピンアセンブリを使用して、基板ホルダから移送平面へ、垂直に持ち上げられる。移送システムは、リフトピンから基板を受けとり、そして熱処理システム内に基板を配置する。その中で、基板リフターアセンブリは、移送システムから基板を受けとり、そして基板ホルダへ基板を降ろす。
ステップ850において、基板の熱処理のための熱処理パラメータは、セットされる。例えば、1つ以上の熱処理パラメータは、熱処理壁温度、熱処理上部アセンブリ温度、熱処理基板温度、熱処理基板ホルダ温度、熱処理基板温度、および熱処理プロセス圧力の少なくとも1つを備えている。例えば、次の1つ以上は起こり得る:1)熱壁温度コントロールユニットおよび熱処理チャンバの第1の温度感知装置に接続されたコントローラは、熱処理壁温度をセットするように利用される;2)上部アセンブリ温度コントロールユニットおよび上部アセンブリの第2の温度感知装置に接続されたコントローラは、熱処理上部アセンブリ温度をセットするように利用される;3)基板ホルダ温度コントロールユニットおよび加熱された基板ホルダの第3の温度感知装置に接続されたコントローラは、熱処理基板ホルダ温度をセットするように利用される;4)基板ホルダ温度コントロールユニットおよび加熱された基板ホルダの第4の温度感知装置に接続し、基板に接続されたコントローラは、熱処理基板温度をセットするように利用される;および/または、5)真空排気システム、ガス分配システム、および圧力感知装置に接続されたコントローラは、熱処理チャンバ内の熱処理プロセス圧力をセットするように利用される。
ステップ860において、基板は、第2の期間の間、ステップ850に記載されるコンディションの下で、熱的に処理される。例えば、第2の期間は、約10秒〜約480秒までの範囲とすることができる。
実施例において、処理システム200は、図2にて図示するように、酸化物ハードマスクを整える(trimming)ための化学的酸化物除去システムであり得る。処理システム200は、基板上の、酸化物表面層のようなさらされた表面層を化学的に処理する化学的処理システム210を備え、それによって、さらされた表面上のプロセス化学の吸着は、表面層の化学的変更に影響を及ぼす。加えて、処理システム200は、熱的に基板を処理する熱処理システム220を備え、それによって、基板温度は、基板上の化学的に変更されたさらされた表面層を脱離(または蒸発)するように昇温される。
化学的処理システム210において、プロセス空間262(図2を参照)は排気され、そしてHFおよびNHを含んでいるプロセスガスは、導入される。あるいは、プロセスガスは、キャリヤガスを、さらに備えることができる。キャリヤガスは、例えば、アルゴン、キセノン、ヘリウム等のような不活性ガスを含むことができる。プロセス圧力は、約1〜約100mTorrまでの範囲とできる。あるいは、圧力は、約2〜約25mTorrまでの範囲とできる。プロセスガス流量は、各々の種に対して、約1〜約200sccmまでの範囲とできる。あるいは、流量は、約10〜約100sccmまでの範囲とできる。真空排気システム250は、横から化学的処理チャンバ211に、アクセルするように図2および図3に示されるけれども、均一な(三次元の)圧力フィールドは、達成され得る。表1は、プロセス圧力、およびガス分配システム260と基板242の上側表面との間の間隔の関数として、基板表面での圧力均一性の依存を示す。
Figure 0005555743
加えて、化学的処理チャンバ211は、約10℃〜約200℃の範囲の温度に加熱され得る。あるいは、チャンバ温度は、約35℃〜約55℃の範囲とすることができる。加えて、ガス分配システムは、約10℃〜約200℃の範囲の温度に加熱され得る。あるいは、ガス分配システム温度は、約40℃〜約60℃の範囲とすることができる。基板は、約10℃〜約50℃の範囲の温度に維持され得る。あるいは、基板温度は、約25〜約30℃の範囲とすることができる。
熱処理システム220において、熱処理チャンバ221は、約20℃〜約200℃の範囲の温度に加熱され得る。あるいは、チャンバ温度は、約75〜約100℃の範囲とすることができる。加えて、上部アセンブリは、約20〜200℃の範囲の温度に加熱され得る。あるいは、上部アセンブリ温度は、約75℃〜約100℃の範囲とすることができる。基板は、約100℃を超える温度に加熱することができ、例えば、約100℃〜約200℃の範囲とすることができる。あるいは、基板温度は、約100〜150℃の範囲とすることができる。
ここに記載されている化学的処理および熱処理は、熱酸化物に対して、化学的処理の60秒につき、約10ナノメートルを上回るさらされた酸化物表面層のエッチング量を、熱酸化物に対して、化学的処理の180秒につき、約25ナノメートルを上回るさらされた酸化物表面層のエッチング量を、およびオゾンTEOSに対して、化学的処理の180秒につき、約10ナノメートルを上回るさらされた酸化物表面層のエッチング量を生じることができる。処理は、また、約2.5%未満の前記基板全体のエッチング偏差(バリエーション:variation)を生じ得る。
本発明の特定の実施形態だけが上で詳述したけれども、当業者は多くの変更態様が本発明の新規進歩の事項から逸脱することなく実施形態において可能であると容易に認める。
1…処理システム、10…第1の処理システム、20…第2の処理システム、30…移送システム、40…マルチ部材製造システム、50…アイソレーションアセンブリ、100…処理システム、110…第1の処理システム、120…第2の処理システム、130…移送システム、150…アイソレーションアセンブリ、200…処理システム、210…化学的処理システム、210’…化学的処理システム、211…化学的処理チャンバ、212…蓋、213…ハンドル、214…留め金、215…光学ビューポート、216…圧力感知装置、217…ヒンジ、220…熱処理システム、220’…熱処理システム、221…熱処理チャンバ。

Claims (15)

  1. 基板をエッチングするための処理システムであって、
    温度制御される化学的処理チャンバと、
    前記温度制御される化学的処理チャンバに組み合わされた壁加熱部材に接続され、化学的処理チャンバ温度を10℃〜200℃の範囲に制御するように構成された壁温度コントロールユニットと、
    前記化学的処理チャンバ内にマウントされ、1つ以上のさらされた表面層を有する前記基板を支持するように構成された温度制御される基板ホルダと、
    前記温度制御される基板ホルダに接続された温度制御コンポーネントと、
    前記化学的処理チャンバに組み合わされた真空排気システムと、
    前記化学的処理チャンバに接続され、前記基板上の前記さらされた表面層を化学的に変更するために前記化学的処理チャンバに1つ以上のプロセスガスを導入するように構成されたガス分配システムと、を具備し、
    前記化学的処理チャンバは、基板上の化学的に変更されたさらされた表面層を脱離または蒸発するように昇温される熱処理チャンバに組み合わされるように構成され、
    前記ガス分配システムは、前記化学的処理チャンバ内の前記1つ以上のプロセスガスにさらされかつ温度制御される部分を備え、
    前記温度制御される部分は、少なくとも1つのガス分配プレートを備え、
    前記ガス分配プレートは、1つ以上のガス注入オリフィスを備えており、
    前記温度制御される部分に組み合わされたガス分配加熱部材に接続され、ガス分配システム温度を40℃〜60℃の範囲に制御するように構成されたガス分配システム温度コントロールユニットを更に具備する、処理システム。
  2. 前記1つ以上のプロセスガスは、HFもしくはNH、または、HFおよびNHの両方を含んでいる請求項1に記載の処理システム。
  3. 前記温度制御コンポーネントは、抵抗加熱部材を更に備えている請求項1に記載の処理システム。
  4. 前記温度制御される基板ホルダは、この温度制御される基板ホルダに前記基板をクランプするように構成された静電クランプを更に備えている請求項1に記載の処理システム。
  5. 前記温度制御される基板ホルダは、前記基板の裏面に熱伝達ガスを供給するように構成された裏面ガス供給システムを更に備えている請求項1に記載の処理システム。
  6. 前記壁加熱部材は、抵抗加熱部材を備えている請求項1に記載の処理システム。
  7. 前記ガス分配加熱部材は、抵抗加熱部材を備えている請求項1に記載の処理システム。
  8. 前記化学的処理チャンバの1つ以上の表面は、防護壁で被覆され、
    前記防護壁は、ポリテトラフルオロエチレンを備えている請求項1に記載の処理システム。
  9. 前記1つ以上のプロセスガスは、HFおよびNHを含んでおり、
    前記ガス分配システムは、互いに独立して前記HFガス、および、前記NHガスを導入するように構成されている請求項1に記載の処理システム。
  10. 前記温度制御される基板ホルダに接続された前記温度制御コンポーネントは、基板ホルダ温度を10℃〜50℃の範囲に制御するように構成されている請求項1に記載の処理システム。
  11. 前記温度制御コンポーネントは、流体流れのための冷却チャンネルもしくは流体流れのための加熱チャンネル、または、冷却チャンネルおよび加熱チャンネルの両方を備えている請求項1に記載の処理システム。
  12. 基板をエッチングする方法であって:
    温度制御される化学的処理チャンバの温度制御される基板ホルダに基板を配置することと、
    前記基板の表面層を化学的に変更するために、前記化学的処理チャンバ内で化学的処理プロセスを前記基板上に実行することと、を具備し、
    前記化学的処理チャンバは、基板上の化学的に変更されたさらされた表面層を脱離または蒸発するように昇温される熱処理チャンバに組み合わされるように構成され、
    前記化学的処理プロセスは、
    前記基板の温度を制御することと、
    前記化学的処理チャンバの温度を10℃〜200℃の範囲内に制御することと、
    前記化学的処理チャンバ内の前記1つ以上のプロセスガスにさらされる温度制御される部分を備えているガス分配システムを介して、前記化学的処理チャンバに1つ以上のプロセスガスを導入することと、
    前記温度制御される部分の温度を40℃〜60℃の範囲内に制御することとを備えている、方法。
  13. 前記1つ以上のプロセスガスは、HFもしくはNH、または、HFおよびNHの両方を含んでいる請求項12に記載の方法。
  14. 前記化学的処理チャンバから前記熱処理チャンバへと前記基板を移送することと、
    前記化学的に変更された表面層を取り除くために前記熱処理チャンバ内で熱処理プロセスを実行することとを更に具備し、
    前記熱処理プロセスは、前記基板の温度を100℃上回る温度に上昇させることを備えている、請求項12に記載の方法。
  15. 前記基板の前記温度を10℃〜50℃の範囲内に制御することを更に具備する請求項12に記載の方法。
JP2012144125A 2003-03-17 2012-06-27 基板を化学的処理する処理システムおよび方法 Expired - Lifetime JP5555743B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US45464203P 2003-03-17 2003-03-17
US60/454,642 2003-03-17

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2010201148A Division JP5290250B2 (ja) 2003-03-17 2010-09-08 基板を化学的処理する処理システムおよび方法

Publications (2)

Publication Number Publication Date
JP2012209574A JP2012209574A (ja) 2012-10-25
JP5555743B2 true JP5555743B2 (ja) 2014-07-23

Family

ID=33029907

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2006507203A Expired - Lifetime JP5107572B2 (ja) 2003-03-17 2004-03-16 基板を化学的処理する処理システムおよび方法
JP2010201148A Expired - Lifetime JP5290250B2 (ja) 2003-03-17 2010-09-08 基板を化学的処理する処理システムおよび方法
JP2012144125A Expired - Lifetime JP5555743B2 (ja) 2003-03-17 2012-06-27 基板を化学的処理する処理システムおよび方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2006507203A Expired - Lifetime JP5107572B2 (ja) 2003-03-17 2004-03-16 基板を化学的処理する処理システムおよび方法
JP2010201148A Expired - Lifetime JP5290250B2 (ja) 2003-03-17 2010-09-08 基板を化学的処理する処理システムおよび方法

Country Status (7)

Country Link
US (3) US6951821B2 (ja)
EP (1) EP1604388B1 (ja)
JP (3) JP5107572B2 (ja)
AT (1) ATE496391T1 (ja)
DE (1) DE602004031089D1 (ja)
TW (1) TWI253690B (ja)
WO (1) WO2004082820A2 (ja)

Families Citing this family (226)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7877161B2 (en) 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
JP2005043052A (ja) * 2003-07-22 2005-02-17 Hitachi High-Technologies Corp 異物検出方法、処理装置および異物管理システム
US7651583B2 (en) * 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US20050269291A1 (en) * 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US8540843B2 (en) 2004-06-30 2013-09-24 Lam Research Corporation Plasma chamber top piece assembly
US7780791B2 (en) * 2004-06-30 2010-08-24 Lam Research Corporation Apparatus for an optimized plasma chamber top piece
US20060000551A1 (en) * 2004-06-30 2006-01-05 Saldana Miguel A Methods and apparatus for optimal temperature control in a plasma processing system
US20060021571A1 (en) * 2004-07-28 2006-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Vacuum pump line with nickel-chromium heater layer
JP4860219B2 (ja) * 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7510972B2 (en) * 2005-02-14 2009-03-31 Tokyo Electron Limited Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device
US7622392B2 (en) * 2005-02-18 2009-11-24 Tokyo Electron Limited Method of processing substrate, method of manufacturing solid-state imaging device, method of manufacturing thin film device, and programs for implementing the methods
US20060196527A1 (en) * 2005-02-23 2006-09-07 Tokyo Electron Limited Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods
EP1790758A1 (en) * 2005-11-25 2007-05-30 Interuniversitair Microelektronica Centrum ( Imec) Atomic layer deposition (ald) method for producing a high quality layer
EP1728894B1 (en) * 2005-06-01 2008-10-15 Interuniversitair Microelektronica Centrum ( Imec) Atomic layer deposition (ald) method for producing a high quality layer
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
JP4805948B2 (ja) * 2005-12-22 2011-11-02 東京エレクトロン株式会社 基板処理装置
US7631898B2 (en) * 2006-01-25 2009-12-15 Chrysler Group Llc Power release and locking adjustable steering column apparatus and method
US7795148B2 (en) * 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US8343280B2 (en) 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
JP5084250B2 (ja) * 2006-12-26 2012-11-28 東京エレクトロン株式会社 ガス処理装置およびガス処理方法ならびに記憶媒体
US7786016B2 (en) * 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
US20080217293A1 (en) * 2007-03-06 2008-09-11 Tokyo Electron Limited Processing system and method for performing high throughput non-plasma processing
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US8083862B2 (en) * 2007-03-09 2011-12-27 Tokyo Electron Limited Method and system for monitoring contamination on a substrate
US20080228308A1 (en) * 2007-03-13 2008-09-18 Tokyo Electron Limited Critical dimension uniformity optimization
JP2008235309A (ja) * 2007-03-16 2008-10-02 Tokyo Electron Ltd 基板処理装置、基板処理方法および記録媒体
JP4949091B2 (ja) 2007-03-16 2012-06-06 東京エレクトロン株式会社 基板処理装置、基板処理方法および記録媒体
JP2008235315A (ja) * 2007-03-16 2008-10-02 Tokyo Electron Ltd 基板処理装置、基板処理方法および記録媒体
JP2008244224A (ja) * 2007-03-28 2008-10-09 Sumitomo Precision Prod Co Ltd プラズマ処理装置
JP5069982B2 (ja) * 2007-09-06 2012-11-07 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP5374039B2 (ja) 2007-12-27 2013-12-25 東京エレクトロン株式会社 基板処理方法、基板処理装置及び記憶媒体
US20090212014A1 (en) * 2008-02-27 2009-08-27 Tokyo Electron Limited Method and system for performing multiple treatments in a dual-chamber batch processing system
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US8323410B2 (en) * 2008-07-31 2012-12-04 Tokyo Electron Limited High throughput chemical treatment system and method of operating
US8303716B2 (en) 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US8303715B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput thermal treatment system and method of operating
US8287688B2 (en) 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
US8115140B2 (en) 2008-07-31 2012-02-14 Tokyo Electron Limited Heater assembly for high throughput chemical treatment system
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US8481433B2 (en) * 2009-03-31 2013-07-09 Applied Materials, Inc. Methods and apparatus for forming nitrogen-containing layers
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8344300B2 (en) * 2010-06-14 2013-01-01 Tokyo Electron Limited Device to reduce shadowing during radiative heating of a substrate
JP5101665B2 (ja) * 2010-06-30 2012-12-19 東京エレクトロン株式会社 基板載置台、基板処理装置および基板処理システム
US20120009796A1 (en) * 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8802545B2 (en) 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9070760B2 (en) 2011-03-14 2015-06-30 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8946058B2 (en) 2011-03-14 2015-02-03 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9054048B2 (en) 2011-07-05 2015-06-09 Applied Materials, Inc. NH3 containing plasma nitridation of a layer on a substrate
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8826857B2 (en) * 2011-11-21 2014-09-09 Lam Research Corporation Plasma processing assemblies including hinge assemblies
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
KR101582711B1 (ko) * 2012-11-13 2016-01-05 주식회사 엘지화학 유리기판의 평탄면 형성장치
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
JP5807160B2 (ja) * 2012-12-13 2015-11-10 パナソニックIpマネジメント株式会社 ノンプラズマドライエッチング装置
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
NL2010471C2 (en) * 2013-03-18 2014-09-24 Levitech B V Substrate processing apparatus.
JP6173743B2 (ja) * 2013-03-29 2017-08-02 芝浦メカトロニクス株式会社 プラズマ処理装置、およびプラズマ処理方法
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9245767B2 (en) * 2013-09-12 2016-01-26 Applied Materials, Inc. Anneal module for semiconductor wafers
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9472453B2 (en) 2014-03-13 2016-10-18 Qualcomm Incorporated Systems and methods of forming a reduced capacitance device
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
KR20180099844A (ko) * 2016-02-22 2018-09-05 어플라이드 머티어리얼스 이탈리아 에스.알.엘. 태양 전지 기판의 프로세싱을 위한 장치, 태양 전지 기판의 프로세싱을 위한 시스템 및 태양 전지 기판의 프로세싱을 위한 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9958782B2 (en) 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10497575B2 (en) 2017-05-03 2019-12-03 Tokyo Electron Limited Method for increasing trench CD in EUV patterning without increasing single line opens or roughness
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
JP6427628B2 (ja) * 2017-06-05 2018-11-21 芝浦メカトロニクス株式会社 プラズマ処理装置、およびプラズマ処理方法
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10607851B2 (en) 2017-08-25 2020-03-31 Micron Technology, Inc. Vapor-etch cyclic process
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
CN108360046B (zh) * 2018-02-08 2019-10-18 平湖乔智电子有限公司 一种led灯壳电镀用夹持装置
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
EP3821457A4 (en) 2018-07-09 2022-04-13 Lam Research Corporation ETCHING ATOMIC LAYER ETCHING USING ELECTRON EXCITATION
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN110484952B (zh) * 2019-07-08 2020-09-25 西安理工大学 一种活塞火力岸至顶面范围微弧氧化工艺装备
WO2024072668A1 (en) * 2022-09-30 2024-04-04 Lam Research Corporation Dome shaped chamber for generating in-situ cleaning plasma

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4898798A (en) * 1986-09-26 1990-02-06 Canon Kabushiki Kaisha Photosensitive member having a light receiving layer comprising a carbonic film for use in electrophotography
JP2605465B2 (ja) * 1990-08-31 1997-04-30 日本電気株式会社 容量絶縁膜の形成方法
JPH0521578A (ja) * 1991-07-15 1993-01-29 Fujitsu Ltd 半導体製造装置
US5303671A (en) * 1992-02-07 1994-04-19 Tokyo Electron Limited System for continuously washing and film-forming a semiconductor wafer
US5282925A (en) * 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
JPH07254586A (ja) * 1994-03-15 1995-10-03 Kawasaki Steel Corp 半導体装置の製造方法
JPH07283205A (ja) * 1994-04-14 1995-10-27 Fujitsu Ltd エッチング方法
JPH08195381A (ja) * 1995-01-17 1996-07-30 Fujitsu Ltd 半導体装置の製造方法
US5679168A (en) * 1995-03-03 1997-10-21 Silicon Valley Group, Inc. Thermal processing apparatus and process
JP3362552B2 (ja) * 1995-03-10 2003-01-07 東京エレクトロン株式会社 成膜処理装置
KR100427425B1 (ko) * 1995-04-20 2005-08-01 가부시키 가이샤 에바라 세이사꾸쇼 박막증착장치
US5730803A (en) * 1996-02-23 1998-03-24 Applied Materials, Inc. Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body
US6159297A (en) * 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US5728260A (en) * 1996-05-29 1998-03-17 Applied Materials, Inc. Low volume gas distribution assembly and method for a chemical downstream etch tool
JPH1041277A (ja) * 1996-07-23 1998-02-13 Miyazaki Oki Electric Co Ltd ドライエッチング装置およびこれを用いたドライエッチング方法
US5976309A (en) * 1996-12-17 1999-11-02 Lsi Logic Corporation Electrode assembly for plasma reactor
US6074951A (en) * 1997-05-29 2000-06-13 International Business Machines Corporation Vapor phase etching of oxide masked by resist or masking material
US5838055A (en) * 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US5876879A (en) * 1997-05-29 1999-03-02 International Business Machines Corporation Oxide layer patterned by vapor phase etching
US5994662A (en) * 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
JP3583289B2 (ja) * 1998-05-28 2004-11-04 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US6740247B1 (en) * 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
TW582050B (en) * 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
JP2001040480A (ja) * 1999-05-21 2001-02-13 Ebara Corp 基板処理装置及び方法
US6408786B1 (en) 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US20010016226A1 (en) * 1999-12-15 2001-08-23 International Business Machines Corporation Method for preparing the surface of a dielectric
US6891124B2 (en) * 2000-01-05 2005-05-10 Tokyo Electron Limited Method of wafer band-edge measurement using transmission spectroscopy and a process for controlling the temperature uniformity of a wafer
US6245619B1 (en) * 2000-01-21 2001-06-12 International Business Machines Corporation Disposable-spacer damascene-gate process for SUB 0.05 μm MOS devices
US6271094B1 (en) * 2000-02-14 2001-08-07 International Business Machines Corporation Method of making MOSFET with high dielectric constant gate insulator and minimum overlap capacitance
JP2001319885A (ja) * 2000-03-02 2001-11-16 Hitachi Kokusai Electric Inc 基板処理装置及び半導体製造方法
US6331212B1 (en) * 2000-04-17 2001-12-18 Avansys, Llc Methods and apparatus for thermally processing wafers
US6335261B1 (en) * 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
JP3811602B2 (ja) * 2000-09-01 2006-08-23 大日本スクリーン製造株式会社 基板表面処理方法および基板表面処理装置
JP2002110571A (ja) * 2000-10-04 2002-04-12 Sony Corp 成膜装置および成膜方法
JP2002134478A (ja) * 2000-10-25 2002-05-10 Hitachi Ltd オゾン処理装置
US6926843B2 (en) * 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
JP2002217414A (ja) * 2001-01-22 2002-08-02 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP2002280372A (ja) * 2001-03-19 2002-09-27 Tokyo Electron Ltd 処理装置
US6652711B2 (en) * 2001-06-06 2003-11-25 Tokyo Electron Limited Inductively-coupled plasma processing system
KR100443908B1 (ko) * 2001-10-25 2004-08-09 삼성전자주식회사 플라즈마 화학기상증착장치 및 이를 이용한나이트라이드막 형성방법
US7079760B2 (en) * 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US7214274B2 (en) * 2003-03-17 2007-05-08 Tokyo Electron Limited Method and apparatus for thermally insulating adjacent temperature controlled processing chambers

Also Published As

Publication number Publication date
TW200426940A (en) 2004-12-01
ATE496391T1 (de) 2011-02-15
JP2011009777A (ja) 2011-01-13
WO2004082820A3 (en) 2004-11-04
US20050211386A1 (en) 2005-09-29
EP1604388B1 (en) 2011-01-19
US20110204029A1 (en) 2011-08-25
US7964058B2 (en) 2011-06-21
JP2012209574A (ja) 2012-10-25
EP1604388A2 (en) 2005-12-14
US6951821B2 (en) 2005-10-04
JP2006521017A (ja) 2006-09-14
DE602004031089D1 (de) 2011-03-03
US20040182417A1 (en) 2004-09-23
WO2004082820A2 (en) 2004-09-30
JP5107572B2 (ja) 2012-12-26
TWI253690B (en) 2006-04-21
JP5290250B2 (ja) 2013-09-18

Similar Documents

Publication Publication Date Title
JP5555743B2 (ja) 基板を化学的処理する処理システムおよび方法
JP4795935B2 (ja) 基板を処理する処理システムおよび方法
JP4745958B2 (ja) 基板を熱処理する処理システムおよび方法
JP5100372B2 (ja) 基材を処理するための加工システムおよび方法
US7651583B2 (en) Processing system and method for treating a substrate
US20050218114A1 (en) Method and system for performing a chemical oxide removal process
EP1730768A2 (en) Method and system for adjusting a chemical oxide removal process using partial pressure
US20080217293A1 (en) Processing system and method for performing high throughput non-plasma processing
US20050269291A1 (en) Method of operating a processing system for treating a substrate
JP2011176365A (ja) 化学的酸化物除去(ChemicalOxideRemoval)処理システム及び方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120727

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120727

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130617

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20130619

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20130619

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130625

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130826

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140507

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140602

R150 Certificate of patent or registration of utility model

Ref document number: 5555743

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250