KR20090127323A - 고효율의 비플라즈마 처리를 실행하기 위한 처리 시스템 및 방법 - Google Patents

고효율의 비플라즈마 처리를 실행하기 위한 처리 시스템 및 방법 Download PDF

Info

Publication number
KR20090127323A
KR20090127323A KR1020097020570A KR20097020570A KR20090127323A KR 20090127323 A KR20090127323 A KR 20090127323A KR 1020097020570 A KR1020097020570 A KR 1020097020570A KR 20097020570 A KR20097020570 A KR 20097020570A KR 20090127323 A KR20090127323 A KR 20090127323A
Authority
KR
South Korea
Prior art keywords
substrate
processing
chamber
thermal
temperature
Prior art date
Application number
KR1020097020570A
Other languages
English (en)
Inventor
šœ이치 리무로
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20090127323A publication Critical patent/KR20090127323A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

본 발명은 일반적으로, 고효율의 비플라즈마 처리를 실행하기 위한 장치(100) 및 방법의 실시예를 개시하고 있다. 다른 실시예를 설명하고 청구할 수도 있다.

Description

고효율의 비플라즈마 처리를 실행하기 위한 처리 시스템 및 방법{PROCESSING SYSTEM AND METHOD FOR PERFORMING HIGH THROUGHPUT NON-PLASMA PROCESSING}
본 발명의 분야는 일반적으로 반도체 집적 회로 제작의 분야에 관한 것으로, 보다 구체적으로 고효율의 비플라즈마 처리를 실행하기 위한 시스템 및 방법에 관한 것이다.
반도체 처리 중에, 플라즈마 에칭 공정을 활용하여 반도체 기판 상에 패터닝된 비아(via) 또는 콘택트(contact) 내에서 또는 미세 라인을 따라 재료를 제거하거나 에칭하는 것이 통상적이다. 플라즈마 에칭 공정은 일반적으로, 처리 챔버 내에서 보호층, 예컨대 포토레지스트층이 위에 패터닝되어 있는 반도체 기판을 위치 결정하는 것을 포함한다. 일단 챔버 내에서 기판이 위치 결정되면, 진공 펌프를 조절하여 주변 공정 압력을 달성하면서, 이온화 가능한 해리성 가스 혼합물을 미리 정한 유량으로 챔버 내에 도입한다. 그 후, 가스 혼합물에 존재하는 가스종의 일부가, 고주파(RF) 파워의 유도형 또는 용량형 전달을 통해, 또는 예컨대 전자 사이클로트론 공명(ECR : Electron Cyclotron Resonance)을 이용한 마이크로파 파워의 전달을 통해 가열된 전자에 의해 이온화될 때, 플라즈마가 형성된다. 또한, 가열된 전자와 가스 분자 사이의 충돌은, 주변 가스 종의 일부를 해리시키고 노출된 표 면의 에칭 화학반응(chemistry)에 적합한 하나 이상의 반응 종을 생성하는 작용을 한다. 일단 플라즈마가 형성되면, 플라즈마가 기판의 하나 이상의 선택된 표면을 에칭한다.
플라즈마 에칭 공정은, 기판의 선택된 영역에서 다양한 피처(예컨대, 트렌치, 비아, 콘택트, 게이트 등)를 에칭하기 위해, 바람직한 반응물과 이온 집단의 적절한 농도를 포함한 적절한 조건을 달성하도록 조정된다. 지속적이고 반복 가능한 플라즈마 공정을 개시하고 유지하는 데에는, 상당한 파워의 인가, 특정의 장비 및 규칙적인 유지 보수를 필요로 한다.
에칭 공정은 일반적으로 단일 웨이퍼 구조의 클러스터 툴을 이용하여 실행되며,이 클러스터 툴은, 로드록 챔버, 웨이퍼 반송 스테이션, 그리고 모든 공정 챔버에 대한 로딩 및 언로딩을 담당하는 웨이퍼 반송 스테이션의 단일의 웨이퍼 핸들러를 공유하는 하나 이상의 공통 챔버를 포함한다. 단일 웨이퍼 구조로 인하여, 웨이퍼 내부와 웨이퍼로부터 웨이퍼에 지속적이고 반복 가능한 에칭 특성을 제공하는 방식으로 챔버마다 웨이퍼를 처리할 수 있다.
에칭 클러스터 툴이 반도체 기판 상의 다양한 피처를 에칭하는데 필요한 특성을 제공하고는 있지만, 필요한 공정 특성을 제공하면서 공정 툴의 처리량을 증가시키는 것이 반도체 처리 분야에 있어서의 요구되고 있다.
고효율의 비플라즈마 처리를 실행하기 위한 장치 및 방법을 다양한 실시예로 개시하고 있다. 그러나 당업자는, 특정의 세부사항 중 하나 이상을 포함하지 않고, 또는 다른 대체물 및/또는 추가의 방법, 재료 또는 구성 요소를 이용하여 다양한 실시예를 실행할 수 있다는 것을 인식할 것이다. 경우에 따라서는, 본 발명의 다양한 실시예가 명확하지 않게 되는 경우를 피하기 위하여 공지의 구조, 재료 또는 조작에 대해서는 상세하게 설명하거나 도시하지 않는다. 마찬가지로, 설명의 편의상, 본 발명의 철저한 이해를 돕기 위하여 특정의 넘버, 재료 및 구조를 설명하고 있다. 그럼에도 불구하고, 본 발명을 특정의 세부 사항 없이 실행할 수 있다. 또한, 도면에 도시된 다양한 실시예가 단지 예시적으로 제시된 것이고, 반드시 실척으로 도시된 것은 아니라는 점을 이해해야 한다.
본 명세서 전체에 있어서, "일 실시예(one embodiment)", "실시예(an embodiment)" 또는 실시예의 변형은, 실시예와 관련하여 설명한 특정의 특징, 구조, 재료 또는 특성이 본 발명의 적어도 하나의 실시예에 포함되는 것을 의미하는 것이고, 이들이 모든 실시예에 존재하는 것을 나타내는 것은 아니다. 따라서 본 명세서의 전체에 걸쳐 여러 부분에 기재되어 있는 "일 실시예에 있어서" 또는 "실시예에 있어서" 등의 어구의 표현이 반드시 본 발명의 동일 실시예를 언급하는 것은 아니다. 또한, 특정의 특징, 구조, 재료 또는 특성을 하나 이상의 실시예에서 임의의 적절한 방식으로 조합할 수도 있다. 다른 실시예에서는, 다양한 추가의 층 및/또는 구조를 구비할 수도 있고, 및/또는 개시된 특징을 생략할 수도 있다.
본 발명을 이해하는 데에 가장 도움이 되도록, 다양한 조작을 다수의 개별 조작으로서 차례로 설명하기로 한다. 그러나 설명의 순서로부터, 이들 조작이 반드시 순서에 의존하는 것으로 해석해서는 안 된다. 특히, 이들 조작을 명세서에 개시하는 순서로 실행할 필요는 없다. 개시된 조작을 개시된 실시예와 상이한 순서로 실행할 수도 있다. 추가의 실시예에서는, 다양한 추가의 조작을 실행할 수도 있고, 및/또는 개시된 조작을 생략할 수도 있다.
일반적으로 복수의 기판을 고효율로 처리하기 위한 시스템 및 방법이 요구되고 있고, 특히 복수의 기판을 고효율로 화학 처리 및 열 처리하기 위한 시스템 및 방법이 요구되고 있다. 복수의 기판 홀더와 스테이션마다의 전용 핸들러를 사용함으로써, 복수의 기판의 화학 처리 및 열 처리 처리량을 향상시킬 수 있다.
복수의 기판을 처리하는 처리 시스템의 일 실시예는, 화학 처리 챔버, 열 처리 챔버 및 격리 조립체를 포함할 수 있다. 화학 처리 챔버는 복수의 온도 제어식 기판 플랫폼과, 화학 처리 챔버에 결합된 제1 진공 펌핑 시스템과, 제1 열교환 요소와, 기판의 표면층을 화학적으로 개질시키기 위하여 화학 처리 챔버의 공정 공간 내로 복수의 공정 가스를 이송하는 가스 분배 시스템을 구비할 수 있다. 열 처리 챔버는 복수의 온도 제어식 기판 홀더와, 제2 열교환 요소와, 열 처리 챔버에 결합된 제2 진공 펌핑 시스템을 구비할 수 있다. 마지막으로, 격리 조립체는, 화학 처리 챔버와 열 처리 챔버 사이에 배치되어, 복수의 기판을 화학 처리 챔버와 열 처리 챔버 사이에서 반송하는 전용 핸들러를 구비할 수 있다.
본 명세서에 채용되어 본 명세서의 일부를 이루고 있는 첨부 도면은, 이하에 언급하는 실시예의 상세한 설명과 함께 본 발명의 실시예를 예시하고 있으며, 본 발명의 원리를 설명하는 기능을 한다.
도 1은 제1 처리 시스템, 제2 처리 시스템, 그리고 제1 및 제2 처리 시스템용의 반송 시스템을 구비하는 처리 시스템의 실시예의 개략적인 측면도이고,
도 2는 도 1의 반송 시스템의 개략적인 평면도이고,
도 3은 처리 시스템의 변형예의 도 1과 유사한 개략적인 측면도이고,
도 4는 온도 제어식 기판 플랫폼 및 가스 분배 시스템을 갖는 화학 처리 시스템과, 기판 승강기 조립체를 갖는 열 처리 시스템과, 화학 처리 시스템과 열 처리 시스템을 열적으로 절연시키는 단열 조립체를 구비하는 처리 시스템의 실시예의 개략적인 측면도를 부분 단면도로 도시하고,
도 5는 도 4의 화학 처리 시스템의 개략적인 측면도를 부분 단면도로 도시하고,
도 6은 도 4에 따른 열 처리 시스템의 개략적인 측면도를 부분 단면도로 도시하고,
도 7은 도 4의 화학 처리 시스템의 온도 제어식 기판 플랫폼의 개략적인 단면도이고,
도 8은 도 4의 가스 분배 시스템의 개략적인 단면도이고,
도 9는 도 8과 유사한 가스 분배 시스템의 다른 실시예의 개략적인 단면도이고,
도 10은 도 8에 도시된 가스 분배 시스템의 일부 확대도이고,
도 11은 도 8의 가스 분배 시스템의 사시도이고,
도 12는 도 4 및 도 6의 기판 승강기 조립체를 도시하는 도면이고,
도 13은 도 4의 단열 조립체의 측면도이고,
도 14는 도 13의 단열 조립체의 분해 단면 측면도이고,
도 15는 복수의 기판을 처리하는 흐름도이다.
도 1 및 도 2를 참조하면, 복수의 기판을 처리하기 위하여 사용되며, 예컨대 마스크층을 트리밍하는 공정을 이용하는 처리 시스템(100)이 도시되어 있다. 처리 시스템(100)은 제1 처리 시스템(110)과, 제1 처리 시스템(110)에 결합된 제2 처리 시스템(120)을 구비한다. 일 실시예에 있어서, 제1 처리 시스템(110)은 화학 처리 시스템이고, 제2 처리 시스템(120)은 열 처리 시스템이다. 다른 실시예에 있어서, 제2 처리 시스템(120)은 웨이퍼 세정(rinsing) 시스템과 같은 기판 세정 시스템이다. 처리 시스템(100)은, 제1 처리 시스템(110)과 제2 처리 시스템(120)의 내외로 기판을 반송하도록 제1 처리 시스템(110)에 결합된 반송 시스템(130)을 더 구비한다. 또한, 반송 시스템(130)은 다수 요소 제작 시스템(140; multi-element manufacturing system)과 기판을 교환하는데도 사용된다. 다수 요소 제작 시스템(140)은, 기판의 카세트를 주변 조건과 저압 조건 사이에서 순환시킬 수 있도록 하는 로드록 요소를 포함할 수 있다.
제1 및 제2 처리 시스템(110, 120)과, 반송 시스템(130)은 예컨대, 다수 요소 제작 시스템(140) 내에 처리 요소를 포함할 수 있다. 반송 시스템(130)은, 복수의 기판을 제1 처리 시스템(110), 제2 처리 시스템(120) 및 다수 요소 제작 시스템(140) 사이에서 이동시키기 위한 전용 핸들러(160)를 구비할 수 있다. 예컨대, 전용 핸들러(160)는 복수의 기판을 처리 시스템[제1 처리 시스템(110) 및 제2 처리 시스템(120)]과 다수 요소 제작 시스템(140) 사이에서 반송하는데에 전용일 수 있지만, 본 발명의 실시예가 이로 한정되는 것은 아니다. 또한, 반송 시스템(130)은 하나 이상의 기판 카세트(도시 생략)와 기판(442)을 교환할 수 있다.
일 실시예에 있어서, 도 1 및 도 2에는 단지 2개의 처리 시스템만이 도시되어 있지만, 다수 요소 제작 시스템(140)으로 인하여, 에칭 시스템, 증착 시스템, 코팅 시스템, 패터닝 시스템, 계측 시스템 등과 같은 장치를 포함하는 처리 요소의 내외로 기판을 반송할 수 있게 되어 있다. 제1 및 제2 처리 시스템에서 일어나는 공정을 격리시키기 위하여, 격리 조립체(150)를 활용하여 각 시스템을 결합시키고 있다. 예컨대, 격리 조립체(150)는 열적 고립을 제공하는 단열 조립체 또는 진공 고립을 제공하는 게이트 밸브 조립체 중 적어도 하나를 포함할 수 있다. 물론, 처리 시스템(110, 120)과 반송 시스템(130)을 임의의 순서로 배치할 수도 있다. 또한 예컨대, 반송 시스템(130)이 격리 조립체(150)의 일부로서 작용할 수도 있다.
처리 시스템(100)에 있어서는, 기판(442)을 동일 처리 시스템의 다른 기판(442)과 병렬로 처리하고 있다. 변형예에 있어서는, 기판(442)을 차례로(front-to-back) 처리할 수도 있다. 도 2의 각 처리 시스템에는 단지 2개의 기판이 도시되어 있지만, 각 처리 시스템에서 2개 이상의 기판을 병렬로 처리할 수도 있다.
동일 도면 부호가 도 1의 동일 구성을 지칭하고 있으며, 변형예를 도시하고 있는 도 3을 참고하면, 복수의 기판을 처리하기 위한 처리 시스템(100a)은, 제2 처리 시스템(120)의 위에 수직 방향으로 쌓아올린 배치로 제1 처리 시스템(110)을 배 치하고 있다. 그 이외에, 처리 시스템(100a)은 처리 시스템(100; 도 1 및 도 2)과 실질적으로 동일하다.
일반적으로, 도 1에 도시된 처리 시스템(100)의 제1 처리 시스템(110)과 제2 처리 시스템(120) 중 적어도 하나는 복수의 기판을 통과시킬 수 있는 적어도 2개의 반송 개구를 포함한다. 예컨대, 도 1에 도시된 바와 같이, 제2 처리 시스템(120)은 2개의 이송 개구를 구비하고, 제1 반송 개구는 제1 처리 시스템(110)과 제2 처리 시스템(120) 사이에서 기판을 통과시킬 수 있고, 제2 반송 개구는 반송 시스템(130)과 제2 처리 시스템(120) 사이에서 기판을 통과시킬 수 있다. 그러나 도 1 및 도 2에 도시된 처리 시스템(100) 및 도 3에 도시된 처리 시스템(100a)과 관련하여, 각 처리 시스템은 복수의 기판을 통과시킬 수 있는 적어도 하나의 반송 개구를 각각 구비하고 있다.
도 4를 참조하면, 복수의 기판의 화학 처리 및 열 처리를 실행하기 위한 처리 시스템(100)의 실시예가 도시되어 있다. 처리 시스템(100)은 화학 처리 시스템(410)과, 화학 처리 시스템(410)에 결합된 열 처리 시스템(420)을 구비한다. 화학 처리 시스템(410)은 온도 제어 가능한 화학 처리 챔버(411)를 구비한다. 열 처리 시스템(420)도 마찬가지로 온도 제어 가능한 열 처리 챔버(421)를 구비한다. 화학 처리 챔버(411)와 열 처리 챔버(421)는 단열 조립체(430)를 이용하여 서로 열적으로 절연될 수 있고, 게이트 밸브 조립체(496)를 이용하여 서로 진공 격리될 수 있으며, 이에 대해서는 이하에서 보다 상세하게 설명한다.
도 4, 도 5 및 도 7을 참고하면, 화학 처리 시스템(410)은 복수의 온도 제어 식 기판 플랫폼(440)과, 화학 처리 챔버(411)와 유체 연통식으로 결합된 제1 진공 펌핑 시스템(450)과, 화학 처리 챔버(411) 내의 공정 공간(462)에 하나 이상의 공정 가스를 도입하기 위한 가스 분배 시스템(460)을 구비한다. 온도 제어식 기판 플랫폼(440)은 화학 처리 챔버(411)와 실질적으로 열적으로 격리되도록 구성되어 있고, 또한 복수의 기판(442)을 지지하도록 구성된다. 제1 진공 펌핑 시스템(450)은 화학 처리 챔버(411)를 진공 배기하도록 구성된다. 도 4 및 도 5에 있어서는, 화학 처리 챔버(411)의 실시예가 2개의 온도 제어식 기판 플랫폼(440)을 사용하는 것을 도시하고 있지만, 본 발명의 실시예가 이로 한정되는 것은 아니다. 기판 플랫폼(440)과 유사한 추가의 온도 제어식 기판 플랫폼(도시 생략)을 각 화학 처리 챔버(411)에 구비하여 복수의 기판을 병렬로 처리할 수도 있다.
화학 처리 챔버(411), 열 처리 챔버(421) 및 단열 조립체(430)는, 기판(442)을 반송할 수 있는 공통의 개구(494)를 구획하고 있다. 처리 중에, 공통의 개구(494)는 두 챔버(411, 421) 내에서 독립적으로 처리를 행할 수 있도록 게이트 밸브 조립체(496)를 이용하여 밀봉 폐쇄될 수 있다. 도 1에 가장 잘 도시된 바와 같이, 반송 시스템(130)에 의하여 기판을 교환할 수 있도록 하기 위하여 열 처리 챔버(421)에 반송 개구(498)가 형성되어 있다. 예컨대, 열 처리 챔버(421)를 반송 시스템(130)(도 1)으로부터 열적으로 절연시키도록 제2 단열 조립체(431)를 구현할 수 있다. (도 1과 일치하게) 반송 개구(498)가 열 처리 챔버(421)의 일부로서 도시되어 있지만, 반송 개구(498)는 [도 1에 도시된 것과 역전된 챔버 위치로 인해] 열 처리 챔버(421)에 형성되지 않고 화학 처리 챔버(411)에 형성될 수도 있고, 반 송 개구(498)는 (도 3에 도시된 바와 같이) 화학 처리 챔버(411)와 열 처리 챔버(421) 모두에 형성될 수도 있다.
화학 처리 시스템(410)은 복수의 기판(442)을 열적으로 제어하고 처리하도록 여러 조작 기능을 제공하는 복수의 기판 플랫폼(440)과 기판 플랫폼 조립체(444)를 구비한다. 기판 플랫폼(440)과 기판 플랫폼 조립체(444)는 기판(442)을 기판 플랫폼(440)에 정전기적으로 클램핑하는 정전 클램핑 시스템을 구비할 수도 있다. 이를 위하여, 각 기판 플랫폼(440)은 정전 클램프(ESC; 728)를 더 포함하고, 이 정전 클램프는 세라믹 층(730), 세라믹 층(730)에 매립된 클램핑 전극(732), 전기 접속부(736)를 이용하여 클램핑 전극(732)에 결합된 고전압(HV) DC 전압 공급부(734)를 구비한다. ESC(728)는 예컨대 단극일 수도 있고, 쌍극일 수도 있다. 이러한 정전 척의 구조 및 구현은 정전 클램핑 시스템 분야의 당업자에게는 널리 알려져 있다. 대안으로, 각 기판 플랫폼(440)은 하나 이상의 기판(442)을 기계적으로 클램핑하기 위한 기계적 클램핑 시스템을 포함할 수 있다.
각각의 기판 플랫폼(440)은 예컨대, 기판 플랫폼(440)으로부터 열을 수용하고 열교환 시스템(도시 생략)에 열을 전달하거나, 가열 시에 열 교환 시스템(도시 생략)으로부터의 열을 기판 플랫폼(440)에 전달하는 재순환 냉매 유동을 갖는 냉각 시스템을 더 포함할 수 있다. 다른 실시예에 있어서, 저항성 가열 요소와 같은 가열/냉각 요소, 또는 열전 가열기/냉각기를 화학 처리 챔버(411)의 챔버 벽 뿐 아니라 기판 플랫폼(440)에 구비할 수 있다.
다시 도 4 및 도 5를 참조하면, 화학 처리 시스템(410)은 상승된 온도로 유 지되는 온도 제어식 화학 처리 챔버(411)를 더 포함한다. 예컨대, 온도 제어 유닛(468)에 가열 요소(466)가 전기적으로 결합될 수 있고, 가열 요소(466)는 화학 처리 챔버(411)의 벽에 열을 전달하도록 구성될 수 있다. 온도 제어 유닛(468)은 예컨대, 가열 요소(466)와 전기적으로 결합된 제어 가능한 DC 파워 서플라이를 포함할 수 있다. 화학 처리 챔버(411)에 냉각 요소를 채용할 수도 있다. 화학 처리 챔버(411)의 온도는, 서모커플(예컨대, K 타입 서모커플, Pt 센서 등)과 같은 온도 검출 장치를 이용하여 모니터할 수 있다. 또한, 컨트롤러는, 화학 처리 챔버(411)의 온도를 제어하기 위하여 벽 온도 제어 유닛(468)에 대한 피드백으로서 온도 계측치를 활용할 수 있다.
화학 처리 시스템(410)의 온도 제어식 가스 분배 시스템(460)은 임의의 선택된 온도로 유지될 수 있다. 예컨대, 온도 제어 유닛(569)에 가열 요소(567)가 전기적으로 결합될 수 있고, 가열 요소(567)는 가스 분배 시스템(460)에 열을 전달하도록 구성될 수 있다. 가스 분배 시스템의 온도 제어 유닛(569)은 예컨대, 가열 요소(567)와 전기적으로 결합된 제어 가능한 DC 파워 서플라이드를 구비할 수 있다. 가스 분배 시스템(460)의 온도는 서모커플(예컨대, K 타입 서모커플, Pt 센서 등)과 같은 온도 검출 장치를 이용하여 모니터할 수 있다. 또한, 컨트롤러는, 가스 분배 시스템(460)의 온도를 제어하기 위하여 가스 분배 시스템의 온도 제어 유닛(569)에 대한 피드백으로서 온도 계측치를 활용할 수 있다. 도 9 내지 도 11의 가스 분배 시스템은 온도 제어 시스템을 채용할 수도 있다. 대안으로 또는 추가로, 임의의 실시예에 있어서 냉각 요소를 채용할 수 있다.
제1 진공 펌핑 시스템(450)은 진공 펌프(452)와 챔버 압력을 조절하기 위한 게이트 밸브(454)를 구비할 수 있다. 진공 펌프(452)는 예컨대 약 5000 liter/sec 이상의 펌핑 속도가 가능한 터보 분자 진공 펌프(TMP)를 구비할 수 있다. 예컨대, TMP는 Seiko STPA803 진공 펌프, 또는 Ebara ET1301W 진공 펌프일 수 있다. TMP는 통상적으로 약 50 mTorr 미만의 저압 처리에 유용하다. 고압(즉, 약 100 mTorr 초과) 또는 저효율 처리(즉, 가스 유동이 없음)에 대해서는, 기계적 부스터 펌프 및 건조 러핑 펌프를 사용할 수 있다.
화학 처리 시스템(410)은 마이크로프로세서, 메모리 및 디지털 I/O 포트를 갖는 제1 컨트롤러(535)를 더 포함할 수 있으며, 상기 디지털 I/O 포트는 온도 및 압력 검출 장치와 같은 화학 처리 시스템(410)과 통신하여 화학 처리 시스템(410)으로부터의 출력을 모니터할 뿐 아니라, 화학 처리 시스템(410)으로의 입력을 작동시키기에 충분한 제어 전압을 발생시킬 수 있다. 또한, 제1 컨트롤러(535)는 기판 플랫폼 조립체(444), 가스 분배 시스템(460), 제1 진공 펌핑 시스템(450), 게이트 밸브 조립체(496), 벽 온도 제어 유닛(468) 및 가스 분배 시스템 온도 제어 유닛(569)에 결합되어, 이들과 정보를 교환할 수 있다. 예컨대, 메모리에 기억된 프로그램을 활용하여, 공정 레시피에 따라 화학 처리 시스템(410)의 전술한 구성 요소로의 입력을 작동시킬 수 있다. 제1 컨트롤러(535)의 일례로는, Dell Corporation(미국 텍사스주 오스틴에 소재)에서 시판하는 DELL PRECISION WORKSTATION640TM이 있다.
각각의 온도 제어식 기판 플랫폼(440)은 화학 처리 챔버(411)의 하부벽에 결합된 챔버 결합 부품(710; chamber mating component)과, 챔버 결합 부품(710)에 결합된 절연 부품(712)과, 절연 부품(712)에 결합된 온도 제어 부품(714)을 구비한다. 챔버 결합 부품(710)과 온도 제어 부품(714)은 예컨대 알루미늄, 스테인리스강, 니켈 등과 같은 전기적 및 열적으로 도전성을 갖는 재료로 제작될 수 있다. 절연 부품(712)은 예컨대, 석영, 알루미나, Teflon 등과 같은 내열성 재료로부터 제작될 수 있고, 챔버 결합 부품(710)과 온도 제어 부품(714)을 구성하는 재료보다 낮은 전기 전도율 및 열 전도율을 갖는다.
온도 제어 부품(714)은 냉각 채널, 가열 채널, 저항성 가열 요소 또는 열전 소자와 같은 열 교환 또는 온도 제어 요소를 구비할 수 있다. 예시적인 실시예에 있어서, 도 7에 잘 도시된 바와 같이, 온도 제어 부품(714)은 냉매 입구(722)와 냉매 출구(724)를 갖는 냉매 채널(720)을 포함한다. 냉매 채널(720)은 예컨대, 물, Fluorinert, Galden HT-135 등과 같은 냉매의 유동을 허용하여 온도 제어 부품(714)의 전도-대류 냉각을 제공하는 온도 제어 부품(714) 내의 나선형 통로일 수 있다. 대안으로, 온도 제어 부품(714)은 각 요소를 통한 전류의 흐름 방향에 따라 기판을 가열하거나 냉각할 수 있는 열전 소자의 어레이를 포함할 수 있다. 열전 소자의 예로는, Advanced Thermoelectric에서 시판되는 Model ST-127-1.4-8.5M(72 W의 최대 열전달 파워가 가능한 40 mm×40 mm×3.4 mm의 열전 소자)일 수 있다.
각각의 온도 제어식 기판 플랫폼(440)은, 헬륨(He), 아르곤(Ar), 크세논(Xe), 크립톤(Kr)을 포함한 불활성 가스와 같은 열전달 가스, 공정 가스, 또는 산소(O2), 질소(N2), 또는 수소(H2)를 포함한 기타 가스를, 적어도 하나의 플랫폼 가스 공급 라인(742)과 복수의 오리피스 및 채널 중 적어도 하나를 통하여 기판(442)의 이면측에 공급하기 위한 이면측 가스 공급 시스템(740)을 더 포함한다. 이면측 가스 공급 시스템(740)은 예컨대, 투존(중심-가장자리) 시스템과 같은 멀티존 공급 시스템일 수 있으며, 여기서 이면측 압력은 중심으로부터 가장자리로 반경 방향으로 변경될 수 있고, 기판(442)의 중심과 가장자리 사이에서 독립적으로 변경될 수 있다. 열전달 가스의 존재로 인하여, 기판(442)과 기판 플랫폼(440) 사이의 가스-갭 열 전도를 향상시키게 된다. 기판(442)의 온도를 상승된 온도 또는 하강된 온도로 제어할 필요가 없다면, 그러한 시스템을 생략할 수도 있다.
절연 부품(712)은 온도 제어 부품(714)과 기부의 챔버 결합 부품(710) 사이에 추가의 단열을 제공하기 위하여 단열 갭(750)을 더 포함한다. 단열 갭(750)은 펌핑 시스템(도시 생략) 또는 제1 진공 펌핑 시스템(450) 및/또는 제2 진공 펌핑 시스템(480)의 일부로서의 진공 라인을 이용하여 진공 배기될 수도 있고, 및/또는 가스 공급부(도시 생략)에 결합되어 열전도율을 변경시킬 수도 있다. 가스 공급부는 예컨대, 기판(442)의 이면측에 열전달 가스를 결합하는데 사용되는 이면측 가스 공급부(740)일 수 있다.
결합 부품(710)은, 기판(442)을 온도 제어식 기판 플랫폼(440)의 상면과 처리 시스템의 하나 이상의 전달면(transfer plane)에 대하여 수직 방향으로 병진 이동시키도록 3개 이상의 리프트 핀(762)을 승강시킬 수 있는 리프트 핀 조립체(760) 를 더 포함한다.
각 부품(710, 712, 714)은, 하나의 부품을 다른 부품에 고정하고 온도 제어식 기판 플랫폼(440)을 화학 처리 챔버(411)에 고정하는 (볼트 및 태핑 구멍과 같은) 체결 장치를 더 포함한다. 또한, 각 부품(710, 712, 714)은 각 부품에 대한 전술한 기판의 통과를 용이하게 하며, 처리 시스템의 진공 완전성을 유지할 필요가 있을 때에 엘라스토머 o-링과 같은 진공 시일을 활용하고 있다.
기판 플랫폼(440)의 온도는, 서모커플(예컨대, K 타입 서모커플, Pt 센서 등)과 같은 온도 검출 장치(744)를 이용하여 모니터할 수 있다. 또한, 컨트롤러는 기판 플랫폼(440)의 온도를 제어하기 위하여 기판 플랫폼 조립체(444)에 대한 피드백으로서 온도 계측치를 활용할 수 있다. 예컨대, 유체 유량, 유체 온도, 열전달 가스의 유형, 열전달 가스의 압력, 클램핑력, 저항성 가열 요소의 전류 또는 전압, 열전 소자의 전류 또는 극성 등 중 적어도 하나를 조정하여 기판 플랫폼(440)의 온도 및/또는 기판(442)의 온도 변화에 영향을 끼칠 수 있다.
도 8을 참조하면, 화학 처리 시스템(410)의 가스 분배 시스템(460)은, 가스 분배 조립체(802)를 갖는 샤워헤드식 가스 주입 시스템과, 가스 분배 조립체(802)에 결합되고 가스 분배 플리넘(806)을 형성하도록 구성된 가스 분배 플레이트(804)를 더 포함한다. 도시하지는 않지만, 가스 분배 플리넘(806)은 하나 이상의 가스 분배 배플 플레이트를 포함할 수 있다. 가스 분배 플레이트(804)는, 가스 분배 플리넘(806)으로부터 화학 처리 챔버(411) 내의 공정 공간(462)으로 공정 가스를 분배하는 하나 이상의 가스 분배 오리피스(808)를 더 포함한다. 또한, 하나 이상의 가스 공급 라인(810, 810' 등)이, 예컨대 가스 분배 조립체를 통하여 가스 분배 플리넘(806)에 결합되어 하나 이상의 가스를 포함하는 공정 가스를 공급할 수 있다. 공정 가스는 예컨대, 암모니아(NH3), 불화수소(HF), H2, O2, 일산화탄소(CO), 이산화탄소(CO2), Ar 및 He 중 하나 이상을 포함할 수 있지만, 본 발명의 실시예가 이로 한정되는 것은 아니다.
동일 도면 부호가 도 4 내지 도 8과 동일 구성을 지칭하고 있고 변형예를 도시하는 도 9 내지 도 11을 참고하면, 적어도 2종의 가스를 포함하는 공정 가스를 분배하기 위한 가스 분배 시스템(460a)은 하나 이상의 부품(924, 926, 928)을 갖는 가스 분배 조립체(802)와, 가스 분배 조립체(802)에 결합된 제1 가스 분배 플레이트(930)와, 제1 가스 분배 플레이트(930)에 결합된 제2 가스 분배 플레이트(932)를 구비한다. 제1 가스 분배 플레이트(930)는 화학 처리 챔버(411)의 공정 공간(462)(도 4 및 도 5)에 제1 가스를 결합하도록 구성된다. 제2 가스 분배 플레이트(932)는 화학 처리 챔버(411)의 공정 공간(462)에 제2 가스를 결합하도록 구성된다.
제1 가스 분배 플레이트(930)는, 가스 분배 조립체(802)에 결합될 때에, 제1 가스 분배 플리넘(940)을 형성한다. 또한, 제2 가스 분배 플레이트(932)는, 제1 가스 분배 플레이트(930)에 결합될 때에, 제2 가스 분배 플리넘(942)을 형성한다. 가스 분배 플리넘(940, 942)은 하나 이상의 가스 분배 배플 플레이트(도시 생략)를 포함할 수 있다. 제2 가스 분배 플레이트(932)는, 제1 가스 분배 플레이트(930) 내에 형성된 하나 이상의 통로(946)의 어레이와 일치하게 결합되는 제1 어레이의 하나 이상의 오리피스(944)와 제2 어레이의 하나 이상의 오리피스(948)를 더 포함한다. 제1 어레이의 하나 이상의 오리피스(944)는 하나 이상의 통로(946)의 어레이와 함께, 제1 가스 분배 플리넘(940)으로부터의 제1 가스를 화학 처리 챔버(411)의 공정 공간(462)에 분배하도록 구성된다. 제2 어레이의 하나 이상의 오리피스(948)는, 제2 가스 분배 플리넘(942)으로부터의 제2 가스를 화학 처리 챔버(411)의 공정 공간에 분배하도록 구성된다. 이러한 배치의 결과로, 제1 가스와 제2 가스는, 공정 공간(462)을 제외하고는 어떠한 상호 반응이나 혼합도 없이 공정 공간에 독립적으로 도입된다.
도 4 및 도 6을 참조하면, 열 처리 시스템(420)은, 열 처리 챔버(421) 내에 장착된 복수의 온도 제어식 기판 홀더(470)와, 열 처리 챔버(421)와 유체 연통식으로 결합되어 열 처리 챔버(421)를 진공 배기하도록 되어 있는 제2 진공 펌핑 시스템(480)과, 열 처리 챔버(421)에 결합된 기판 승강기 조립체(490)를 구비한다. 기판 홀더(470)는 열 처리 챔버(421)와 실질적으로 열적으로 절연되도록 구성되고, 또한 기판(442')을 지지하도록 구성된다. 제1 진공 펌핑 시스템(450)과 제2 진공 펌핑 시스템(480)은 별개의 시스템일 수도 있고, 대안으로 동일 진공 펌핑 시스템일 수도 있다.
도 6에 가장 잘 도시된 바와 같이, 각각의 기판 홀더(470)는 서멀 배리어(674; thermal barrier)를 이용하여 열 처리 챔버(421)로부터 열적으로 절연된 받침대(672; pedestal)를 구비한다. 예컨대, 각 기판 홀더(470)는 알루미늄, 스테 인리스강, 또는 니켈로 제작될 수 있고, 서멀 배리어(674)는 Teflon, 알루미나 또는 석영과 같은 단열재로 제작될 수 있다. 각 기판 홀더(470)는 내부에 매립되어 있는 가열 요소(676)와 이 가열 요소에 전기적으로 결합된 온도 제어 유닛(678)을 더 포함한다. 가열 요소(676)는 예컨대, 저항성 가열 요소를 포함할 수 있다. 기판 홀더 온도 제어 유닛(678)은 예컨대, 가열 요소(676)와 전기적으로 결합된 제어 가능한 DC 파워 서플라이를 포함할 수도 있다. 대안으로, 온도 제어식 기판 홀더(470) 중 적어도 하나를 위한 가열 요소(676)는 예컨대, 약 400℃ 내지 약 450℃의 최대 작동 온도가 가능한 Watlow(미국 일리노이주 바타비아에 소재)로부터 시판되는 주물 히터(cast-in heater)일 수도 있고, 마찬가지로 Watlow로부터 시판되고 약 300℃로 높은 작동 온도와 약 23.25 W/㎠에 이르는 파워 밀도가 가능한 질화알루미늄 재료로 이루어진 필름 히터일 수도 있다. 대안으로, 기판 홀더(470) 중 적어도 하나에 냉각 요소를 합체할 수도 있다.
온도 제어식 기판 홀더(470)의 온도는 서모커플(예컨대, K 타입 서모커플)과 같은 온도 검출 장치를 이용하여 모니터할 수 있다. 또한, 컨트롤러는, 기판 홀더(470)의 온도를 제어하기 위하여 기판 홀더 온도 제어 유닛(678)에 대한 피드백으로서 온도 계측치를 활용할 수 있다.
대안으로, Advanced Energies, Inc.(미국 콜로라도주 포트 콜린스에 소재)로부터 Model No.OR2000F로 시판되는 광섬유 서모미터(약 50℃ 내지 약 2000℃까지의 계측이 가능하고 대략 ± 1.5℃의 정확도가 가능)와 같은 온도 검출 장치를 이용하여 기판 온도를 모니터할 수도 있다. 다른 적절한 온도 검출 장치로는 공동 양도 된 미국 특허 제6,891,124호에 개시된 바와 같은 밴드 에지(band-edge) 온도 측정 시스템이 있으며, 상기 미국 특허의 개시 내용은 전체적으로 본원 명세서에 참고로 인용된다.
열 처리 시스템(420)은 선택된 온도로 유지될 수 있는 온도 제어식 열 처리 챔버(421)를 더 포함한다. 예컨대, 온도 제어 유닛(481)에 가열 요소(483)가 전기적으로 결합될 수 있고, 가열 요소(483)는 열 처리 챔버(421)의 벽에 열을 전달하도록 구성될 수 있다. 가열 요소(483)는 예컨대 저항성 가열 요소일 수 있다. 온도 제어 유닛(481)은 예컨대, 가열 요소(483)와 결합된 제어 가능한 DC 파워 서플라이를 포함할 수 있다. 대안으로 또는 추가적으로, 열 처리 챔버(421)에 냉각 요소를 채용할 수도 있다. 열 처리 챔버(421)의 온도는, 서모커플(예컨대, K 타입 서모커플, Pt 센서 등)과 같은 온도 검출 장치를 이용하여 모니터할 수 있다. 또한, 컨트롤러는 열 처리 챔버(421)의 온도를 제어하기 위하여 온도 제어 유닛(468)에 대한 피드백으로서 온도 계측치를 활용할 수 있다.
열 처리 시스템(420)은, 예컨대 퍼지 가스, 공정 가스, 또는 클리닝 가스를 열 처리 챔버(421)에 도입하기 위한 가스 주입 시스템을 포함할 수 있는 상부 조립체(484)를 더 포함한다. 대안으로, 열 처리 챔버(421)는 상부 조립체와 별개의 가스 주입 시스템을 포함할 수도 있다. 예컨대, 퍼지 가스, 공정 가스 또는 클리닝 가스를 측벽을 통하여 열 처리 챔버(421)에 도입할 수 있다. 열 처리 챔버는, 적어도 하나의 힌지, 핸들 및 뚜껑을 폐쇄 위치에 고정하기 위한 유지부(grasp)를 갖는 커버 또는 뚜껑을 더 포함할 수 있다. 변형예에 있어서, 상부 조립체(484)는, 기판 승강기 조립체(490)의 블레이드(1200; 도 12 참조)의 위에 놓이는 기판(442'')을 가열하기 위한 일련의 텅스텐 할로겐 램프와 같은 복사 히터를 포함할 수 있다. 이 경우에, 기판 홀더(470)는 열 처리 챔버(421)로부터 배제될 수 있다.
열 처리 시스템(420)은 선택된 온도로 유지될 수 있는 온도 제어식 상부 조립체(484)를 더 포함할 수 있다. 예컨대, 온도 제어 유닛(686)에 가열 요소(685)가 전기적으로 결합될 수 있고, 가열 요소(685)는 상부 조립체(484)에 열을 전달하도록 구성될 수 있다. 가열 요소(685)는 예컨대 저항성 가열 요소일 수 있다. 상부 조립체 온도 제어 유닛(686)은 예컨대, 가열 요소(685)와 전기적으로 결합된 제어 가능한 DC 파워 서플라이를 포함할 수 있다. 상부 조립체(484)의 온도는, 서모커플(예컨대, K 타입 서모커플, Pt 센서 등)과 같은 온도 검출 장치를 이용하여 모니터할 수 있다. 또한, 컨트롤러는 상부 조립체(484)의 온도를 제어하기 위하여 온도 제어 유닛(686)에 대한 피드백으로서 온도 계측치를 활용할 수 있다. 상부 조립체(484)는 추가적으로 또는 대안으로 냉각 요소를 포함할 수 있다.
열 처리 시스템(420)은 제2 진공 펌핑 시스템(480)을 더 포함한다. 제2 진공 펌핑 시스템(480)은 예컨대, 진공 펌프와, 게이트 밸브 또는 버터플라이 밸브와 같은 스로틀 밸브를 포함할 수 있다. 진공 펌프는 예컨대, 약 5000 liter/sec (초과)의 펌핑 속도가 가능한 TMP를 포함할 수 있다. 고압 처리(즉, 약 100 mTorr 초과)에 대해서는, 기계적 부스터 펌프 및 건식 러핑 펌프를 사용할 수 있다.
다시 도 6을 참조하면, 열 처리 시스템(420)은 마이크로프로세서, 메모리 및 디지털 I/O 포트를 갖는 제2 컨트롤러(675)를 더 포함할 수 있고, 상기 디지털 I/O 포트는 열 처리 시스템(420)과 통신하여 열 처리 시스템(420)으로부터의 출력을 모니터할 뿐 아니라, 열 처리 시스템(420)으로의 입력을 작동시키기에 충분한 제어 전압을 발생시킬 수 있다. 또한, 제2 컨트롤러(675)는 기판 홀더 온도 제어 유닛(678), 상부 조립체 온도 제어 유닛(686), 상부 조립체(484), 열벽 온도 제어 유닛(481), 진공 펌핑 시스템(480) 및 기판 승강기 조립체(490)에 결합되어, 이들과 정보를 교환할 수 있다. 예컨대, 메모리에 기억된 프로그램을 활용하여, 공정 레시피에 따라 열 처리 시스템(420)의 전술한 구성 요소로의 입력을 작동시킬 수 있다. 제2 컨트롤러(675)의 일례로는, Dell Corporation(미국 텍사스주 오스틴에 소재)에서 시판하는 DELL PRECISION WORKSTATION610TM이 있다.
일 실시예에 있어서, 컨트롤러(535, 675)는 동일한 컨트롤러일 수도 있다.
도 4, 도 6 및 도 12를 참조하면, 열 처리 시스템(420)은 기판 승강기 조립체(490)를 더 포함한다. 기판 승강기 조립체(490)는 유지 평면(실선)과 기판 홀더(470; 점선) 또는 중간의 전달면(도시 생략)의 사이에서 기판(442'')을 수직 방향으로 병진 이동시킬 수 있다. 보다 구체적으로, 기판 승강기 조립체(490)는, 기판(442')을 기판 홀더(470)의 상면으로 하강시킬 뿐 아니라, 기판(442'')을 기판 홀더(470)의 상면으로부터 유지면으로, 대안으로 중간 전달면으로 상승시키도록 구성된다. 전달면에서는, 기판을 화학 처리 챔버(411) 및 열 처리 챔버(421)의 내외로 반송하는데 활용된 반송 시스템에 의해 기판(442'')을 교환할 수 있다. 유지면에서는, 다른 기판을 반송 시스템과 화학 처리 챔버(411) 및 열 처리 챔버(421) 사 이에서 교환하는 중에 기판(442'')을 냉각할 수 있다.
도 12에 가장 잘 도시된 바와 같이, 기판 승강기 조립체(490)는 3개 이상의 탭(1210)을 갖는 블레이드(1200)와, 기판 승강기 조립체(490)를 열 처리 챔버(421)에 결합하는 플랜지(1220)와, 열 처리 챔버(421) 내에서 블레이드(1200)를 수직 방향으로 병진 이동시킬 수 있는 구동 시스템(1230)을 포함한다. 탭(1210)은, 상승 위치에서 기판(442'')을 유지하고, 하강 위치에 있을 때에는 기판 홀더(470; 도 6 참조) 내에 형성된 수용 공동(640) 내에 들어가도록 구성된다. 구동 시스템(1230)은 예컨대, 실린더 행정 길이, 실린더 행정 속도, 위치 정확도, 비회전 정확도 등을 포함한 다양한 사양을 만족시키도록 설계된 공압식 구동 시스템일 수 있으며, 이 구동 시스템의 구조는 공압식 구동 시스템 설계 분야의 당업자에게 공지되어 있다.
일 실시예에 있어서, 각각의 가열 요소(466, 483, 567, 685)는 텅스텐, 니켈-크롬 합금, 알루미늄-철 합금, 알루미늄 질화물 등과 같은 저항성 가열 요소와 필라멘트를 포함할 수 있다. 그러한 저항성 가열 요소의 예시적인 재료로는, 한정의 의도는 없이, Kanthal Corporation(미국 코네티컷주 베텔에 소재)에서 시판하는 KANTHAL
Figure 112009060414008-PCT00001
, NIKROTHAL
Figure 112009060414008-PCT00002
및 AKRONTHAL
Figure 112009060414008-PCT00003
을 들 수 있다. KANTHAL
Figure 112009060414008-PCT00004
패밀리는 페라이트 합금(FeCrAl)을 포함하고, NIKROTHAL
Figure 112009060414008-PCT00005
패밀리는 오스테나이트 합금(NiCr, NiCrFe)을 포함한다. 전기 전류가 그러한 저항성 가열 요소를 통하여 흐름에 따라, 파워가 열로서 소산된다.
변형예에 있어서, 어떠한 가열 요소(466, 483)든 Watlow(미국 일리노이주 바 타비아에 소재)에서 시판하는 적어도 하나의 Firerod 카트리지 히터를 포함할 수도 있다. 변형예에 있어서, 어떠한 가열 요소(567, 685)든 약 1400 W(또는 약 W/in2의 파워 밀도)가 가능한 듀얼-존 실리콘 고무 히터(두께가 약 1.0 mm)를 포함할 수도 있다.
도 5, 도 13 및 도 14를 참조하면, 단열 조립체(430)는, 예컨대 도 13에 도시된 바와 같이 화학 처리 챔버(411)에 결합되고 열 처리 챔버(421; 도 14 참조)와 화학 처리 챔버(411) 사이의 구조적 접촉을 형성하도록 구성된 인터페이스 플레이트(1331)와, 인터페이스 플레이트(1331)에 결합되고 열 처리 챔버(421)와 화학 처리 챔버(411) 사이의 열적 접촉을 줄이도록 구성된 절연체 플레이트(1332)를 구비할 수 있다. 또한, 도 13에 있어서, 인터페이스 플레이트(1331)는 열 처리 챔버(421)의 결합면과 결합하도록 구성된 결합면(1334; mating surface)을 갖는 하나 이상의 구조적 접촉 부재(1333)를 구비한다. 인터페이스 플레이트(1331)는 두 챔버(411, 421) 사이의 강고한 접촉을 형성하기 위하여 알루미늄, 스테인리스강 등과 같은 금속으로 제작될 수 있다. 절연체 플레이트(1332)는 Teflon, 알루미나, 석영 등과 같은 저열전도율의 재료로 제작될 수 있다.
게이트 밸브 조립체(496)를 사용하여 게이트 밸브(497)를 수직 방향으로 병진 이동시켜 공통의 개구(494)를 개폐하고 있다. 게이트 밸브 조립체(496)는, 인터페이스 플레이트(1331)와의 진공 시일을 제공하고 게이트 밸브(497)와의 시일을 제공하는 게이트 밸브 어댑터 플레이트(1439)를 더 포함할 수 있다.
두 챔버(411, 421)는 하나 이상의 정렬 리셉터(1435')에서 종결되는 하나 이상의 정렬 장치(1435)와, 제1 챔버[예컨대, 화학 처리 챔버(411)]의 플랜지를 통하여 연장되는 하나 이상의 체결 장치[1436; 즉, 볼트]를 이용하여 서로 결합될 수 있다. 도 14에 도시된 바와 같이, 예컨대 하나 이상의 엘라스토모 O링 시일(1438)을 이용하여 절연체 플레이트(1332), 인터페이스 플레이트(1331), 게이트 밸브 어댑터 플레이트(1439) 및 화학 처리 챔버(411) 사이에 진공 시일을 형성할 수 있고, O링 시일(1438)을 통하여 인터페이스 플레이트(1331)와 열 처리 챔버(421) 사이에 진공 시일을 형성할 수 있다.
또한, 화학 처리 챔버(411)와 열 처리 챔버(421)를 포함하는 부품의 하나 이상의 표면은 보호 배리어로 코팅될 수 있다. 보호 배리어는 Kapton, Teflon, 표면 양극처리, 알루미나, 이트리아와 같은 세라믹 스프레이 코팅, 플라즈마 전해질 산화 등 중에서 적어도 하나를 포함할 수 있다.
또한, 단열 조립체(430)와 유사한 조립체를 격리 조립체(150)로서 사용할 수도 있다.
도 15를 참조하면, 처리 시스템(100; 도 1 내지 도 14)을 작동시키는 방법이 흐름도(1500)로서 도시되어 있다. 블록 1510에 있어서, 반송 시스템(130)을 이용하여 기판(442)을 화학 처리 시스템(410)으로 반송한다. 기판(442) 중 하나를 각 기판 플랫폼(440)에 내장되는 리프트 핀(762)에 의해 수용하고, 기판(442)을 기판 플랫폼(440)으로 하강시킨다. 그 후, 정전 클램핑 시스템(728)을 이용하여 기판(442)을 기판 플랫폼(440)에 고정하고, 기판(442)의 이면측에 열전달 가스를 공 급한다.
블록 1520에 있어서, 기판(442)의 화학 처리를 위한 하나 이상의 화학 처리 파라미터를 설정한다. 예컨대, 하나 이상의 화학 처리 파라미터는 처리 압력, 벽 온도, 기판 플랫폼 온도, 기판 온도, 가스 분배 온도 및 가스 유량 중 적어도 하나를 포함한다. 예컨대, 이하의 사항 중 하나 이상이 일어날 수 있다. 1) 온도 제어 유닛(468)과 제1 온도 검출 장치에 결합된 제1 컨트롤러(535)를 사용하여 화학 처리 챔버(411)에 대한 온도를 설정하고; 2) 온도 제어 유닛(569)과 제2 온도 검출 장치에 결합된 제1 컨트롤러(535)를 사용하여 화학 처리 챔버(411)에 대한 화학 처리 시스템의 온도를 설정하고; 3) 적어도 하나의 온도 제어 요소와 제3 온도 검출 장치에 결합된 제1 컨트롤러(535)를 사용하여 기판 플랫폼(440)에 대한 온도를 설정하고; 4) 온도 제어 요소, 이면측 가스 공급 시스템 및 클램핑 시스템 중 적어도 하나와 각 기판 플랫폼(440)의 제4 온도 검출 장치에 결합된 제1 컨트롤러(535)를 사용하여 기판 온도를 설정하고; 5) 제1 진공 펌핑 시스템(450) 또는 가스 분배 시스템(460) 중 적어도 하나와 압력 검출 장치에 결합된 제1 컨트롤러(535)를 사용하여 화학 처리 챔버(411) 내의 처리 압력을 설정하고; 및/또는 6) 가스 분배 시스템 내의 하나 이상의 질량 유량 컨트롤러에 결합된 제1 컨트롤러(535)에 의해 하나 이상의 공정 가스의 질량 유량을 설정한다.
블록 1530에 있어서, 제1 기간 동안 블록 1520에서 설명한 조건 하에서 기판(442)을 화학적으로 처리한다. 제1 기간의 범위는, 예컨대 약 10초 내지 약 480초일 수 있다.
블록 1540에 있어서, 기판(442)을 화학 처리 챔버(411)로부터 열 처리 챔버(421)로 반송한다. 이 시간 중에, 기판에 대한 클램프가 해제되고, 기판(442)의 이면측으로의 열전달 가스의 흐름은 정지된다. 기판 플랫폼(440)에 내장된 리프트 핀 조립체(760)를 이용하여 기판(442)을 기판 플랫폼(440)으로부터 전달면으로 수직 방향으로 상승시킨다. 반송 시스템(130)은 리프트 핀(762)으로부터 기판(442)을 수용하고 그 기판(442)을 열 처리 시스템(420) 내에 위치시킨다. 여기서, 기판 승강기 조립체(490)가 반송 시스템(130)으로부터 기판(442)을 수용하고, 그 기판(442)을 기판 홀더(470)로 하강시킨다.
블록 1550에 있어서, 기판(442)의 열 처리를 위한 열 처리 파라미터를 설정한다. 예컨대, 하나 이상의 열 처리 파라미터는 벽 온도, 상부 조립체 온도, 기판 온도, 기판 홀더 온도, 처리 압력 중 적어도 하나를 포함한다. 예컨대, 이하의 사항 중 하나 이상이 일어날 수 있다. 1) 온도 제어 유닛(481)과 열 처리 챔버(421) 내의 제1 온도 검출 장치에 결합된 제2 컨트롤러(675)를 사용하여 벽 온도를 설정하고; 2) 온도 제어 유닛(686)과 상부 조립체(484) 내의 제2 온도 검출 장치에 결합된 제2 컨트롤러(675)를 사용하여 상부 조립체의 온도를 설정하고; 3) 온도 제어 유닛(678)과 가열된 기판 홀더(470) 내의 제3 온도 검출 장치에 결합된 제2 컨트롤러(675)를 사용하여 기판 홀더의 온도를 설정하고; 4) 온도 제어 유닛(678)과 가열된 기판 홀더(470) 내의 제4 온도 검출 장치에 결합된 제2 컨트롤러(675)를 사용하여 기판 온도를 설정하고; 및/또는 5) 제2 진공 펌핑 시스템(480), 가스 분배 시스템(460) 및 압력 검출 장치에 결합된 제2 컨트롤러(675)를 사용하여 열 처리 챔 버(421) 내의 처리 압력을 설정한다.
블록 1560에 있어서, 제2 기간 동안 블록 1550에서 설명한 조건 하에서 기판(442)을 열적으로 처리한다. 제2 기간의 범위는, 예컨대 약 10초 내지 약 480초일 수 있다.
특정의 예에서, 도 1 내지 도 3에 도시된 바와 같은 처리 시스템(100)은, 1994년 2월 1일에 특허된 미국 특허 5,282,925에 개시된 바와 같이, 산화물 하드 마스크를 트리밍하기 위한 화학 산화물 제거 시스템용의 고효율의 시스템을 포함할 수 있으며, 상기 특허의 개시 내용은 전체적으로 본원 명세서에 참고로 인용된다. 처리 시스템(100)은 기판 상의 산화물 표면층과 같은 노출된 표면층을 화학적으로 처리하기 위한 화학 처리 시스템(410)을 포함하므로, 노출면에서의 공정 화학물질의 흡수가 표면층의 화학적 개질에 영향을 끼친다. 또한, 처리 시스템(100)은 기판을 열적으로 처리하는 열 처리 시스템(420)을 포함하여, 기판 상의 화학적으로 개질된 노출면층을 제거(증발)시키도록 기판의 온도가 상승한다.
이러한 특정의 공정을 실행하기 위하여, 화학 처리 시스템(410) 내의 공정 공간(462; 도 4)을 진공 배기시키고, HF와 NH3를 포함하는 공정 가스를 도입한다. 대안으로, 공정 가스는 캐리어 가스를 더 포함할 수 있다. 캐리어 가스는 예컨대 아르곤, 크세논, 헬륨 등과 같은 불활성 가스를 포함할 수 있다. 처리 압력의 범위는 약 1 mTorr 내지 약 100 mTorr 일 수 있다. 대안으로, 처리 압력의 범위는 약 2 mTorr 내지 약 25 mTorr 일 수 있다. 공정 가스의 유량 범위는 각 가스종에 대하여 약 1 sccm 내지 약 200 sccm 일 수 있다. 대안으로, 공정 가스의 유량 범위는 약 10 sccm 내지 약 100 sccm 일 수 있다. 측면으로부터 화학 처리 챔버(411)에 액세스하도록 제1 진공 펌핑 시스템(450)이 도 4 및 도 5에 도시되어 있지만, 균일한(3차원) 압력장(pressure field)을 얻을 수 있다. 표 1은 기판 표면에서의 압력 균일성의 의존성을 처리 압력과, 가스 분배 시스템(460)과 기판(442)의 상면 사이의 간격의 함수로서 나타내고 있다.
(%) h(간격)
압력 50 mm 62 75 100 200
20 mTorr 0.6 NA NA NA NA
9 NA NA 0.75 0.42 NA
7 3.1 1.6 1.2 NA NA
4 5.9 2.8 NA NA NA
3 NA 3.5 3.1 1.7 0.33
또한, 화학 처리 챔버(411)는 약 10℃ 내지 약 200℃의 온도 범위로 가열될 수 있다. 대안으로, 챔버 온도의 범위는 약 35℃ 내지 약 55℃ 일 수 있다. 또한, 가스 분배 시스템은 약 10℃ 내지 약 200℃의 온도 범위로 가열될 수 있다. 대안으로, 가스 분배 시스템의 온도 범위는 약 40℃ 내지 약 60℃ 일 수 있다. 기판은 약 10℃ 내지 약 50℃의 온도 범위로 유지될 수 있다. 대안으로, 기판 온도의 범위는 약 25℃ 내지 약 30℃ 일 수 있다.
변형예에 있어서, 화학 처리 챔버(411)는 제1 기체 HF 성분과 선택적인 제2 기체 암모니아(NH3) 성분을 포함하는 공정 가스 혼합물을 도입하도록 구성된다. 두 기체 성분은 함께 도입될 수도 있고, 서로 독립적으로 도입될 수도 있다. 또한, 어느 하나의 가스 또는 양쪽 가스 모두는 불활성 가스와 같은 캐리어 가스에 의해 도입될 수 있다. 불활성 가스는 아르곤과 같은 희가스를 포함할 수 있다. 산화물 막을 두 기체 성분에 노출시킴으로써 복수의 기판 상의 산화막을 화학 처리함으로써, 상부의 산화물 막의 표면이 자기 제한 깊이로 화학적으로 개질된다.
처리 압력의 범위는 대략 1 mTorr 내지 1000 Torr 일 수 있다. 대안으로, 처리 압력의 범위는 대략 2 mTorr 내지 100 Torr 일 수 있다. 대안으로, 처리 압력의 범위는 대략 5 mTorr 내지 500 mTorr 일 수 있다. 공정 가스의 유량의 범위는 각 성분에 대하여 대략 1 sccm 내지 10,000 sccm 일 수 있다. 대안으로, 공정 가스의 유량의 범위는 각 성분에 대하여 대략 10 sccm 내지 100 sccm 일 수 있다.
또한, 화학 처리 챔버(411)는 약 10℃ 내지 약 450℃의 온도 범위에서 작동할 수 있다. 대안으로, 화학 처리 챔버(411)의 온도 범위는 약 30℃ 내지 약 60℃ 일 수 있다. 복수의 기판(442)에 대한 온도 범위는 약 10℃ 내지 약 450℃ 일 수 있다. 대안으로, 기판의 온도 범위는 약 30℃ 내지 약 60℃ 일 수 있다.
열 처리 시스템(420)에 있어서, 열 처리 챔버(421)는 약 20℃ 내지 약 200℃의 온도 범위로 가열될 수 있다. 대안으로, 챔버의 온도 범위는 약 75℃ 내지 약 100℃ 일 수 있다. 또한, 상부 조립체는 약 20℃ 내지 약 200℃의 온도 범위로 가열될 수 있다. 대안으로, 상부 조립체의 온도 범위는 약 75℃ 내지 약 100℃ 일 수 있다. 기판은 약 100℃를 초과하는 온도로, 예컨대 약 100℃ 내지 약 200℃의 온도로 가열될 수 있다. 대안으로, 기판의 온도 범위는 약 50℃ 내지 약 100℃ 일 수 있다.
다른 실시예에 있어서, 열 처리 시스템(420)은 복수의 기판(442)의 온도를 약 50℃ 내지 약 450℃의 온도 범위로 상승시킬 수 있으며, 유리하게는 복수의 기판(442)의 온도 범위는 약 100℃ 내지 약 300℃ 일 수 있다. 예컨대, 기판의 온도 범위는 약 100℃ 내지 약 200℃ 일 수 있다. 화학적으로 개질된 산화물 표면층을 열 처리함으로써, 표면층의 증발 또는 기화를 초래할 수 있다.
본 명세서에서 설명하고 있는 화학 처리 및 열 처리에 의해, 열 산화물에 대한 60초의 화학 처리마다 약 10 nm를 초과하는 노출된 산화물 표면층의 에칭량과, 열 산화물에 대한 180초의 화학 처리마다 약 25 nm를 초과하는 노출된 산화물 표면층의 에칭량과, 오존 TEOS에 대한 180초의 화학 처리마다 약 10 nm를 초과하는 노출된 산화물 표면층의 에칭량을 얻을 수 있다. 또한, 이들 처리에 의해 상기 기판을 가로지르는 에칭 편차를 약 2.5% 미만으로 할 수도 있다.
이상에서 고효율의 비플라즈마 처리를 실행하기 위한 복수의 실시예를 설명하였다. 본 발명의 실시예의 이상의 설명은 예시 및 설명을 목적으로 개시된 것이다. 이상의 설명이 완전한 것으로 의도되거나 본 발명을 개시된 특정 형태로 한정하는 것은 아니다. 이상의 설명과 후속하는 청구범위에 있어서는, 단지 설명의 편의상 사용되고 있고 한정의 의도로 해석되어서는 안되는 좌측(left), 우측(right), 상부(top), 바닥(bottom), 위(over), 아래(under), 상측(upper), 하측(lower), 제1(first), 제2(second) 등과 같은 용어를 포함하고 있다. 예컨대, 상대 수직 방향 위치를 지시하고 있는 용어는, 기판의 장치측(활성면)이 그 기판의 "상부(top)"면이고; 기판은 실제로, 기판의 "상부(top)"측이 표준 지구 기준 좌표계의 바닥측보다 낮을 수도 있고 여전히 용어 "상부(top)"의 의미 내에 있는 임의의 방위로 있을 수 있다는 상황을 언급하는 것이다. (청구범위를 포함하여) 본 명세서에서 사용되고 있는 용어 "상(on)"은, 구체적으로 언급하지 않는 한은, 제2층 상(on)의 제1층이 제2층과 직접 접촉하거나 긴밀하게 접촉하고 있는 것을 나타내는 것은 아니며, 제1층과 제1층 상의 제2층 사이에 제3층 또는 다른 구조가 있을 수도 있다. 본 명세서에서 설명하고 있는 장치 또는 물품에 대한 실시예를 다수의 위치 및 방위로 제작하거나, 사용하거나, 선적할 수 있다.
본 발명을 다양한 실시예의 설명을 통하여 예시하고 있고, 이들 실시예를 상당히 상세하게 설명하고 있지만, 본원 출원인은, 첨부의 청구범위의 사상을 그러한 상세한 설명으로 제한하거나 한정하는 것으로 의도하고 있는 것은 아니다. 당업자는 추가의 이점 및 변형예를 쉽게 알 수 있을 것이다. 따라서 본 발명의 광의의 양태는, 도시하고 설명한 특정의 세부 사항, 대표적인 장치 및 방법, 예시되고 도시된 예로 한정되지 않는다. 따라서 출원인의 발명의 일반적 개념을 벗어나지 않으면서 그러한 상세한 사항을 변형할 수도 있다.

Claims (17)

  1. 각각 층이 있는 복수의 기판을 처리하기 위한 처리 시스템으로서,
    공정 공간과, 이 공정 공간 내에서 기판을 지지하도록 구성된 온도 제어식의 복수의 기판 플랫폼과, 기판 상의 층을 화학적으로 개질시키기 위하여 복수의 공정 가스를 공정 공간 내로 이송하도록 구성된 가스 분배 시스템을 구비하는 화학 처리 챔버와,
    온도 제어식의 복수의 기판 홀더를 구비하는 열 처리 챔버와,
    화학 처리 챔버와 열 처리 챔버 사이에 배치되고, 화학 처리 챔버와 열 처리 챔버 사이에서 기판을 반송하도록 구성된 전용 핸들러를 구비하는 격리 조립체(isolation assembly)
    를 포함하는 처리 시스템.
  2. 제1항에 있어서, 상기 화학 처리 챔버의 온도, 가스 분배 시스템의 온도, 화학 처리 챔버의 기판 홀더의 온도, 화학 처리 챔버 내의 기판의 온도, 화학 처리 챔버 내의 처리 압력, 화학 처리 챔버 내의 가스 유량, 열 처리 챔버의 챔버 온도, 열 처리 챔버의 기판 홀더의 온도, 열 처리 챔버 내의 기판의 온도, 열 처리 챔버 내의 처리 압력, 또는 열 처리 챔버 내의 가스 유량 중 적어도 하나를 모니터하고 제어하도록 구성된 컨트롤러를 더 포함하는 처리 시스템.
  3. 제1항에 있어서, 상기 격리 조립체는 열 격리와 진공 격리 중 적어도 하나를 제공하는 것인 처리 시스템.
  4. 제1항에 있어서, 상기 격리 조립체는 단열 조립체 또는 게이트 밸브 조립체 중 적어도 하나를 더 포함하는 것인 처리 시스템.
  5. 제1항에 있어서, 상기 온도 제어식의 기판 플랫폼은 정전 클램핑 시스템, 이면측 가스 공급 시스템 또는 온도 제어 요소 중 적어도 하나를 포함하는 것인 처리 시스템.
  6. 제1항에 있어서, 상기 온도 제어식의 기판 플랫폼 각각은, 냉각 채널, 가열 채널, 저항성 가열 요소 및 열전 소자로 이루어지는 군에서 선택된 제1 열교환 요소를 구비하는 것인 처리 시스템.
  7. 제1항에 있어서, 상기 가스 분배 시스템은 복수의 가스 주입 오리피스를 갖는 가스 분배 플레이트를 포함하는 것인 처리 시스템.
  8. 제1항에 있어서, 상기 가스 분배 시스템은, 제1 가스 분배 플리넘(plenum)과, 제1 가스를 공정 공간에 결합하기 위한 제1열의 오리피스 및 제2열의 오리피스를 갖는 제1 가스 분배 플레이트와, 제2 가스 분배 플리넘과, 제2 가스 분배 플레 이트의 통로와 상기 제1 가스 분배 플레이트의 제2열의 오리피스를 통하여 제2 가스를 공정 공간에 결합하도록 내부에 통로가 있는 제2 가스 분배 플레이트를 포함하는 것인 처리 시스템.
  9. 열 처리 챔버에 결합된 화학 처리 챔버를 구비하는 시스템 내에서 각각 처리 가능한 재료의 층이 있는 복수의 기판을 처리하는 처리 방법으로서,
    화학 처리 시스템 내에서 기판을 복수의 공정 가스에 노출시켜 각 기판의 층에 있는 처리 가능한 재료를 화학적으로 개질시키는 단계와,
    열 처리 시스템 내에서 기판과 각 기판 상의 층을 가열하는 단계와,
    전용 핸들러를 사용하여 열 처리 챔버와 화학 처리 챔버 사이에서 기판을 반송하는 단계와,
    화학 처리 챔버 또는 열 처리 챔버 내에서 기판을 처리할 때에 화학 처리 챔버와 열 처리 챔버를 격리시키는 단계
    를 포함하는 처리 방법.
  10. 제9항에 있어서, 상기 공정 가스는 HF 및 NH3를 포함하는 것인 처리 방법.
  11. 제9항에 있어서, 상기 화학 처리 챔버의 온도 범위는 약 10℃ 내지 약 200℃인 것인 처리 방법.
  12. 제9항에 있어서, 상기 화학 처리 챔버의 작동 압력의 범위는 약 1 mTorr 내지 약 100 mTorr인 것인 처리 방법.
  13. 적어도 하나의 노출된 산화물 표면층을 각각 갖는 복수의 기판을 처리하는 처리 방법으로서,
    화학 처리 챔버 내에서 기판을 복수의 공정 가스에 노출시켜 각 기판 상의 적어도 하나의 노출된 산화물 표면층을 화학적으로 개질시키는 단계와,
    기판을 화학 처리 챔버로부터 열 처리 챔버로 반송하는 단계와,
    공정 가스에 노출된 후에, 열 처리 챔버 내에서 각 기판 상의 적어도 하나의 노출된 산화면층을 열 처리하여, 적어도 하나의 노출된 산화물 표면층을 에칭하는 단계와,
    화학 처리 및 열 처리 중에 화학 처리 챔버와 열 처리 챔버를 서로 격리시키는 단계
    를 포함하는 처리 방법.
  14. 제13항에 있어서, 상기 노출된 산화물 표면층은 열 산화물(thermal oxide)이고, 열 처리는 60초의 화학 처리당 약 10 nm를 초과하는 열 산화물을 에칭하는데 유효한 것인 처리 방법.
  15. 제13항에 있어서, 상기 노출된 산화물 표면층은 열 산화물이고, 열 처리는 180초의 화학 처리당 약 25 nm를 초과하는 열 산화물을 에칭하는 것인 처리 방법.
  16. 제13항에 있어서, 상기 노출된 산화물 표면층은 오존 TEOS 산화물이고, 열 처리는 180초의 화학 처리당 약 10 nm를 초과하는 오존 TEOS 산화물을 에칭하는 것인 처리 방법.
  17. 제13항에 있어서, 기판 중 적어도 하나를 가로질러 상기 적어도 하나의 노출된 산화물 표면층에 대한 에칭량에 있어서의 편차가 약 2.5% 이하인 것인 처리 방법.
KR1020097020570A 2007-03-06 2008-03-03 고효율의 비플라즈마 처리를 실행하기 위한 처리 시스템 및 방법 KR20090127323A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/682,625 US20080217293A1 (en) 2007-03-06 2007-03-06 Processing system and method for performing high throughput non-plasma processing
US11/682,625 2007-03-06

Publications (1)

Publication Number Publication Date
KR20090127323A true KR20090127323A (ko) 2009-12-10

Family

ID=39739046

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097020570A KR20090127323A (ko) 2007-03-06 2008-03-03 고효율의 비플라즈마 처리를 실행하기 위한 처리 시스템 및 방법

Country Status (5)

Country Link
US (1) US20080217293A1 (ko)
JP (1) JP2010520649A (ko)
KR (1) KR20090127323A (ko)
TW (1) TW200847314A (ko)
WO (1) WO2008109504A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102662330B1 (ko) * 2022-12-29 2024-04-29 한화정밀기계 주식회사 기판 처리 장치

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8287688B2 (en) * 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
US8323410B2 (en) * 2008-07-31 2012-12-04 Tokyo Electron Limited High throughput chemical treatment system and method of operating
CN102105312B (zh) * 2008-07-31 2014-06-11 东京毅力科创株式会社 用于化学处置和热处置的高产量处理系统及操作方法
US8303716B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US8303715B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput thermal treatment system and method of operating
KR101010196B1 (ko) * 2010-01-27 2011-01-21 에스엔유 프리시젼 주식회사 진공 증착 장비
US8524004B2 (en) * 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
JP5171969B2 (ja) * 2011-01-13 2013-03-27 東京エレクトロン株式会社 基板処理装置
CN103594401B (zh) * 2012-08-16 2018-05-22 盛美半导体设备(上海)有限公司 载锁腔及使用该载锁腔处理基板的方法
JP5876463B2 (ja) * 2013-12-03 2016-03-02 東京エレクトロン株式会社 プラズマ処理装置
JP6541374B2 (ja) 2014-07-24 2019-07-10 東京エレクトロン株式会社 基板処理装置
US10096495B2 (en) 2014-12-26 2018-10-09 Tokyo Electron Limited Substrate processing apparatus
TW201727104A (zh) * 2016-01-27 2017-08-01 應用材料股份有限公司 陶瓷狹縫閥門及組件
JP6802667B2 (ja) * 2016-08-18 2020-12-16 株式会社Screenホールディングス 熱処理装置、基板処理装置、熱処理方法および基板処理方法
US11437261B2 (en) 2018-12-11 2022-09-06 Applied Materials, Inc. Cryogenic electrostatic chuck
US11764041B2 (en) 2019-06-14 2023-09-19 Applied Materials, Inc. Adjustable thermal break in a substrate support
US11373893B2 (en) 2019-09-16 2022-06-28 Applied Materials, Inc. Cryogenic electrostatic chuck
US11646183B2 (en) 2020-03-20 2023-05-09 Applied Materials, Inc. Substrate support assembly with arc resistant coolant conduit
US11087989B1 (en) 2020-06-18 2021-08-10 Applied Materials, Inc. Cryogenic atomic layer etch with noble gases
US11871667B2 (en) * 2020-09-17 2024-01-09 Applied Materials, Inc. Methods and apparatus for warpage correction

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW204411B (ko) * 1991-06-05 1993-04-21 Tokyo Electron Co Ltd
US5282925A (en) * 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
KR960002534A (ko) * 1994-06-07 1996-01-26 이노우에 아키라 감압·상압 처리장치
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
WO2001050109A2 (en) * 2000-01-05 2001-07-12 Tokyo Electron Limited Wafer band-edge measurement using spectroscopy and a process of uniform wafer temperature control
US6835278B2 (en) * 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US20020195201A1 (en) * 2001-06-25 2002-12-26 Emanuel Beer Apparatus and method for thermally isolating a heat chamber
US20030230385A1 (en) * 2002-06-13 2003-12-18 Applied Materials, Inc. Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7079760B2 (en) * 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US7877161B2 (en) * 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US7214274B2 (en) * 2003-03-17 2007-05-08 Tokyo Electron Limited Method and apparatus for thermally insulating adjacent temperature controlled processing chambers
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
JP4833512B2 (ja) * 2003-06-24 2011-12-07 東京エレクトロン株式会社 被処理体処理装置、被処理体処理方法及び被処理体搬送方法
JP3609077B1 (ja) * 2003-07-09 2005-01-12 東京エレクトロン株式会社 高圧熱処理装置
US20050218114A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US20050269291A1 (en) * 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7651583B2 (en) * 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
JP2006013058A (ja) * 2004-06-24 2006-01-12 Sharp Corp ドライエッチング装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102662330B1 (ko) * 2022-12-29 2024-04-29 한화정밀기계 주식회사 기판 처리 장치

Also Published As

Publication number Publication date
WO2008109504A3 (en) 2008-12-18
WO2008109504A2 (en) 2008-09-12
JP2010520649A (ja) 2010-06-10
US20080217293A1 (en) 2008-09-11
TW200847314A (en) 2008-12-01

Similar Documents

Publication Publication Date Title
KR20090127323A (ko) 고효율의 비플라즈마 처리를 실행하기 위한 처리 시스템 및 방법
US7964058B2 (en) Processing system and method for chemically treating a substrate
US7079760B2 (en) Processing system and method for thermally treating a substrate
EP1604387B1 (en) Processing system and method for treating a substrate
US8409399B2 (en) Reduced maintenance chemical oxide removal (COR) processing system
JP5100372B2 (ja) 基材を処理するための加工システムおよび方法
US20050218114A1 (en) Method and system for performing a chemical oxide removal process
WO2005104215A2 (en) Method and system for adjusting a chemical oxide removal process using partial pressure
KR20110040957A (ko) 화학 처리 및 열처리용의 생산성이 높은 처리 시스템 및 동작 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E601 Decision to refuse application