KR102366249B1 - 기상 증착된 막들의 결함 감소를 위한 방법 및 장치 - Google Patents

기상 증착된 막들의 결함 감소를 위한 방법 및 장치 Download PDF

Info

Publication number
KR102366249B1
KR102366249B1 KR1020150007827A KR20150007827A KR102366249B1 KR 102366249 B1 KR102366249 B1 KR 102366249B1 KR 1020150007827 A KR1020150007827 A KR 1020150007827A KR 20150007827 A KR20150007827 A KR 20150007827A KR 102366249 B1 KR102366249 B1 KR 102366249B1
Authority
KR
South Korea
Prior art keywords
reaction chamber
film
plasma
substrate
helium
Prior art date
Application number
KR1020150007827A
Other languages
English (en)
Other versions
KR20150086197A (ko
Inventor
아룰 엔. 다스
아크힐 싱할
밍 리
카림 보우마타르
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20150086197A publication Critical patent/KR20150086197A/ko
Priority to KR1020220020744A priority Critical patent/KR20220025787A/ko
Application granted granted Critical
Publication of KR102366249B1 publication Critical patent/KR102366249B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Dispersion Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 명세서의 실시예들은 기판들 상에 막을 증착하기 위한 방법들 및 장치를 제공한다. 다양한 경우들에서, 헬륨과 같은 높은 열 전도성 가스가 반응 챔버가 기판들을 프로세싱하기 위해 사용되기 전에 반응 챔버의 표면들 상에 컨디셔닝 층을 증착하도록 사용된다. 헬륨은 반응물질이 반응 챔버로 전달되기 전에 가열된 주입 모듈 내에서 액체 반응물질을 원자화/기화시키는 것을 돕도록 사용될 수도 있다. 일부 실시예들에서, 헬륨을 포함하는 퍼지 가스가 기판들 상의 증착 동안 증착-후 퍼지 동안 사용된다. 개시된 실시예들은 레시피들 사이에서 반응 챔버를 세정할 필요 없이, 기판들 상에 많은 수의 입자들/디펙트들을 형성하지 않고, 혼합된 레시피 프로세싱을 허용한다. 이는 혼합된 레시피들이 단일 반응 챔버 내에서 사용되더라도, 고품질 막의 개선된 쓰루풋을 허용한다.

Description

기상 증착된 막들의 결함 감소를 위한 방법 및 장치{METHOD AND APPARATUS FOR REDUCTION OF DEFECTIVITY IN VAPOR DEPOSITED FILMS}
반도체 산업이 발전함에 따라, 디바이스 치수들은 점점 더 작아진다. 막 불순물들 또는 다른 불균일성들의 존재가 종종 반도체 디바이스의 실패를 초래할 수 있기 때문에, 이들 점점 더 작아지는 피처들은 매우 균일한 증착 절차들을 필요로 한다. 언더코팅 (undercoat) 은 웨이퍼-대-웨이퍼 두께 균일성 및 웨이퍼-내 두께 균일성을 개선하는 것을 도울 수 있다.
개시된 실시예들의 특정한 양태들은 반응 챔버를 준비하고 기판들 상에 재료를 증착하기 위한 방법들 및 장치에 관한 것이다. 반응 챔버는 언더코팅 및/또는 사전 증착 코팅과 같은 컨디셔닝 층 (conditioning layer) 을 증착함으로써 준비될 수도 있다. 컨디셔닝 층은 아래에 놓인 반응 챔버 표면들을 보호하는 것을 돕고, 반응 챔버 내에서 기판들이 프로세싱될 때 기판들 상에 떨어질 수 있는 디펙트-유발 입자들의 형성을 최소화한다. 컨디셔닝 층은 또한 예를 들어, 반응 챔버에 밑칠을 하고 (prime) 챔버 임피던스의 균형을 맞춤으로써 (balance) 기판들 상에 균일한 증착 결과들을 촉진할 수도 있다.
개시된 실시예들의 일 양태에서, 기판들 상에 막을 증착하기 위해 반응 챔버를 준비하는 방법이 제공되고, 이 방법은, 가열된 주입 모듈 내로 액체 시약을 유동시키는 단계; 원자화된 액체 시약 및 헬륨을 포함하는 소스 가스를 생성하기 위해 헬륨의 존재 시에 상기 가열된 주입 모듈 내에서 상기 액체 시약을 원자화시키는 단계; 상기 가열된 주입 모듈로부터 상기 반응 챔버 내로 상기 소스 가스를 유동시키는 단계; 및 기판이 상기 반응 챔버 내에 존재하지 않는 동안 상기 반응 챔버의 표면들 상에 상기 소스 가스로부터의 막을 증착하기 위해 상기 반응 챔버를 플라즈마에 노출시키는 단계를 포함한다.
일부 경우들에서 상기 액체 시약은 TEOS일 수도 있다. TEOS는 약 10 mL/min보다 낮은 레이트로 상기 가열된 주입 모듈 내로 유동될 수도 있다. 상기 소스 가스는 공-반응물질을 더 포함할 수도 있다. 일 예에서, 공-반응물질은 산소를 포함한다. 일부 구현예들에서, 상기 소스 가스는 약 40 내지 80 %의 헬륨을 포함할 수도 있다.
일부 경우들에서, 상기 반응 챔버 내로 상기 소스 가스를 유동시키는 단계 및 상기 반응 챔버를 플라즈마에 노출시키는 단계는 적어도 부분적으로 동시에 수행되어, 화학적 기상 증착 가스-상 반응을 통해 상기 막을 증착한다. 다른 경우들에서, 상기 반응 챔버 내로 상기 소스 가스를 유동시키는 단계 및 상기 반응 챔버를 플라즈마에 노출시키는 단계는 원자층 증착 표면 반응을 통해 상기 막을 증착하도록 주기적으로 수행된다. 특정한 실시예들에서, 상기 플라즈마는 언더코팅 막 (undercoating film) 을 형성하기 위해 약 30 내지 90 초의 지속기간 동안 상기 반응 챔버에 노출될 수도 있다. 상기 언더코팅 막 상에 사전 증착 코팅 막을 형성하기 위해 이 방법이 반복될 수도 있고, 상기 사전 증착 코팅 막의 형성 동안, 상기 반응 챔버는 약 60 내지 350 초의 지속기간 동안 플라즈마에 노출되고, 상기 반응 챔버의 표면들 상에서의 증착 레이트는 상기 사전 증착 코팅 막의 형성 동안보다 상기 언더코팅 막의 형성 동안 더 높다. 일부 경우들에서, 컨디셔닝 층은 언더코팅 밑면을 갖거나 갖지 않는 사전 증착 코팅일 수도 있다. 반응 챔버를 플라즈마에 노출시키는 단계는 약 1 내지 1.5 ㎛의 두께를 갖는 사전 증착 코팅 막을 형성하기 위해 약 60 내지 350 초의 지속기간 동안 발생할 수도 있다.
개시된 실시예들의 다른 양태에서, 기판 상에 막을 증착하기 위한 방법이 제공되고, 이 방법은, 상기 반응 챔버 내에 기판이 존재하지 않는 동안 제 1 시약 및 헬륨을 포함하는 제 1 소스 가스를 반응 챔버 내로 유동시키고 상기 반응 챔버를 제 1 플라즈마에 노출시켜 상기 반응 챔버의 표면들 상에 제 1 막을 증착하는 단계로서, 상기 제 1 시약은 실온에서 액체인, 상기 제 1 소스 가스를 유동시키고 상기 반응 챔버를 제 1 플라즈마에 노출시키는 단계; 상기 반응 챔버에 기판을 제공하는 단계; 및 상기 반응 챔버 내로 제 2 소스 가스를 유동시키고 상기 반응 챔버를 제 2 플라즈마에 노출시켜 상기 기판 상에 제 2 막을 증착하는 단계로서, 상기 제 2 소스 가스는 실온에서 액체인 제 2 시약을 포함하고, 상기 제 2 소스 가스는 실질적으로 헬륨이 없는 (free of helium), 상기 제 2 소스 가스를 유동시키고 상기 반응 챔버를 제 2 플라즈마에 노출시키는 단계를 포함한다.
상기 제 1 시약은 예를 들어, TEOS를 포함할 수도 있다. 상기 반응 챔버 내로 유동된 상기 제 1 소스 가스의 TEOS의 플로우 레이트는 TEOS가 실온에서 액체 형태로 존재할 때 측정되는 경우에 약 10 mL/min보다 작을 수도 있다. 이 방법은 또한 상기 제 2 막 또는 상기 제 2 막의 일부가 상기 기판 상에 형성된 후 헬륨을 포함하는 퍼지 가스를 사용하여 적어도 약 3 초 동안 상기 반응 챔버를 퍼지하는 단계를 포함할 수도 있다. 상기 퍼지 가스 내의 헬륨의 플로우 레이트는 약 10 내지 20 SLM일 수도 있다.
언급된 바와 같이, 일부 실시예들에서, 반응 챔버의 표면들 상에 증착된 컨디셔닝 층은 언더코팅 및 사전 증착 코팅을 포함할 수도 있다. 이와 같이, 이 방법은, 기판을 상기 반응 챔버에 제공하는 단계 전에, 기판이 상기 반응 챔버 내에 존재하지 않는 동안, 상기 반응 챔버 내로 제 3 시약 및 헬륨을 포함하는 제 3 소스 가스를 유동시키고 상기 반응 챔버를 제 3 플라즈마에 노출시켜 상기 반응 챔버의 표면들 상에 제 3 막을 증착하는 단계를 더 포함하고, 제 3 시약은 실온에서 액체이고, 상기 제 1 플라즈마는 약 30 내지 90 초의 지속기간 동안 상기 반응 챔버에 노출되고, 상기 제 3 플라즈마는 약 60 내지 350 초의 지속기간 동안 상기 반응 챔버에 노출되고, 증착 레이트는 상기 제 3 막의 증착 동안보다 상기 제 1 막의 증착 동안 더 높다. 이 경우, 제 1 막은 언더코팅일 수도 있고, 제 3 막은 사전 증착 코팅일 수도 있고, 제 2 막은 기판들 상에 증착된 재료의 층일 수도 있다.
다수의 경우들에서, 2 이상의 레시피가 단일 반응 챔버 내의 기판들 상에 재료를 증착하기 위해 사용될 수도 있다. 레시피가 변경될 때마다, 반응 챔버는 챔버 표면들 상에 2차 컨디셔닝 층을 증착하기 위해 새로운 컨디셔닝 프로세스를 겪을 수도 있다. 2차 컨디셔닝 층은 기판들 상의 증착의 이전 라운드 동안 챔버 표면들 상에 증착된 막 상에 바로 증착될 수도 있다. 이 경우, 이 방법은 상기 기판 상의 상기 제 2 막의 증착 단계 후에, 상기 반응 챔버로부터 상기 기판을 제거하는 단계; 상기 반응 챔버 내로 제 4 소스 가스를 유동시키고 상기 반응 챔버를 제 4 플라즈마에 노출시켜 상기 반응 챔버의 표면들 상에 제 4 막을 증착하는 단계로서, 상기 제 4 소스 가스는 헬륨을 포함하는, 상기 제 4 소스 가스를 유동시키고 상기 반응 챔버를 제 4 플라즈마에 노출시키는 단계; 상기 반응 챔버 내에 제 2 기판을 수용하는 단계; 및 상기 반응 챔버 내로 제 5 소스 가스를 유동시키고 상기 반응 챔버를 제 5 플라즈마에 노출시켜 상기 제 2 기판 상에 제 5 막을 증착하는 단계를 더 포함할 수도 있고, 적어도 하나의 반응 파라미터는 상기 기판 상의 상기 제 2 막의 증착과 상기 제 2 기판 상의 상기 제 5 막의 증착 사이에서 상이하고, 상기 반응 파라미터는, 플라즈마를 생성하는데 사용된 전력, 플라즈마를 생성하는데 사용된 주파수, 플라즈마 노출 시간, 상기 반응 챔버로 전달된 반응물질들, 상기 반응 챔버로의 반응물질들의 전달 타이밍, 상기 반응 챔버로의 반응물질들의 전달 플로우 레이트, 압력, 전극 갭 및 온도로 구성된 그룹으로부터 선택된다. 이 경우, 반응 챔버 표면들 상의 제 4 막은 2차 사전 증착 코팅일 수도 있다.
상기 제 2 막이 상기 기판 상에 증착된 후 상기 제 4 막은 상기 반응 챔버의 상기 표면들의 제 1 세정 단계 없이 상기 반응 챔버의 표면들 상에 증착될 수도 있다. 상기 기판 상에 증착된 상기 제 2 막 및 상기 제 2 기판 상에 증착된 상기 제 5 막은 각각 그 위에서 검출가능한 약 0.04 ㎛ 이상의 약 10 개보다 적은 입자들을 가질 수도 있다. 상기 제 5 막은 상기 반응 챔버 내에서 어떠한 증착 동작들의 개입 없이, 상기 제 4 막이 상기 반응 챔버의 표면들 상에 형성된 직후에 기판 상에 증착될 수도 있고, 상기 제 5 막은 그 위에서 검출가능한 약 0.04 ㎛ 이상의 약 20 개보다 적은 입자들을 가질 수도 있다. 제 1 막은 약 1 내지 1.5 ㎛의 두께를 가질 수도 있다.
개시된 실시예들의 다른 양태에서, 기판들 상에 막을 증착하기 위한 장치가 제공된다. 장치는 가스 상의 반응물질들을 전달하기 위한 하나 이상의 유입구들을 갖는 반응 챔버; 액체 상의 반응물질들을 기화시키고 및/또는 원자화시키기 위해 상기 유입구에 커플링된 가열된 주입 모듈; 상기 반응 챔버로부터 재료들을 제거하기 위한 유출구; 상기 반응 챔버를 플라즈마에 노출시키기 위한 플라즈마 생성 소스; 및 본 명세서에 개시된 임의의 방법들을 수행하도록 구성된 제어기를 포함할 수도 있다.
일 실시예에서, 상기 제어기는, 상기 가열된 주입 모듈 내로 액체 시약을 유동시키고, 원자화된 액체 시약 및 헬륨을 포함하는 소스 가스를 생성하기 위해 헬륨의 존재 시에 상기 가열된 주입 모듈 내에서 상기 액체 시약을 원자화시키고, 상기 소스 가스를 상기 가열된 주입 모듈로부터 상기 반응 챔버로 유동시키고, 그리고 상기 반응 챔버 내에 기판이 존재하지 않는 동안 상기 반응 챔버의 표면들 상에 상기 소스 가스로부터의 막을 증착하기 위해 상기 반응 챔버를 플라즈마에 노출시키도록 구성된다. 제어기는 체적으로, 약 40 내지 80 %의 헬륨을 포함하는 소스 가스를 유동시키도록 구성될 수도 있다. 제어기는 산소와 같은 공-반응물질을 반응 챔버 내로 유동시키도록 구성될 수도 있다. 일부 실시예들에서, 제어기는 적어도 부분적으로 동시에 반응 챔버 내로 소스 가스를 유동시키고 반응 챔버를 플라즈마에 노출시켜 화학적 기상 증착 가스-상 반응을 통해 막을 증착하도록 구성된다. 다른 실시예들에서, 제어기는 주기적인 방식으로 반응 챔버 내로 소스 가스를 유동시키고 반응 챔버를 플라즈마에 노출시켜 원자 층 증착 표면 반응을 통해 막을 증착하도록 구성된다. 제어기는 언더코팅 막을 형성하기 위해 약 30 내지 90 초의 지속기간 동안 반응 챔버를 플라즈마에 노출시키도록 구성될 수도 있다. 제어기는 언더코팅 막 상에 사전 증착 코팅 막을 형성하기 위해 이 방법을 반복하도록 구성될 수도 있고, 제어기는 사전 증착 코팅 막의 증착 동안 약 60 내지 350 초의 지속기간 동안 반응 챔버를 플라즈마에 노출시키도록 구성된다. 제어기는 사전 증착 코팅 막의 형성 동안보다 언더코팅 막의 형성 동안 높은 증착 레이트를 달성하도록 구성될 수도 있다.
개시된 실시예들의 다른 양태에서, 제어기는 반응 챔버 표면들 상에 컨디셔닝 층을 증착하고 컨디셔닝된 반응 챔버 내에서 기판들 상에 막을 증착하도록 구성될 수도 있다. 일 예에서, 제어기는 기판이 반응 챔버 내에 존재하지 않는 동안 반응 챔버 내로 제 1 시약 및 헬륨을 포함하는 제 1 소스 가스를 유동시키고 반응 챔버를 제 1 플라즈마에 노출시켜 반응 챔버의 표면들 상에 제 1 막을 증착하는 동작으로서, 제 1 시약은 실온에서 액체인, 상기 제 1 소스 가스를 유동시키고 반응 챔버를 제 1 플라즈마에 노출시키는 동작; 기판을 반응 챔버에 제공하는 동작; 및 반응 챔버 내로 제 2 소스 가스를 유동시키고 반응 챔버를 제 2 플라즈마에 노출시켜 기판 상에 제 2 막을 증착하는 동작으로서, 제 2 소스 가스는 실온에서 액체인 제 2 시약을 포함하고, 제 2 소스 가스는 실질적으로 헬륨이 없는, 상기 제 2 소스 가스를 유동시키고 반응 챔버를 제 2 플라즈마에 노출시키는 동작을 수행하도록 구성될 수도 있다.
제어기는 제 1 시약이 실온에서 액체일 때 측정되는 경우에, 약 10 mL/min보다 낮은 레이트로 제 1 시약을 유동시키는 인스트럭션들을 가질 수도 있다. 제 1 시약은 TEOS일 수도 있다. 제어기는 또한 헬륨을 포함하는 퍼지 가스를 사용하여 적어도 약 3 초 동안 반응 챔버를 퍼지하도록 구성될 수도 있다. 퍼지는 제 2 막 또는 제 2 막의 일부가 기판 상에 증착된 후에 수행될 수도 있다. 제어기는 약 10 내지 20 SLM의 플로우 레이트로 퍼지 가스 내의 헬륨을 유동시키도록 구성될 수도 있다. 제 2 시약은 또한 TEOS를 포함할 수도 있다. 일부 경우들에서, 제어기는 기판을 상기 반응 챔버에 제공하기 전에, 상기 반응 챔버 내로 제 3 시약 및 헬륨을 포함하는 제 3 소스 가스를 유동시키고 상기 반응 챔버를 제 3 플라즈마에 노출시켜 상기 반응 챔버의 표면들 상에 제 3 막을 증착하도록 구성될 수도 있다. 제어기는 약 30 내지 90 초의 지속기간 동안 상기 반응 챔버를 상기 제 1 플라즈마에 노출시키고, 약 60 내지 350 초의 지속기간 동안 상기 반응 챔버를 상기 제 3 플라즈마에 노출시키도록 구성될 수도 있다. 제어기는 또한 제 1 막보다 높은 증착 레이트로 상기 제 3 막을 증착하도록 구성될 수도 있다.
제어기는 2 이상의 레시피들에 따라 기판들 상에 막을 증착하도록 더 구성될 수도 있다. 이 경우, 제어기는 기판 상의 제 2 막의 증착 후 반응 챔버로부터 기판을 제거하는 동작; 반응 챔버 내로 제 4 소스 가스를 유동시키고 반응 챔버를 제 4 플라즈마에 노출시켜 반응 챔버의 표면들 상에 제 4 막을 증착하는 동작으로서, 제 4 소스 가스는 헬륨을 포함하는, 제 4 소스 가스를 유동시키고 제 4 막을 증착하는 동작; 반응 챔버 내에 제 2 기판을 수용하는 동작; 및 반응 챔버 내로 제 5 소스 가스를 유동시키고 반응 챔버를 제 5 플라즈마에 노출시켜 제 5 막을 제 2 기판 상에 증착하는 동작을 수행하도록 구성될 수도 있고, 적어도 하나의 반응 파라미터는 기판 상에 제 2 막을 증착하는 단계와 제 2 기판 상에 제 5 막을 증착하는 단계 사이에서 상이하고, 반응 파라미터는, 플라즈마를 생성하는데 사용된 전력, 플라즈마를 생성하는데 사용된 주파수, 플라즈마 노출 시간, 상기 반응 챔버로 전달된 반응물질들, 상기 반응 챔버로의 반응물질들의 전달 타이밍, 상기 반응 챔버로의 반응물질들의 전달 플로우 레이트, 압력, 전극 갭 및 온도로 구성된 그룹으로부터 선택된다.
일부 경우들에서, 제어기는 제 2 막이 기판 상에 증착된 후 반응 챔버의 표면들의 제 1 세정 없이 반응 챔버의 표면들 상에 제 4 막을 증착하도록 구성될 수도 있다. 기판들 상의 결과적인 막들은 매우 낮은 입자 형성 레벨들을 가질 수도 있다.
개시된 실시예들의 다른 양태에서, 기판 상에 막을 증착하기 위한 방법이 제공되고, 이 방법은, 그 내부에 기판을 갖는 반응 챔버 내로 TEOS를 포함하는 소스 가스를 유동시키는 단계; 기판 상에 막을 증착하는 단계; 및 헬륨을 포함하는 퍼지 가스를 사용하여 적어도 약 3 초의 지속기간 동안 반응 챔버를 퍼지하는 단계를 포함한다.
일부 실시예들에서, 헬륨은 약 150 ℃에서 약 150 mW/(m*K)의 열 전도성을 갖는 다른 가스와 바뀔 수도 있다. 일 예에서, 수소가 헬륨 대신 또는 헬륨에 부가하여 사용될 수도 있다.
이들 및 다른 특징들은 연관된 도면들을 참조하여 이하에 기술될 것이다.
도 1은 화학적 기상 증착 반응을 통해 반응 챔버 상에 컨디셔닝 층을 형성하는 방법의 플로우차트를 도시한다.
도 2는 원자 층 증착 반응을 통해 반응 챔버 상에 컨디셔닝 층을 형성하는 방법의 플로우차트를 도시한다.
도 3은 그 위에 컨디셔닝 층을 갖는 반응 챔버의 간략화된 도면이다.
도 4a 및 도 4b는 반응 챔버 상에 컨디셔닝 층을 증착하는 대안적인 방법들에 대한 타이밍도를 도시한다.
도 5는 반응 챔버를 준비하고 기판들 상에 막을 증착하는 방법의 플로우차트를 도시한다.
도 6a 및 도 6b는 기판들 상에 막을 증착하는 대안적인 방법들의 타이밍도를 보여준다.
도 7은 가열된 주입 모듈의 간략화된 도면을 예시한다.
도 8은 개시된 실시예들을 실시하기 위해 사용될 수도 있는 반응 챔버를 도시한다.
도 9는 특정한 개시된 실시예들에 따른 멀티-툴 장치를 예시한다.
도 10은 반응 조건들 및 상이한 프로세싱 조건들에 대한 기판들 상의 입자 형성 정도를 도시하는 표이다.
도 11은 2 개의 상이한 프로세싱 방식들 하에서 기판들 상에서 검출된 입자들의 수를 도시하는 그래프이다.
도 12는 도 11에 도시된 데이터를 요약하는 표이다.
도 13은 2 개의 상이한 프로세싱 방식들 하에서 프로세싱된 기판들에 대한 대표적인 디펙트 맵들을 도시한다.
도 14는 2 개의 상이한 프로세싱 방식들 하에서 증착된 막들에 대한 막 두께를 도시하는 그래프이다.
도 15는 2 개의 상이한 프로세싱 방법들 하에서 증착된 막들에 대한 막 두께 불균일성을 도시하는 그래프이다.
도 16은 도 14 및 도 15에 도시된 데이터를 요약하는 표이다.
도 17은 2 개의 상이한 방식들 하에서 프로세싱된 기판들 상에서 관찰된 입자들의 수를 도시하는 플롯이다.
본 명세서에서, 용어들 “반도체 웨이퍼”, “웨이퍼”, “웨이퍼 기판”, 및 “부분적으로 제조된 집적 회로” 는 상호교환 가능하게 사용된다. 당업자는 용어 “부분적으로 제조된 집적 회로” 가 그 위의 집적 회로 제조의 많은 단계들 중 임의의 단계 동안 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업에서 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜의 직경을 갖지만, 산업은 450 ㎜ 직경의 기판들을 채택하는 쪽으로 진행된다. 본 명세서에서 제공된 플로우 레이트들 및 전력 레벨들은 300 ㎜ 기판을 프로세싱하기에 적합하다. 당업자는 이들 플로우들이 다른 사이즈의 기판들에 필요한대로 조정될 수도 있다는 것을 이해할 것이다. 반도체 웨이퍼들 상에 막들을 증착하기 위해 사용된 반응 챔버들에 부가하여, 다른 타입들의 증착 반응기들이 본 발명의 장점을 취할 수도 있다. 개시된 실시예들로부터 이익을 얻을 수도 있는 다른 타입들의 반응기들은 인쇄 회로 기판들, 디스플레이들, 등과 같은 다양한 물품들을 제조하기 위해 사용된 반응기들을 포함한다. 반도체 웨이퍼들에 부가하여, 본 명세서에 기술된 방법들 및 장치는 유리 및 플라스틱 패널들을 포함하는 다른 타입들의 기판들을 위해 구성된 증착 챔버들과 함께 사용될 수도 있다.
이하의 기술에서, 제시된 실시예들의 전체적인 이해를 제공하기 위해 다수의 구체적인 상세들이 언급된다. 개시된 실시예들은 이들 구체적인 상세들 일부 또는 전부가 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들과 함께 기술되지만, 이는 개시된 실시예들로 제한하도록 의도되지 않는다는 것이 이해될 것이다. 예를 들어, 본 명세서의 많은 논의가 시약으로서 TEOS (tetraethyl orthosilicate) 를 사용한 막 증착에 초점을 두지만, 실온에서 액체인 임의의 시약이 개시된 기법들로부터 이익을 얻을 것이라는 것이 기대된다.
컨포멀하고, 균일한 이산화 실리콘 (SiO2) 막들 및 다른 유전체 막들이 반도체 제작시 많이 적용된다. 얇은 SiO2 막들에 대한 통상적인 적용은 인접한 트랜지스터들의 전기적 격리이다. 전기적 격리는 절연성 이산화 실리콘으로 인접한 트랜지스터 컴포넌트들을 물리적으로 분리함으로써 달성될 수 있다. 화학적 기상 증착 (CVD) 및 플라즈마 강화된 화학적 기상 증착 (PECVD) 은 때때로, 특히 피처들이 상대적으로 큰 경우에 STI (shallow trench isolation) 용의 산화 실리콘막들 또는 다른 막들을 증착하기 위해 선택된 방법이다. 그러나, 디바이스들이 계속해서 축소되기 때문에, 피처들의 애스팩트 비들 (폭에 대한 깊이 비) 이 증가하고, 전통적인 CVD 기법들은 이들 고 애스팩트 비 피처들에 적합한 컨포멀한 막들을 더 이상 제공할 수 없다.
CVD에 대한 일 대안이 원자 층 증착 (ALD) 프로세싱 및 플라즈마 강화된 원자 층 증착 (PEALD) 프로세싱이다. 달리 언급되지 않으면, 이하의 기술에서 용어 ALD는 PEALD를 포함하는 것으로 의도되고, 용어 CVD는 PECVD를 포함하는 것으로 의도된다. ALD 방법들은 반응 가스들의 자기-정지 흡착 (self-limiting adsorption) 을 수반하고, 고 애스팩트 비 피처들 내에 얇고, 컨포멀한 유전체 막들을 제공할 수 있다. ALD 방법들은 산화 실리콘 및 다른 타입들의 막을 증착하기 위해 개발되었다. ALD에 의해 생성된 막들은 매우 얇고 (예를 들어, 거의 하나의 모노레이어 (monolayer)), 따라서, 갭 피처들을 적절하게 충진하기 위해 다수의 ALD 사이클들이 반복될 수도 있다.
CVD 프로세스와 반대로, 활성화된 가스 상 반응들이 막들을 증착하기 위해 사용되고, ALD 프로세스들은 층 단위로 (layer-by-layer basis) 막들을 증착하기 위해 표면-매개된 증착 반응들을 사용한다. ALD 프로세스의 일 예에서, 표면 능동 사이트들의 집단을 포함하는 기판 표면은 제 1 막 프리커서 (P1) 의 가스 상 분포에 노출된다. P1의 화학흡착된 종들 및 물리흡착된 분자들을 포함하는 P1의 일부 분자들은 기판 표면 상단에 응결된 상을 형성할 수도 있다. 그 후 반응기는 화학흡착된 종들만이 남도록 가스 상 및 물리흡착된 P1을 제거하기 위해 배기된다. 이어서 제 2 막 프리커서 (P2) 가 반응기에 도입되어 P2의 일부 분자들이 기판 표면에 흡착된다. 반응기가 다시 배기될 수도 있고, 이때 결합되지 않은 P2가 제거된다. 후속하여, 기판에 제공된 에너지 (예를 들어, 열 또는 플라즈마 에너지) 는 P1과 P2의 흡착된 분자들 사이의 반응들을 활성화하여, 막 층을 형성한다. 마지막으로, 반응기는 반응 부산물들 및 가능하면 반응되지 않은 P1 및 P2를 제거하기 위해 배기되고, ALD 사이클을 종료한다. 부가적인 ALD 사이클들이 막 두께를 퇴적물하기 위해 포함될 수도 있다.
프리커서 도즈 단계들의 노출 시간 및 프리커서들의 점착 계수들에 의존하여, ALD 사이클 각각은 일 예에서, 약 0.5 Å 내지 3 Å 두께의 막 층을 증착할 수도 있다.
컨포멀한 막들은 또한 평면 기판들에 증착될 수도 있다. 예를 들어, 리소그래픽 패터닝 애플리케이션들을 위해 반사방지 층들이 교번하는 막 타입들을 포함하는 평면 스택들로부터 형성될 수도 있다. 이러한 반사방지 층들은 대략 100 Å 내지 1000 Å 두께일 수 있어서, 보다 느린 ALD 프로세스들이 보다 빠른 CVD 프로세스들보다 덜 매력적이게 한다. 그러나, 이러한 반사방지 층들은 또한 많은 CVD 프로세스들이 제공할 수도 있는 것보다 웨이퍼-내 두께 편차에 대해 보다 낮은 허용 오차를 가질 수도 있다. 예를 들어, 600 Å 두께의 반사방지 층은 3 Å보다 적은 범위의 두께를 허용할 수 있다.
작은 피처 사이즈들을 위해 CVD에 대한 실현가능한 대안들을 제공하는 관련된 증착 기법은 CFD (conformal film deposition) 이다. 일반적으로, CFD는 막을 형성하기 위한 반응 전에 하나 이상의 반응물질들의 퍼지를 완료하는 것에 의존하지 않는다. 예를 들어, 플라즈마 (또는 다른 활성화 에너지) 가 점화될 때 하나 이상의 반응물질들이 증기 상으로 존재할 수도 있다. 따라서, ALD 프로세스에서 기술된 하나 이상의 프로세스 단계들 (예를 들어, 퍼지 단계) 이 예시적인 CFD 프로세스에서 단축되거나 제거될 수도 있다. 일부 경우들에서, 반응물질은 연속적으로 제공될 수도 있다. 연속적으로 제공된 반응물질은 종종 공-반응물질/보조 반응물질 (예를 들어, 최종 막에 실리콘을 부여하는 것과 같은 주 반응물질과 대조되는, 산소-함유 반응물질 또는 질소-함유 반응물질) 이다. 일반적으로 CFD 프로세스들에서도, 챔버가 플라즈마에 노출될 때 반응 챔버의 비흡착 주 반응물질의 양을 최소화하는 것이 필요하다. 그렇지 않으면, 가스 상의 CVD 프로세스가 발생할 수도 있다. 통상적으로, ALD 프로세스를 수행할 수 있는 챔버는 또한 CFD 프로세스를 수행할 수 있고, CFD 프로세스는 ALD 프로세스의 한 타입으로 간주된다. 일부 구현예들에서, 신규의 컨디셔닝 층 형성 프로세스가 CVD, ALD 또는 CFD 반응 챔버 (플라즈마를 활용하는 반응기들을 포함) 내에서 언더코팅 및/또는 사전 증착 코팅을 증착하기 위해 사용될 수도 있다.
반도체 디바이스 치수들이 계속해서 축소됨에 따라, 제조 방법들은 오염에 점점 더 민감해진다. 화학적 기상 증착 및 원자 층 증착 프로세스들의 맥락에서 예시적인 이러한 오염원들은 프리커서 기화 및 증착 후 퍼지와 연관된 입자들 (예를 들어, 약 0.04 ㎛의 작은 빈 입자들 (bin particles)) 의 형성이다. 다수의 레시피들을 실시하기 위해 단일 툴이 사용될 때 디펙트 형성은 종종 악화된다.
오염 입자들의 형성을 감소시키기 위한 한 기법은 증착 챔버가 기판들 상에 재료들을 증착하기 위해 사용되기 전에 컨디셔닝되는 것이다. 이러한 컨디셔닝은 반응 챔버의 표면들 상에 하나 이상의 컨디셔닝 층들 (예를 들어, 언더코팅 및/또는 사전 증착 코팅) 을 증착하는 것을 포함할 수도 있다. 코팅되는 표면들의 예들은 챔버 벽들/천정/플로어, 페데스탈, 기판 캐리어 링, 샤워헤드, 배기 시스템, 유체 라인, 펌프, 스핀들 (spindle), 웨이퍼 이송 암, 필러 플레이트들 (filler plates), 2차 퍼지 칼라들 (secondary purge collars) 등을 포함한다.
챔버 컨디셔닝 층은 언더코팅 및/또는 사전 증착 코팅일 수도 있다. 다양한 경우들에서, 언더코팅 및 사전 증착 코팅 양자가 사용된다. 통상적으로, 언더코팅 및 사전 증착 코팅은 유사한 프로세스를 통해 형성되고, 같거나 상이한 화학물질들을 사용할 수도 있다. 그러나, 일반적으로 상대적으로 높은 증착 레이트 (예를 들어, 약 2000 내지 5000 Å/min) 로, 상대적으로 짧은 시간 (예를 들어, 약 30 내지 90 초) 동안 배어 (bare)/클린 반응 챔버 상에 언더코팅이 먼저 형성된다. 비교하면, 사전 증착 코팅은 상대적으로 낮은 증착 레이트 (예를 들어, 약 800 내지 1800 Å/min) 로, 상대적으로 긴 시간 (예를 들어, 약 60 내지 600, 또는 60 내지 350 초) 동안 언더코팅의 상단부 상에 형성될 수도 있다. 일부 경우들에서, 2차 사전 증착 코팅은 챔버가 제 1 레시피를 사용하여 기판들 상에 막을 증착하도록 사용된 후 및 챔버가 제 2 레시피를 사용하여 기판들 상에 막을 증착하도록 사용되기 전에 챔버 표면들 상에 증착될 수도 있다. 이러한 2차 사전 증착 코팅은 챔버가 최소 입자 형성/디펙트들로 제 2 레시피를 프로세스하기 위해 준비되도록 챔버를 컨디셔닝하기 위해 사용될 수도 있다. 2차 사전 증착 코팅은 때때로 스마트 사전 증착 코팅 또는 스마트 사전-코팅이라고 한다. 통상적으로, 스마트 사전 증착 코팅은 제 1 레시피의 프로세싱 동안 증착된 챔버 표면들 상에 퇴적물된 막을 제거하지 않고 증착될 수도 있다. 스마트 사전 증착 코팅은 증착이 보통 보다 짧은 지속기간 (예를 들어, 약 30 내지 90 초) 동안 발생하는 것을 제외하고 정상 사전 증착 코팅과 동일한 방법들로 증착될 수도 있다. 스마트 사전 증착 코팅은 챔버로 하여금 반응 챔버를 완전히 세정할 필요 없이 다수의 레시피들을 프로세스하게 하여, 시간을 절약하고 쓰루풋을 증가시킨다. 본 명세서에서 사용된 바와 같이, 컨디셔닝 층은 달리 언급되지 않으면, 언더코팅, 사전 증착 코팅 (때때로 사전-코팅이라고도 함), 2차 사전 증착 코팅, 또는 이들의 조합을 지칭할 수 있다.
컨디셔닝 층은 기판이 반응 챔버 내에 존재하지 않는 동안, 반응 챔버의 내부 표면들 상에 형성된 막의 층으로서 규정되고, 기판들의 후속 프로세싱을 위해 챔버를 코팅할 목적으로 증착된다. 컨디셔닝 층은 재료가 기판들 상에 증착되는 정상 증착 프로세스 동안 발생하는 막 퇴적물과 구별된다. 기판 상의 증착 동안 발생하는 퇴적물과 반대로, 컨디셔닝 층은 일반적으로 반응 챔버 내에 어떠한 기판도 존재하지 않고 증착된다. 또한, 언더코팅은 배어 챔버 표면들 (예를 들어, 알루미늄 상) 또는 챔버 표면들 상의 영구 층들 (예를 들어, 불화 알루미늄) 바로 위에 증착될 수 있지만, 기판들 상의 증착 동안 증착된 막은 통상적으로 이 막이 이전에 형성된 언더코팅 또는 사전 증착 코팅의 상단부 상에 증착되면 챔버 표면들과 접촉하지 않는다. 기판은 반응 챔버로부터 삽입되고 제거될 수도 있는 재료의 고체 조각이고, 반응 챔버의 일부는 아니고, 그 위에 막이 증착되고, 일반적으로 그 위에 막 증착이 목표된다. 반도체 디바이스 제조의 맥락에서, 반도체 웨이퍼 (그 위에 증착된 막을 갖거나 갖지 않는) 는 전형적인 기판이다. 많은 경우들에서, 기판들은 디스크-형상이고 예를 들어, 200, 300 또는 450 ㎜의 직경을 갖는다. 기판들은 통상적으로 반도체 디바이스들이 되기 위해 많은 회수들의 프로세싱을 겪는다. 그러나, 특정한 다른 기판들은 전적 기능성 디바이스들로 의도되지 않는다. 이들 기판들은 더미 웨이퍼들로 지칭되고, 이들 기판들은 예를 들어 증착 프로세스를 평가하기 위한 시험 수단들로서 또는 반응 챔버를 평형화시키기 위한 희생 기판들로서 사용될 수도 있다. 하나 이상의 컨디셔닝 층들을 증착할 때, 기판이 없는 챔버는 컨디셔닝 층들로 하여금 챔버 표면들을 균일하게 코팅하게 한다.
컨디셔닝 층은 또한 예를 들어, 증착 프로세스가 어떠한 기판도 존재하지 않는 상대적으로 적은 수의 사이클들 동안 실행되는, 특정한 시험 사이클들 동안 챔버 표면들 상에 증착될 수도 있는 층과 구별된다. 하나의 차이는 컨디셔닝 층 형성 프로세스가 컨디셔닝 층의 목표된 최소 두께에 이를 때까지 실시될 수도 있다는 것이다. 이 최소 두께는 컨디셔닝 층이 목표된 바와 같이 기능할 수 있는 (예를 들어, 플레이킹 (flaking) 및 입자 형성 최소화 및 균일성 최대화) 것을 보장하는데 중요하다. 다른 차이는 컨디셔닝 층 형성 프로세스가 기판들을 프로세싱하기 위해 챔버를 준비하도록 분명하게 수행되는 반면, 시험 사이클들은 일반적으로 다른 목적들을 위해 실행한다는 것이다.
컨디셔닝 층은 웨이퍼-대-웨이퍼 두께 균일성 및 웨이퍼-내 두께 균일성을 개선하는 것을 도울 수 있다. 챔버 컨디셔닝 층의 사용은 플라즈마 프로세싱 장치, 예를 들어 PEALD 막들 또는 PECVD 막들을 증착하기 위해 사용된 장치의 맥락에서 특히 유익하다. 컨디셔닝 층들은 종종 챔버의 표면들 상의 금속 오염 및 디펙트-유도 입자들의 형성을 감소시키는 것을 돕도록 사용된다. 이들 입자들은 챔버 표면들을 플레이크 오프하여 막이 증착되는 기판 상으로 떨어뜨려, 원치 않는 막 불순물들을 유발하고 디바이스 실패 가능성을 증가시킨다. 챔버 표면들 상의 컨디셔닝 층을 사용하는 것의 다른 장점은 컨디셔닝 층이 반응기 내의 임피던스를 안정화하는 것을 돕는다는 것이다.
컨디셔닝 층을 갖지 않는 반응 챔버들 내에 증착된 막들은 종종 상당한 금속 오염 문제들을 갖는다. 많은 경우들에서, 반응 챔버 자체는 금속 (예를 들어, 알루미늄) 으로 이루어진다. 코팅되지 않은 금속 챔버가 플라즈마에 노출될 때, 적은 양의 금속이 챔버 표면들로부터 제거될 수도 있고 기판 막 상에서 종료될 수도 있다. 이들 금속 불순물들은 기판 상에 형성된 디바이스들의 성능에 부정적인 영향을 줄 수 있고, 일부 경우들에서 디바이스 실패를 초래할 수 있다. 임피던스의 균형을 맞추는 것과 관련하여, 챔버 컨디셔닝 층은 가스 상 반응들을 통해 증착하는, CVD-기반 막들을 증착할 때 특히 유용하다. 반응 챔버가 금속으로 이루어지고 기판들 상에 증착된 막이 종종 유전체 재료이기 때문에, 반응 챔버의 임피던스는 유전체가 증착됨에 따라 변화한다. 따라서, 컨디셔닝 층을 제공함으로써, 챔버의 임피던스는 임의의 기판들이 프로세싱되기 전에 상대적으로 안정화될 수 있고, 따라서, 프로세싱 균일성을 최대화한다.
예전에는, 예를 들어, 약 0.2 ㎛, 그리고 나중에 0.1 ㎛보다 작은 오염 입자들의 형성은 문제로 간주되지 않았다. 이들 작은 입자들은 통상적으로 제조 동안 문제들을 유발하지 않기 때문에, 이러한 입자들을 검출하는 것이 필수적이라고 간주되지 않았다. 그러나, 반도체 디바이스들 상의 피처들이 보다 작아짐에 따라, 입자를 유발하는 문제의 최소 사이즈 또한 보다 작아진다. 1x (14 내지 18 ㎚) 및 2x (22 내지 28 ㎚) 프로세스 노드들에서 현재 기술을 사용하여, 적어도 약 0.04 ㎛의 사이즈를 갖는 입자들이 특히 중요하다.
본 명세서의 다양한 실시예들에서, 챔버 컨디셔닝 층은 실온에서 액체인 시약을 사용하여 증착된다. 이러한 시약의 일 예는 TEOS (tetraethyl orthosilicate) 이다. 시약이 실온에서 액체이기 때문에, 시약은 반응 챔버로 전달되기 전에 가스 형태로 가열/원자화된다. 액체 시약은 고온 가스들의 존재시 시약이 원자화되는, 가열된 주입 모듈로 전달될 수도 있다. 이어서 고온 가스/원자화된 시약 스트림이 반응 챔버로 전달될 수도 있다. 컨디셔닝 층을 형성할 때, 고온 가스들에 헬륨을 포함하는 것은 후속 프로세싱 동안 입자 오염을 최소화하는 우수한 컨디셔닝 층의 형성을 유발한다는 것이 발견되었다. 특정한 실시예들에서, 다른 가스가 헬륨 대신 사용된다. 헬륨 대신 사용된 임의의 가스는 일반적으로 높은 열 전도성 (예를 들어, 약 150 ℃에서 적어도 약 150 mW/(m*K)의 열 전도성) 을 가질 것이다. 헬륨은 약 400K (약 127 ℃) 에서 약 190.6 mW/(m*K)의 열전도성을 갖는다. 적합할 수도 있는 일 예시적인 가스는 수소이다. 그러나, 수소는 헬륨보다 위험하고 사용 비용이 크다.
컨디셔닝 층은 PECVD 또는 PEALD 반응을 통해 형성될 수도 있다. PECVD 컨디셔닝 층 반응은 하나 이상의 가스성 반응물질들을 반응 챔버에 공급하고 반응물질(들)이 공급되는 동안 반응 챔버를 플라즈마에 노출시키는 것을 수반한다. 이는 반응 챔버의 표면들 상에 반응 생성물들을 증착하는 가스-상의 반응을 유발한다. PEALD 컨디셔닝 층 반응은 하나 이상의 가스성 반응물질들을 반응 챔버에 주기적으로 공급하고, 반응물질들로 하여금 챔버 표면들 상에 흡착하게 하고, 적어도 하나의 반응물질의 유동을 중단시키면서 반응 챔버를 플라즈마에 노출시키는 것을 수반한다. 이는 반응물질들이 흡착되는 표면들 바로 위에서 발생하는 표면 반응을 유발한다. 일부 PEALD 반응들에서, 반응물질들은 개별적으로 전달되고, 챔버로부터 잔여 반응물질들을 제거하기 위해 하나 이상의 반응물질들의 전달 후에 퍼지 또는 스윕핑 (sweep) 이 사용될 수도 있다.
일 예시적인 PEALD 프로세스 흐름은 다음과 같다: (1) 제 1 반응물질을 반응 챔버 내로 유동시키고 챔버 표면들 상에 흡착시킴; (2) 반응 챔버로부터 제 1 반응물질을 스윕핑/퍼지함; (3) 제 2 반응물질을 반응 챔버 내로 유동시키고 제 2 반응물질로 하여금 챔버 표면들 상에 흡착되게 함; (4) 반응 챔버로부터 제 2 반응물질을 스윕핑/퍼지; (5) 제 1 반응물질과 제 2 반응물질 사이의 반응을 구동하기 위해 반응 챔버를 플라즈마에 노출시킴; 그리고 (6) 반응 챔버를 스윕핑/퍼지함. 이 방법은 목표된 막 두께에 이를 때까지 반복될 수도 있다. 일부 실시예들에서, 하나 이상의 스윕핑/퍼지는 생략될 수도 있다.
다른 예시적인 PEALD 프로세스는 하나 이상의 반응물질들이 연속적인 방식으로 전달되는 것을 수반할 수도 있다. 이러한 타입의 반응은 때때로 CFD 반응이라고 한다. 상기된 바와 같이, 플라즈마가 인가될 때마다, 적어도 하나의 반응물질 (종종 실리콘-함유 주 반응물질) 의 유동이 중단된다. 이는 반응이 자기-정지 방식으로 발생하게 한다. 이러한 CFD 반응을 위한 일 예시적인 프로세스 흐름은 다음과 같다: (1) 제 1 반응물질을 반응 챔버 내로 연속적으로 유동시킴; (2) 제 2 반응물질의 도즈를 반응 챔버로 전달함; (3) 반응 챔버로부터 제 2 반응물질을 스윕핑/퍼지; (4) 반응 챔버를 플라즈마에 노출시킴; (5) 반응 챔버를 스윕핑/퍼지함. 이 방법은 목표된 막 두께에 이르기 위해 반복될 수도 있다. 달리 언급되지 않는 한, 본 명세서에 기술된 바와 같은 PEALD 반응들은 CFD 반응들을 포함하도록 의도된다. CVD, ALD 및 CFD 반응들은 각각 전체가 본 명세서에 통합된 이하의 미국 특허 출원들에서 더 논의되고 기술되었다: 2011년 4월 11일에 출원된, 제목이 “PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”인, 미국 특허 출원 번호 제 13,084,399 호, 및 2011년 9월 1일 출원된, 제목이 “PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION”인 미국 특허 출원 번호 제 13,224,240 호.
특정한 PECVD 및 PEALD 반응들은 단일 반응물질만을 사용할 수도 있는 반면, 다른 반응들은 2 이상의 반응물질들을 사용한다. 특정한 반응 발생이 제한되는 것으로 의도되지 않고, 본 명세서에 개시된 실시예들은 컨디셔닝 층을 형성하기 위해 사용된 적어도 하나의 반응물질이 실온에서 액체일 때 유익한 것으로 예상된다.
도 1은 PECVD-기반 방법을 통해 반응 챔버를 위한 컨디셔닝 층을 준비하는 방법의 플로우차트를 제공하지만, 도 2는 PEALD-기반 방법을 통해 반응 챔버를 위한 컨디셔닝 층을 준비하는 방법의 플로우차트를 제공한다. 반응 챔버가 하나 이상의 컨디셔닝 층들을 수용한 후에, 챔버는 예를 들어, CVD 및/또는 ALD 계 막들 (PECVD 및 PEALD 계 막들을 포함) 을 증착하기 위해 사용될 수도 있다.
도 1을 참조하여, 액체 시약이 가열된 주입 모듈 내로 유동되는 동작 101에서 방법이 시작된다. 특정한 경우들에서, 액체 시약은 TEOS일 수 있지만, 실시예들은 이들로 제한되지 않는다. 가열된 주입 모듈로 전달되기 전에, 액체 시약은 가압된 컨테이너 (예를 들어, 약 25 내지 55 psi) 내에 홀딩될 수도 있다. 액체 시약은 가압된 컨테이너 내에서 실온이거나 거의 실온이다. 이로부터, 액체 시약은 가열된 주입 모듈들로의 몇 개의 오리피스들 (orifices) 을 갖는 작은 노즐을 통해 푸시된다. 액체 시약이 유동하는 오리피스들은 가열된 주입 모듈에 들어가기 때문에 매우 작고 (예를 들어, 약 0.005 내지 0.007”의 직경), 가열된 주입 모듈 내부의 압력 (압력은 약 200 Torr의 차수일 수도 있음) 과 오리피스들 (즉, 압력이 25 내지 35 psi 차수일 수도 있고, 약 1200 내지 1800 Torr인 주입기 유입구들) 을 통과한 유체 사이에 상당한 차이가 있다. 일부 경우들에서, 이들 두 영역들 간의 압력 차는 약 25 내지 30x의 차수로 훨씬 클 수도 있다.
동작 103에서, 헬륨이 가열된 주입 모듈 내로 유동되고, 동작 105에서, 소스 가스를 형성하기 위해 헬륨이 있는 가열된 주입 모듈 내에서 액체 시약이 원자화된다. 소스 가스 내의 원자화된 TEOS 또는 다른 시약이 기화될 수도 있고, 또는 다운스트림 도관에서 기화될 수도 있다. 동작 101, 103 및 105는 액체 시약이 헬륨이 있을 때 원자화되도록 동시에 발생한다. 헬륨은 가열된 주입 모듈을 통과함에 따라 고온이고, 헬륨이 프로세싱을 위해 반응 챔버로 반송되기 때문에 액체 시약을 원자화된 형태로 유지하는 것을 돕는다. 헬륨에 대한 예시적인 온도들은 약 80 내지 180 ℃의 범위일 수도 있다. 일부 실시예들에서, TEOS 또는 다른 액체 시약 플래시는 가열된 주입 모듈에 들어갈 때 기화된다. 다양한 실시예들에서, 공-반응물질이 사용될 수도 있다. 공-반응물질은 개별적으로 전달될 수도 있고 또는 액체 시약이 헬륨 및 공-반응물질 양자가 있을 때 원자화되도록 가열된 주입 모듈 내로 유동될 수도 있다. 일 예시적인 공-반응물질은 산소 (O2) 이지만, 본 개시는 이로 제한되지 않는다.
액체 시약들이 사용될 때 일어나는 한가지 문제는 액체 시약들의 일부가 전달 라인들 내에서 응결될 수 있다는 것이고, 이는 막 디펙트들 및 다른 프로세스 불균일성들을 촉진할 수 있다. 기판들 상에서 현재 검출된 작은 빈 입자들 (예를 들어, 약 0.04 ㎛ 이하) 은 이러한 타입의 프리커서 응결에 의해 유발된다고 믿어진다. 응결된 프리커서가 전달 라인 내에 존재하면, 이는 전달 라인을 통과하는 다른 프로세스 가스들과 반응할 수 있다. 응결된 프리커서 및 부가적인 프로세스 가스들은 입자들을 형성하기 위해 전달 라인들 내에서 서로 반응할 수 있고, 입자들은 전달 라인들을 통과하고 기판 표면 상의 증착을 종료할 수 있다. 이러한 프리커서 응결은 특히 시약 전달 라인에 냉각 지점 (cold spot) 이 있을 때, 냉각 지점이 단지 일시적으로 존재하더라도 특히, 발생할 가능성이 있다. 현재 개시된 다양한 실시예들은 전달 라인에 헬륨을 포함함으로써 프리커서 응결 문제를 방지한다. 헬륨 가스는 높은 열 전도성을 갖고, 반응 챔버로의 전달 라인에 균일한 가스 온도를 확립하고 유지하는데 매우 효과적이라는 것이 발견되었다. 따라서, 헬륨의 존재 시에 가열된 주입 모듈 내에서 액체 시약을 원자화하고 액체 시약을 반응 챔버로 전달하기 위한 캐리어 가스로서 헬륨을 사용함으로써, 시약 전달 시스템의 일시적인 냉각 지점들이 최소화되거나 방지될 수 있다. 보다 균일한 가스 온도, 보다 균일한 시약의 원자화/기화, 시약 응결의 보다 양호한 방지, 및 궁극적으로 기판들 상에 후속하여 증착된 막들 상에 디펙트들의 형성을 보다 양호하게 방지하는 챔버 컨디셔닝 층이 유발된다.
도 1로 돌아가서, 방법은 기판이 반응 챔버 내에 존재하지 않는 동안 소스 가스가 반응 챔버 내로 유동되는 동작 107에서 계속된다. 동작 109에서, 공-반응물질은 반응 챔버 내로 선택적으로 유동될 수도 있다. 언급된 바와 같이, 공-반응물질은 가열된 주입 모듈로부터의 원자화된 액체 시약 및 헬륨과 함께 유동될 수도 있고, 또는 개별적으로 제공될 수도 있다. 공-반응물질의 사용은 사용될 특정한 화학물질에 의존할 것이다. 특정한 예에서, 액체 시약은 TEOS이고, 공-반응물질은 산소이고, 이들 양자는 TEOS 산화물 컨디셔닝 층의 증착을 위해 단일 소스 가스를 형성하기 위해 헬륨과 함께 단일 가열된 주입 모듈로 전달된다. 공-반응물질이 CVD-기반 반응에서 사용되는 경우, 공-반응물질은 원자화된 액체 시약/헬륨과 동시에 전달될 수 있다.
다음에, 동작 111에서 기판이 반응 챔버 내에 존재하지 않는 동안 반응 챔버가 플라즈마에 노출된다. 플라즈마 노출은 소스 가스 및 선택적인 공-반응물질이 반응 챔버 내로 유동되는 동안 발생한다. 이러한 플라즈마 노출은 반응 챔버의 표면들 상에 컨디셔닝 층의 증착을 유발한다. 컨디셔닝 층은 언더코팅 또는 사전 증착 코팅일 수도 있다. 일부 실시예들에서, 방법은 먼저 언더코팅을 형성하고 이어서 언더코팅 상에 사전 증착 코팅을 형성하도록 반복된다. 상기에 언급된 바와 같이, 언더코팅은 통상적으로 사전 증착 코팅보다 높은 증착 레이트로, 보다 짧은 시간 기간 동안 증착된다.
도 2는 PEALD-기반 반응을 통해 반응 챔버의 표면들 상에 컨디셔닝 층을 증착하는 방법의 플로우차트를 제공한다. 동작들 201, 203 및 205는 도 1의 동작들 101, 103 및 105에 대응하고, 상기한 것보다 덜 상세하게 논의될 것이다. 동작 201에서, 액체 시약은 가열된 주입 모듈 내로 유동된다. 동작 203에서, 헬륨은 가열된 주입 모듈 내로 유동된다. 액체 시약은 동작 205에서 헬륨의 존재 시에 가열된 주입 모듈 내에서 원자화된다. 이는 동작 207에서 반응 챔버 내로 유동되는 소스 가스를 형성한다. 상기의 예와 유사하게, 소스 가스는 챔버 내에 기판이 존재하지 않는 동안 반응 챔버 내로 유동된다. 도 2의 ALD-기반 방법과 도 1의 CVD-기반 방법 간의 한가지 차이는, ALD-기반 방법에서, 소스 가스로 하여금 반응 챔버의 표면들 상에 흡착되게 한다는 것이다. 선택적으로, 공-반응물질은 동작 209에서 반응 챔버 내로 유동될 수도 있다. 또한 공-반응물질로 하여금 반응 챔버의 표면들 상에 흡착되게 할 수도 있다. 소스 가스 및 선택적인 공-반응물질이 챔버 표면들 상에 흡착된 후, 동작 211에서 반응 챔버가 플라즈마에 노출된다. 플라즈마 노출 동안, 기판이 반응 챔버 내에 존재하지 않는다. 이는 ALD-기반 표면 반응을 통해 모든 노출된 챔버 표면들 상에 컨디셔닝 층의 증착을 유발한다. 이 방법은 목표된 두께의 컨디셔닝 막층을 형성하기 위해 반복될 수도 있다. 도 2가 방법이 동작 207로부터 앞으로 반복되는 것을 도시하지만, 동작들 201 내지 205는 필요에 따라 소스 가스를 제공하기 위해 발생한다는 것이 이해된다. 도 2의 방법은 언더코팅 및/또는 사전 증착 코팅을 형성하기 위해 사용될 수도 있다.
도 3은 그 위에 컨디셔닝 층을 갖는 간략화된 반응 챔버를 예시한다. 기판들 상에 막들을 증착하기 위해 사용될 때, 기판 (미도시) 은 지지 기둥 (308) 에 의해 지지된, 페데스탈 (304) (또한 기판 지지부라고 지칭됨) 에 의해 지지된 기판 반송 링 (331) 상에 위치된다. 프로세스 가스들이 유입구 (351) 를 통해 반응 챔버에 제공된다. 본 실시예에서, 원격 플라즈마 생성기 (350) 는 플라즈마를 생성하기 위해 사용될 수도 있다. 유입구 (351) 를 통과한 후, 반응물질들 및 다른 프로세스 가스들이 샤워헤드 (302) 를 통해 반응 챔버에 들어간다. 반응 챔버의 내부 표면들 (적어도 샤워헤드 (302), 지지 기둥 (308), 페데스탈 (304), 기판 반송 링 (331), 및 반응 챔버 (300) 의 벽들, 플로어와 천정을 포함) 이 언더코팅 (306) 으로 코팅된다. 언더코팅 (306) 의 두께는 예시를 목적으로 과장되었다. 일부 실시예들에서, 반송 링은 상승 위치와 하강 위치 사이에서 이동가능하다. 일부 실시예들에서, 언더코팅 증착은 모든 표면들이 증착을 위해 액세스 가능하도록 상승 위치의 반송 링을 사용하여 수행될 수도 있다.
도 4a 및 도 4b는 PECVD-기반 반응을 통한 컨디셔닝 층의 증착을 위한 예시적인 타이밍도를 도시한다. 도 4a는 헬륨이 사용되지 않은 컨디셔닝 층을 증착하는 방법에 관한 것이다. 반대로, 도 4b는 컨디셔닝 층의 형성 동안 헬륨이 제공된 실시예에 관한 것이다. 도면들 양자는 TEOS 및 산소를 사용하는 산화 실리콘 컨디셔닝 층의 증착에 관한 것이다. 도 4a 및 도 4b에 대하여, O2 지연은 산소가 반응 챔버로 유동되지만 TEOS는 유동되지 않는 시간 기간이다. 이 기간은 예를 들어, 약 3 내지 8 초 지속될 수도 있다. 산소 (또는 사용된다면, 다른 공-반응물질) 의 플로우 레이트는 약 8 내지 25 SLM (standard liters per minute) 이다. 본 명세서의 플로우 레이트들은 300 ㎜ 웨이퍼에 대해 적합하고 다른 사이즈들의 기판들에 대해 필요에 따라 스케일링될 수도 있다. 플로우 레이트는 기판의 면적에 기초하여 선형으로 스케일링된다. 예를 들어, 300 ㎜ 웨이퍼에 대하여 8 내지 25 SLM의 산소의 플로우 레이트는 450 ㎜ 웨이퍼에 대해 약 18 내지 55 SLM의 TEOS의 플로우 레이트로 스케일링된다. TEOS는 TEOS 지연 기간의 시작 시 반응 챔버 내로 유동하기 시작한다. 이 기간은 일 예에서, 약 3 내지 10 초간 지속될 수도 있고 TEOS는 약 10 mL/min 미만, 또는 약 5 mL/min 미만, 예를 들어 약 0.5 내지 2.5 mL/min의 레이트로 유동할 수도 있다. 이들 TEOS 플로우 레이트들은 원자화되기 전에 TEOS의 체적에 기초하여 측정된다. O2 지연 및 TEOS 지연은 반응 챔버 내로의 산소 및 TEOS 유동을 확립하고 안정화시키는 것을 돕도록 사용될 수도 있다.
사전코팅 및 증착-후 기간들 동안 플라즈마가 인가된다. 사전코팅 기간의 예시적인 지속기간은 약 30 내지 600 초 (예를 들어, 언더코팅 또는 2차 사전 증착 코팅의 증착 동안 약 30 내지 90 초이고, 사전 증착 코팅의 증착 동안 약 60 내지 600 초, 또는 약 60 내지 350 초) 이다. 증착-후 기간은 약 3 내지 6 초의 지속기간을 가질 수도 있다. 플라즈마를 여기하기 위해 사용된 RF 전력은 약 100 내지 2500 W/station일 수도 있다. TEOS 플로우는 플라즈마가 소화되기 전, 사전코팅 기간의 끝에서 종료된다. 이는 대부분의 잔여 TEOS로 하여금 프로세스되거나 챔버로부터 제거되게 한다. 사전코팅 기간 동안 경험된 프로세스 조건들은 형성되는 컨디셔닝 층의 품질 제어시 중요하다. 프로세스 가스들의 온도가 긴 사전코팅 기간 동안 변동할 수 있다는 한가지 문제가 발생한다. 챔버 하드웨어 및 증착 프로세스는 이러한 온도 변화들에 민감하다. 이와 같이, 이들 전체 기간 및 통합된 액체 전달 시스템의 모든 부분들에 걸쳐 균일한 온도로 프로세스 가스들을 유지하는 것이 바람직하다. 반대로, 재료가 기판들 상에 증착될 때, 증착 시간은 종종 훨씬 짧고, 가스 온도 변동 문제는 덜 중요하다.
퍼지 기간은 예를 들어, 약 3 내지 10 초의 지속기간을 가질 수도 있다. 퍼지 가스의 플로우 레이트는 약 0 내지 10 SLM일 수도 있다. 반응 챔버는 이 시간 동안 기준 압력 (예를 들어, 약 40 내지 80 mTorr) 으로 펌프 다운될 수도 있다. 도 4a에 도시된 실시예에서, 퍼지 가스는 산소인 반면, 도 4b에서 퍼지 가스는 산소 및 헬륨 양자를 포함한다. 퍼지 가스는 체적으로 측정된 약 50 내지 80 % (SLM) 의 헬륨을 포함할 수도 있다. 로드록 기간은 퍼지 후, 로드록 개방 전 시간 기간을 지칭한다. 헬륨의 플로우는 도 4b에 도시된 바와 같이, 이 기간 동안 중단될 수도 있다. 로드록 기간은 예를 들어, 약 3 내지 6 초의 지속기간을 가질 수도 있다. 이러한 컨디셔닝 층 증착 프로세스 동안, 반응 챔버 압력은 통상적으로 약 1 내지 10 Torr, 예를 들어 약 2 내지 4 Torr일 것이다. 기판의 온도는 약 180 내지 550 ℃로 유지될 수도 있다.
RF 플라즈마 발생기가 플라즈마를 생성하기 위해 사용되는 경우, RF 전력은 4-스테이션 반응기 내에서 증착된 300 ㎜ 직경의 웨이퍼에 대해 계산되기 때문에, 약 400 내지 3200 W, 예를 들어 약 100 내지 800 W/station일 수도 있다. 전력 레벨은 기판 면적에 선형으로 스케일링되고, 다른 사이즈들의 기판들에 대해 이에 따라 스케일링될 수도 있다. 예를 들어, 300 ㎜ 웨이퍼에 대한 500 W/station의 RF 전력은 450 ㎜ 웨이퍼에 대한 약 1125 W/station의 RF 전력에 대응할 것이다. 플라즈마를 구동하기 위해 사용된 RF 주파수는 고 주파수 (HF) 및/또는 저 주파수 (LF) 컴포넌트를 가질 수도 있다. 예시적인 HF RF 주파수들은 이로 제한되는 것은 아니지만, 약 1.8 ㎒ 내지 2.45 ㎓의 주파수들을 포함할 수도 있다. 공통적인 HF 주파수들은 13.56 ㎒ 및 27 ㎒를 포함한다. 예시적인 LF 주파수들은 이로 제한되는 것은 아니지만, 약 50 내지 500 ㎑의 주파수들을 포함할 수도 있다. 일부 실시예들에서, HF 주파수만이 사용된다. 다른 실시예들에서, LF 주파수들이 HF 주파수들에 부가하여 사용된다. 다른 실시예들에서, LF 주파수만이 사용된다.
현재 개시된 도 4b의 실시예에서, 로드록 기간을 제외하고 컨디셔닝 층 증착 프로세스의 모든 부분들 동안 반응 챔버로 헬륨이 제공된다. 헬륨은 정상 레이트로 제공될 수도 있고, 또는 필요에 따라 헬륨의 플로우가 증가/감소될 수도 있다. 특정한 실시예들에서, 헬륨은 약 1 내지 10 SLM, 예를 들어 각 단계 동안 약 4 내지 8 SLM의 레이트로 유동된다. 헬륨을 포함, 특히 TEOS와 같은 액체 시약이 전달될 때 가열된 주입 모듈을 통해 헬륨이 유동되는 경우, 반응 챔버가 기판들 상에 막들을 증착하기 위해 후속하여 사용될 때 입자들/디펙트들의 형성을 방지하는데 유용한 고품질 컨디셔닝 층의 형성을 촉진하는 것을 돕는다. 헬륨이 높은 열 전도성을 갖기 때문에, TEOS 원자화 및 전달 프로세스에 헬륨을 포함하는 것은 균일한 가스 온도를 유지하는 것을 돕고, 이는 TEOS가 반응 챔버에 도달하기 전에 TEOS의 응결을 초래할 수 있는 냉각 지점들의 형성 가능성을 감소시킨다. 냉각 지점들의 형성을 감소 또는 방지함으로써, TEOS는 보다 양호하게 원자화되고/가스성으로 유지될 수 있고, 보다 고품질의 컨디셔닝 층을 발생한다.
도 5는 반응 챔버를 준비하고 기판들 상에 막을 증착하는 방법의 플로우차트를 제공한다. 이 방법은 챔버 표면들로부터 이전에 증착된 재료를 제거하기 위해 반응 챔버가 세정되는 동작 501에서 시작된다. 이 세정 방법은 플라즈마 세정, 습식 세정, 또는 이들의 조합을 통해 달성될 수도 있고, 이는 이하에서 더 논의된다. 임의의 적합한 챔버 세정 방법들이 사용될 수도 있다. 일부 실시예들에서, 반응 챔버는 클린 상태로부터 시작되고 동작 501은 필요하지 않다. 다음에, 동작 503에서, 도 1 및 도 2에 대해 상기에 개략된 방법들에 따라, 하나 이상의 컨디셔닝 층들 (예를 들어, 언더코팅 및/또는 사전-코팅) 이 증착된다. 컨디셔닝 층들은 반응 챔버에 어떠한 기판도 존재하지 않고 증착된다. 하나 이상의 컨디셔닝 층들이 형성된 후, 동작 505에서 기판이 반응 챔버에 제공될 수도 있다.
동작들 507 내지 513은 기판 상의 막 증착과 관련된다. 증착은 예를 들어 CVD 반응 또는 ALD 반응을 통해 발생할 수도 있다. CVD 반응이 목표된 경우, 제 1 반응물질 (예를 들어, TEOS) 및 선택적인 공-반응물질 (예를 들어, 산소) 이 적어도 부분적으로 동시에 반응 챔버 내로 유동되고, 반응 챔버는 반응물질들이 챔버로 유동되는 동안 플라즈마에 노출된다. 즉, 동작들 507, 509 및 511 사이에 타이밍 중첩이 있다. 이들 동작들이 동시에 시작하고 종료될 수 없지만, 적어도 일부의 기간에 이들이 함께 발생한다. 공-반응물질이 사용되지 않을 때, 동작들 507과 511 사이에 타이밍 중첩이 있다. ALD 반응을 통해 기판들 상에 재료를 증착할 다른 가능성이 있다. 이 경우, 동작들 507과 511은 511에서 플라즈마가 점화되기 전에 507에서 제 1 반응물질이 반응 챔버로 전달되도록 주기적인 방식으로 발생한다. 다양한 경우들에서, 반응 챔버는 동작 507 후 그리고 동작 511 전에 반응 챔버로부터 흡착되지 않은 제 1 반응물질을 제거하기 위해 퍼지될 수도 있다. 상기에 언급된 바와 같이, 동작 509에서 공-반응물질은 주기적인 방식으로 제공될 수도 있고, 또는 연속적으로 제공될 수도 있다.
특정한 실시예들에서, 가능하면 전용 퍼지 동작 동안을 제외하고, 기판들 상의 증착 동안 반응 챔버에 실질적으로 제공되는 헬륨이 없다. 본 명세서에서 사용된 바와 같이, 실질적으로 헬륨이 없다란 미량의 헬륨 또는 거의 없다는 것을 의미한다. 다른 실시예들에서, 헬륨은 증착 동안 소스 가스의 일부로서 제공될 수도 있다. 소스 가스 내에 헬륨을 포함하는 것 (예를 들어, TEOS 또는 다른 액체 시약과 함께) 은 높은 액체 시약 플로우 레이트 (예를 들어, TEOS가 원자화되기 전에 측정되기 때문에, 약 10 mL/min보다 큰) 가 사용되는 경우 유용하다. 소스 가스 내에 헬륨의 사용은 전체가 참조로서 본 명세서에 통합된, 미국 특허 제 7,926,376 호에 더 기술된다. 보다 낮은 액체 시약 플로우 레이트를 사용하는 실시예들에서, 헬륨은 소스 가스로부터 생략될 수도 있다. 헬륨이 이들 저 플로우 레이트들에서 회소의 증착 이점들만을 제공하기 때문에, 그리고 헬륨이 매우 비싸기 때문에 저 TEOS 플로우 레이트로 기판들 상에 증착할 때 소스 가스 내의 헬륨을 생략하는 것이 바람직할 수도 있다. 반대로, 헬륨은 저 TEOS 플로우 레이트들에서도, 챔버 표면들 상에 우수한 품질의 컨디셔닝 층의 형성을 유발하는 것이 드러났다.
다음에, 반응 챔버는 동작 513에서 임의의 흡착되지 않은 종들 및 부산물들을 제거하기 위해 선택적으로 퍼지될 수도 있다. 반응 챔버를 퍼지하기 위해 사용된 가스는 헬륨을 포함할 수도 있다. 증착-후 퍼지 가스에 헬륨을 포함하는 것은 기판들 상에서 보이는 입자 형성량을 실질적으로 감소시킬 수 있다. 헬륨은 각 사이클 후에 챔버 및 ILDS (integrated liquid delivery system) 내에 퇴적물될 수 있는 액체 시약들 (예를 들어, TEOS) 로부터의 잔여물들을 최소화하는 것을 돕는다. 기판들 상의 증착이 CVD 반응을 통해 발생하는 경우, 플라즈마가 소화된 후 퍼지가 발생할 수도 있다. 기판들 상의 증착이 ALD 반응을 통해 발생하는 경우, 증착의 각각의 반복 후 (즉, 플라즈마가 소화되는 각각의 시간 후) 에 퍼지가 주기적을 발생할 수도 있다. 도 5의 점선 화살표는 ALD 증착이 사용되는 경우 프로세스 흐름과 관련된다. 이전에, 헬륨이 포함될 필요성이 인식되지 않기 때문에 헬륨은 적어도 부분적으로 퍼지 가스에 포함되지 않는다. 매우 최근까지, 웨이퍼들 상의 입자 검출이 적어도 약 0.1 ㎛의 직경을 갖는 입자들에 대해서만 기대되었다. 대부분의 경우들에 대해, 이들 사이즈보다 작은 입자들은 반도체 디바이스들에서 디펙트 문제들을 유발하지 않았다. 보다 큰 입자들의 형성은 헬륨 퍼지를 사용하지 않고 적절하게 최소화되거나 방지될 수 있기 때문에, 퍼지 가스에 헬륨을 포함할 동기가 없다. 헬륨이 매우 비싸기 때문에, 헬륨이 진정으로 유익하거나 필요하지 않는 한 헬륨을 이용하도록 장려하지 않는다. 그러나, 산업이 진보되고 피처들이 보다 작아지기 때문에, 작은 빈 입자들 (예를 들어, 약 0.04 ㎛ 이하) 이 보다 중요한 문제를 제기하기 시작하였다. 이와 같이, 작은 빈 입자들의 형성을 최소화하는 개선된 방법들에 대한 필요가 인식되었다. 퍼지 가스에 헬륨을 포함하는 것은 이러한 입자 형성을 최소화하기 위한 효율적인 방법으로 드러났다.
일부 실시예들에서, 기판들 상에 막을 증착하기 위해 혼합된 레시피 모드가 사용되었다. 이 경우, 제 1 레시피는 제 2 레시피가 제 2 수의 기판들 상에 막을 증착하기 위해 사용되기 전에, 제 1 수의 기판들 상에 막을 증착하기 위해 사용되고, 모두 동일한 반응 챔버 내에 있다. 제 1 레시피를 사용하여 기판들 상에 증착한 후, 2차 사전 증착 코팅 (스마트 사전 증착 코팅이라고도 함) 이 증착될 수도 있다. 스마트 사전 증착 코팅은 예를 들어 도 1 및 도 2의 방법들에 따라 증착될 수도 있다. 상대적으로 짧은 시간 프레임 (예를 들어, 약 30 내지 90 초, 예를 들어 약 60 초) 이 증착을 위해 사용될 수도 있다. 스마트 사전 증착 코팅은 제 2 레시피를 사용하여 기판들 상에 재료를 증착하기 위한 챔버를 준비한다. 2 개의 레시피들은 예를 들어, 이들이 플라즈마 점화 조건들 (RF 전력, RF 주파수, RF 노출 시간) 과 같은 상이한 반응 파라미터들, 반응물질들의 상이한 플로우 레이트들, 상이한 반응물질들, 상이한 압력, 상이한 온도, 상이한 전극 갭, 상이한 타이밍, 등을 사용하면, 상이한 것으로 간주된다. 당업자는 단일 툴을 사용하여 상이한 애플리케이션들 동안 층들을 증착하기 위해 동일한 반응 챔버에 대해 상이한 레시피들을 사용하도록 동기가 부여될 수도 있다. 레시피들을 변경하기 위한 능력은 반응기를 보다 견고하게 하고, 부가적인 고비용의 제작 장비를 구매할 필요성을 최소화한다.
도 6a 및 도 6b는 TEOS 및 산소를 사용하여 CVD 반응을 통해 기판들 상에 재료를 증착하는 상이한 방법들의 타이밍도를 도시한다. 이들 방법들은 본 명세서에 기술된 바와 같이 하나 이상의 컨디셔닝 층들을 갖는 반응 챔버 내에서 실시될 수도 있다. 도 6a 및 도 6b에 대하여, 담금 (soak) 기간은 임의의 반응물질들이 반응 챔버로 전달되기 전에 반응 챔버 내에 기판이 존재하는 시간을 지칭한다. 불활성 가스, 예를 들어, 헬륨은 기판이 추가 프로세싱 전에 목표된 온도에 이르는 것을 돕도록 반응 챔버 내로 유동될 수도 있다. TEOS 지연 기간은 TEOS 및 산소가 반응 챔버로 유동하기 시작할 때이다. SDT (Station Deposition Time) 기간은 플라즈마 노출이 시작되는 시간 기간이다. 플라즈마는 SDT 기간의 시작으로부터 증착-후 기간의 종료까지 반응 챔버에 노출된다. 증착-후 기간의 시작 시, TEOS의 플로우가 중단된다. 플라즈마가 소화되기 전에 TEOS의 플로우를 중단시킴으로써, 챔버 내에 남아 있는 잔여 TEOS의 양이 최소화될 수 있다. 때때로 증착-후 퍼지 기간으로 참조되는, 퍼지 기간에서, 잔여 TEOS 및 부산물들을 제거하는 것을 돕도록 반응 챔버로 산소가 유동된다. 도 6b의 본 실시예에서, 퍼지 가스는 또한 헬륨을 포함한다. 다음에, 로드록 기간 동안, 모든 가스들의 플로우는 기판이 로드록 메커니즘을 통해 이송되기를 대기하는 동안 감소되거나 중단된다. 특히, 헬륨의 플로우는 재료들 및 비용을 절약하기 위해 로드록 기간 동안 중단될 수도 있다. 이 시간 동안 하나 이상의 가스들, 예를 들어 산소 또는 다른 공-반응물질의 플로우가 있을 수도 있다. 로드록 기간 동안 가스 플로우는 웨이퍼 교환을 목적으로 제공된다 (예를 들어, 약 0.5 Torr로). 로드록 기간 동안의 가스의 플로우 레이트는 약 3 내지 5 SLM일 수도 있다.
특정한 실시예들에서, 담금 기간은 약 8 내지 15 초의 지속기간을 가질 수도 있다. 이 시간 동안 헬륨 또는 다른 가스의 플로우는 약 10 내지 20 SLM일 수도 있다. TEOS 지연 기간은 약 3 내지 10 초의 지속기간을 가질 수도 있다. TEOS의 플로우 레이트는 약 0.5 내지 2.5 mL/min, 예를 들어, TEOS가 원자화되기 전에 측정되기 때문에 약 0.6 내지 1.5 mL/min일 수도 있다. 산소의 플로우 레이트는 약 8 내지 25 SLM일 수도 있다. 이들 TEOS 및 산소 플로우들은 또한 사전코팅 기간 동안 유지될 수도 있다. 스테이션 증착 시간 기간은 특정한 실시예들에서 약 1.5 내지 5초의 지속기간을 가질 수도 있다. 다른 경우들에서, 이 범위 밖의 증착 시간들이 사용될 수도 있다. 플라즈마가 여전히 존재하지만 TEOS의 플로우가 중단되는, 증착-후 기간은 약 3 내지 5 초의 지속기간을 가질 수도 있다. 다양한 경우들에서, TEOS 지연, SDT 및 증착-후 기간들 동안 헬륨의 플로우 레이트는 0 또는 실질적으로 0 (즉, 겨우 미량) 이다. 다음에, 증착-후 퍼지 기간은 약 3 내지 10 초의 지속기간을 가질 수도 있다. 반응 챔버는 이 시간 동안 기준 압력 (예를 들어, 약 40 내지 80 mTorr) 으로 펌프 다운될 수도 있다. 도 6b에 도시된 바와 같이, 퍼지 가스는 헬륨 및 공-반응물질 (이 예에서 산소) 을 포함할 수도 있다. 퍼지 가스의 플로우 레이트는 약 1 내지 10 SLM이고, 퍼지 가스의 조성은 체적으로 측정되기 때문에, 약 50 내지 80 % (SLM) 의 헬륨이다. 로드록 기간은 약 3 내지 6 초의 지속기간을 가질 수도 있다.
기판들 상의 이 증착 프로세스 동안, 반응 챔버 압력은 통상적으로 약 1 내지 10 Torr, 예를 들어 약 2 내지 4 Torr이다. 기판의 온도는 약 180 내지 550 ℃로 유지될 수도 있다.
RF 플라즈마 생성기가 기판들 상의 증착을 위해 사용된 플라즈마를 생성하도록 사용되는 경우, RF 전력은 총 약 400 내지 3200 W이고, 예를 들어 4-스테이션 증착 반응기에서 300 ㎜ 직경의 웨이퍼에 대해 계산될 때, 약 100 내지 800 W/station일 수도 있다. 플라즈마를 구동하기 위해 사용된 RF 주파수는 고 주파수 (HF) 및/또는 저 주파수 (LF) 컴포넌트를 가질 수도 있다.
개시된 실시예들은 임의의 수의 상이한 타입들의 막을 증착하기 위해 채용될 수도 있다. 본 명세서에 제공된 대부분의 예들이 유전체 재료들을 고려하는 경우, 개시된 프로세스들은 또한 도전성 및 반도체 재료들의 막들을 형성하기 위해 채용될 수도 있다. 질화물들 및 산화물들이 유전체 재료들을 특징으로 하지만, 탄화물들, 산화질화물들, 탄소-도핑된 산화물들, 붕소화물들, 등이 또한 형성될 수도 있다. 산화물들은 도핑되지 않은 규산염 유리 (USG: undoped silicate glass), 도핑된 규산염 유리를 포함하는 광범위한 재료들을 포함한다. 도핑된 유리들의 예들은 붕소 도핑된 규산염 유리 (BSG), 인 도핑된 규산염 유리 (PSG), 및 붕소 인 도핑된 규산염 유리 (BPSG) 를 포함한다.
본 명세서의 실시예들은 다양한 반응물질들로 실시될 수도 있다. 개시된 실시예들은 액체 반응물질이 가스 상태로 반응 챔버로 전달되기 전에 기화/원자화되는 임의의 경우 또는 보다 일반적으로, 반응 프로세스 가스가 냉각 표면/영역과 접하면 응결되는 경우에 특히 유익할 것이다. 개시된 실시예들로부터 이익을 취할 수도 있는 반응물질들의 예들은 이들로 제한되는 것은 아니지만, TEOS, TMOS (tetramethoxysilane), FTES (fluorotriethoxysilane), TMS (Trimethylsilane), OMCTS (octamethyltetracyclosiloxane), TMCTSO (tetramethylcyclotetrasiloxane), DMDS (dimethyldimethoxysilane), HMDS (hexamethyldisilazane), HMDSO (hexamethyldisiloxane), HMCTSO (hexamethylcyclotrisiloxane), DMDEOS (dimethyldiethoxysilane), MTMOS (methyltrimethoxysilane), TMDSO (tetramethyldisiloxane), VSI2 (divinyltetramethyldisiloxane), MTEOS (methyltriethoxysilane), DMTMODSO (dimethyltetramethoxydisiloxane), ETEOS (ethyltriethoxysilane), ETMOS (ethyltrimethoxysilane), HMODS (hexamethoxydisilane), BTEOSE (bis(triehtoxysilyl)ethane), BTMOSE (bis(trimethoxysilyl)ethane), DMEOS (dimethylethoxysilane), TEODMDSO (tetraethoxydimethyldisiloxane), TTMSOS (tetrakis(trimehtylsiloxy)silane), TMDEODSO (tetramethyldiethoxydisiloxane), TIEOS (triethoxysilane), TIMEOS (trimethoxysilane), 또는 TPOS (tetrapropoxysilane) 와 같은 순환적 또는 비순환적 TEOS 변종들을 포함한다. 일반적으로, 다른 유사한 프리커서 후보들은 다양한 액체 실록산 (선형 또는 순환) 및 실란들을 포함한다.
특정한 경우들에서, 증착된 막은 금속을 함유한다. 형성될 수 있는 금속-함유 막들의 예들은 원소적 금속 막들뿐만 아니라 알루미늄, 티타늄, 하프늄, 탄탈, 텅스텐, 망간, 마그네슘, 스트론튬, 등의 산화물 및 질화물들을 포함한다. 예시적인 프로커서들은 금속 알킬아민들, 금속 알콕사이드들, 금속 알킬아미드들, 금속 할라이드, 금속 ß-디케토네이트들, 금속 카르보닐들, 유기금속들, 등을 포함할 수도 있다. 적절한 금속-함유 프리커서들은 막으로 통합되도록 목표된 금속을 포함할 것이다. 예를 들어, 탄탈-함유층은 암모니아 또는 다른 환원제와 펜타키스(디메틸아미도)탄탈을 반응시킴으로써 증착될 수도 있다. 채용될 수도 있는 금속-함유 프리커서들의 다른 예들은 트리메틸알루미늄, 테트라에톡시티타늄, 테트라키스-디메틸-아미도 티타늄, 하프늄 테트라키스(에틸메틸아미드), 비스(사이클로펜타디에닐)망간, 비스(n-프로필사이클로펜타디에닐)마그네슘, 등을 포함한다.
실시예들은 또한 다양한 공-반응물질들을 사용하여 실시될 수도 있다. 공-반응물질들은 항상은 아니지만 자주 질소-함유 반응물질 또는 산소-함유 반응물질이다. 예시적인 질소-함유 공-반응물질들은 이로 제한되는 것은 아니지만, 암모니아, 하이드라진, 아닐린들, 피리딘들, 및 벤질아민들과 같은 방향족 함유 아민들뿐만 아니라 메틸아민, 디메틸아민, 에틸아민, 이소프로필아민, t-부틸아민, 디-t-부틸아민, 사이클로프로필아민, sec-부틸아민, 사이클로부틸아민, 이소아밀아민, 2-메틸부탄-2-아민, 트리메틸아민, 디이소프로필아민, 디에틸이소프로필아민, 디-t-부틸하이드라진과 같은 아민들 (예를 들어, 탄소 함유 아민들) 을 포함한다. 아민들은 1기, 2기, 3기 또는 4기 (예를 들어, 테트라알킬암모늄 화합물들) 일 수도 있다. 질소-함유 반응물질은 질소 이외의 헤테로 원자들, 예를 들어, 히드록실아민, t-부틸옥시카르보닐 아민 및 N-t-부틸 히드록실아민은 질소-함유 반응물질들이다. 산소-함유 공-반응물질들의 예들은 산소, 오존, 아산화 질소, 일산화 탄소, 산화 질소, 이산화 질소, 산화 황, 이산화 황, 산소-함유 하이드로카본들 (CxHyOz), 물, 이들의 혼합물들, 등을 포함한다.
도 5에 대하여 상기에 언급된 바와 같이, 챔버는 그 위에 컨디셔닝 층을 증착하기 전에 세정될 수도 있다. 세정은 총 약 10 ㎛의 막이 기판들 상에 증착된 후에 발생할 수도 있다. 개시된 실시예들은 임의의 특정한 챔버 세정 방법으로 제한되지 않는다. 모든 또는 실질적으로 모든 증착된 막 및 언더코팅을 제거하는 임의의 방법이 사용될 수도 있다. 챔버 세정 방법들은 각각이 전체가 모든 목적들을 위해 본 명세서에 통합된, 이하의 미국 특허 및 특허 출원들에서 더 논의되고 기술된다: 미국 특허 제 7,479,191 호; 미국 특허 제 8,262,800 호; 2009년 1월 16일 출원되고, 제목이 “PLASMA CLEAN METHOD FOR DEPOSITION CHAMBER”인 미국 특허 출원 제 12/355,601 호; 및 2012년 10월 17일 출원되고, 제목이 “METHODS AND APPARATUS FOR CLEANING DEPOSITION CHAMBERS”인 미국 특허 출원 제 13/654,303 호.
증착 챔버들을 세정하기 위해 빈번하게 사용되는 2 가지의 일반적인 타입들의 챔버 세정 방법들이 있다. 이들은 플라즈마 세정 방법들 (때때로 건식 세정 방법들이라고 지칭됨) 및 습식 세정 방법들을 포함한다.
플라즈마 세정 절차들은 인 시츄 챔버 세정 또는 원격 챔버 세정을 사용하여 이루어질 수 있다. 인 시츄 세정 시, 챔버 세정 화학물질들은 가스 상태로 반응 챔버 내로 도입되고 반응 챔버 내에서 플라즈마 여기가 챔버 세정 화학물질들을 반응성 라디컬들 및 이온들로 분해하도록 사용된다. 원격 세정 시, 독립적인 플라즈마 소스가 가스성 챔버 세정 화학물질들을 반응 챔버 외부에서 강 반응성 라디컬들 및 이온들로 분해하도록 사용되고, 이어서 분해된 챔버 세정 화학물질들은 반응 챔버 내로 도입된다. 인 시츄 세정 및 원격 챔버 세정 양자에서, 챔버 내의 반응성 종들은 챔버로부터 배기되는 가스성 생성물들을 형성하기 위해 축적된 증착된 막들 및 언더코팅과 반응한다. 많은 경우들에서, 챔버 세정 화학물질들은 He 또는 Ar과 같은 불활성 가스와 선택적으로 혼합될 수도 있는 불소-함유 종들, 예를 들어 NF3을 포함한다. 불소-함유 세정 화학물질이 사용되는 경우, 챔버 내에 존재하는 SiO2가 SiF4로 변환될 수도 있고, 반응 챔버를 퍼지 (예를 들어, 스윕핑 및/또는 배기) 함으로써 휘발성 물질이 제거될 수도 있다.
다수의 플라즈마 세정 사이클들 후에, 기판 증착 프로세스는 열화될 수도 있고 습식 세정이 사용될 수도 있다. 습식 세정 절차들에서, 반응 챔버는 대기에 벤팅 (vent) 되고, 반응기의 내부 표면들은 적절한 세정 용액들 (예를 들어, 이소프로판올 (IPA) 및/또는 물) 및/또는 연마재들을 사용하여 물리적으로 스크러빙된다. 습식 세정은 플라즈마 세정 방법들을 사용하여 유효하게 세정되지 않은 임의의 두껍고 플레이크된 축적물을 제거한다. 이 타입의 세정 절차는 시간 소모적이고, 노동 집약적이고, 일단 완료된 챔버의 재컨디셔닝을 요구할 수도 있다. 예를 들어, 습식 세정 방법은 언더코팅 아래의 불화 알루미늄층을 제거할 수도 있다. 이와 같이, 습식 에칭 방법들은 드물게 사용되고 플라즈마 세정 방법들은 가능할 때 사용된다.
장치
본 명세서에 기술된 방법들은 임의의 적합한 장치에 의해 수행될 수도 있다. 적합한 장치는 본 발명에 따라 프로세스 동작들을 달성하기 위한 하드웨어 및 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기를 포함한다. 일부 실시예들에서, 하드웨어는 프로세스 툴에 포함된 하나 이상의 프로세스 스테이션들을 포함할 수도 있다.
도 7은 TEOS 및 산소와 같은 공-반응물질을 사용하는 증착의 맥락에서 사용된 가열된 주입 모듈 (700) 의 간략화된 대표도를 도시한다. 헬륨 및 선택적인 공-반응물질이 도관 (702) 을 통해 전달된다. 헬륨 및 공-반응물질은 통상적으로 약 80 내지 180 ℃, 예를 들어 약 150 ℃로 가열된다. TEOS는 도 7에 도시된 도관들 (704A 및 704B) 과 같은, 하나 이상의 도관들을 통해 도입된다. 이들 도관들 (704A 및 704B) 을 통과하는 TEOS는 예를 들어 약 25 내지 55 psi로 가압된다. 일 예에서 TEOS는 약 25 psi로 가압된다. TEOS는 가열된 주입 모듈 (700) 내로 유동되기 때문에 매우 미세한 오리피스들 (미도시) 을 통과한다. 오리피스들을 통과하는 인입 TEOS와 가열된 주입 모듈 (700) 의 내부 사이에 상당한 압력 차가 있다. 이 압력 차는 고온 헬륨 및 공-반응물질과 함께, TEOS가 작은 마이크로드롭릿들로 원자화되게 한다. 이 상태에서, TEOS는 도관 (706) 을 통해 가열된 주입 모듈 (700) 외부로 헬륨 및 공-반응물질에 의해 반송된다. 원자화된 TEOS는 도관 (706) 내에서 기화될 수도 있고, 도관 (706) 도 또한 가열될 수도 있다. 대안적으로 또는 부가적으로, TEOS는 가열된 주입 모듈에 들어감에 따라 플래시 기화될 수도 있다. 도관 (706) 은 반응 챔버 내로 유도될 수도 있다. 이러한 원자화 프로세스에 헬륨을 포함하는 것은 도관 (706) 을 반응 챔버로 유도하고 도관 내부의 가스를 안정하고 균일한 온도로 유지하는 것을 보장하는 것을 돕는다.
액체 시약을 기화시키기 위한 대안적인 방법은 버블러 (bubbler) 를 사용하는 것이다. 이 기법을 사용하여, 캐리어 가스 (예를 들어, 헬륨 또는 공-반응물질과 혼합된 헬륨) 가 액체 시약을 홀딩하는 컨테이너를 통해 버블링된다. 액체 시약 및/또는 캐리어 가스는 기화를 돕기 위해 가열될 수도 있다. 버블들이 액체 시약을 통해 트래블하기 때문에, 액체 시약의 일부 량이 기화되고 캐리어 가스와 함께 반송된다. 이러한 버블러 방법은 상당한 양의 액체 시약을 기화시키기 위해 큰 부피의 캐리어 가스를 필요로 한다. 반대로, 가열된 주입 모듈이 사용되는 경우, 기화/원자화가 훨씬 더 효과적이고, 실질적으로 캐리어 가스를 거의 필요로 하지 않는다.
도 8은 반응 챔버 프로세스 스테이션 (800) 의 실시예를 개략적으로 도시한다. 간략화를 위해, 프로세스 스테이션 (800) 은 저압 환경을 유지하기 위해 프로세스 챔버 바디 (802) 를 갖는 독립된 프로세스 스테이션으로 도시되었다. 그러나, 복수의 프로세스 스테이션들 (800) 이 일반적인 프로세스 툴 환경에 포함될 수도 있다는 것이 이해될 것이다. 예를 들어, 도 9는 멀티-스테이션 프로세싱 툴의 환경을 도시한다. 또한, 일부 실시예들에서, 상기에 상세히 논의된 것들을 포함하는, 프로세스 스테이션 (800) 의 하나 이상의 하드웨어 파라미터들은 하나 이상의 컴퓨터 제어기들에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
프로세스 스테이션 (800) 은 분배 샤워헤드 (806) 로 프로세스 가스들을 전달하기 위해 반응물질 전달 시스템 (801) 과 유체적으로 연통한다. 반응물질 전달 시스템 (801) 은 분배 샤워헤드 (806) 로 전달하기 위해 프로세스 가스들을 블렌딩 및/또는 컨디셔닝하기 위한 혼합 베젤 (804) 을 포함한다. 하나 이상의 혼합 베젤 유입구 밸브들 (820) 이 혼합 베젤 (804) 로의 프로세스 가스들의 도입을 제어할 수 있다.
일부 반응물질들은 프로세스 스테이션에서 기화하고 이어서 프로세스 스테이션으로 전달되기 전에 액체 형태로 저장될 수도 있다. 도 8의 실시예는 혼합 베젤 (804) 로 공급될 액체 반응물질을 기화하기 위해 기화 지점 (803) 을 포함한다. 기화 지점 (803) 은 예를 들어, 도 7에 도시된 가열된 주입 모듈일 수도 있다. 일부 실시예들에서, 기화 지점 (803) 은 가열된 기화기일 수도 있다. 이러한 기화기들로부터 생성된 포화된 반응물질 증기는 적절한 제어가 일어나지 않을 때 (예를 들어, 액체 반응물질 기화/원자화 시 헬륨이 사용되지 않을 때) 다운스트림 전달 파이프에서 응결될 수도 있다. 양립할 수 없는 가스들의 응결된 반응물질로의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이프를 막고 (clog), 밸브 동작을 지연시키고, 기판들을 오염시키는 등을 할 수도 있다. 이들 문제들을 해결하기 위한 일부 방법들은 잔여 반응물질을 제거하기 위해 전달 파이프를 스윕핑 및/또는 배기하는 것을 수반한다. 그러나, 전달 파이프를 스윕핑하는 것은 프로세스 스테이션 쓰루풋을 저하시키는, 프로세스 스테이션 사이클 시간을 증가시킬 수도 있다. 따라서, 일부 실시예들에서, 기화 지점 (803) 의 전달 파이핑 다운스트림에서 열 추적될 수도 있다. 일부 예들에서, 혼합 베젤 (804) 이 또한 열 추적될 수도 있다. 비제한적인 일 예에서, 기화 지점 (803) 의 파이핑 다운스트림에서 혼합 베젤 (804) 에서 대략 100 ℃ 내지 대략 150 ℃로 연장하는 증가하는 온도 프로파일을 갖는다.
언급된 바와 같이, 일부 실시예들에서 기화 지점 (803) 은 가열된 액체 주입기이다. 액체 주입기는 액체 반응물질의 펄스들을 혼합 베젤의 업스트림에서 캐리어 가스 스트림으로 주입할 수도 있다. 일 시나리오에서, 액체 주입기는 보다 높은 압력으로부터 보다 낮은 압력으로 액체를 플래시함으로써 반응물질을 기화시킬 수도 있다. 다른 시나리오에서, 액체 주입기는 가열된 전달 파이프에서 이어서 기화되는 분산된 마이크로드롭릿들로 액체를 원자화할 수도 있다. 보다 작은 드롭릿들이 보다 큰 드롭릿들보다 보다 고속으로 기화될 수 있어서, 액체 주입과 기화 완료 간의 지연을 감소시킨다. 보다 고속의 기화는 기화 지점 (803) 으로부터 파이핑 다운스트림의 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 베젤 (804) 에 바로 장착될 수도 있다. 다른 시나리오에서, 액체 주입기는 분배 샤워헤드 (806) 에 바로 장착될 수도 있다.
일부 실시예들에서, 기화 지점 (803) 의 업스트림에 액체 유량 제어기가 기화 및 프로세스 스테이션 (800) 으로의 전달을 위해 액체의 질량 유량을 제어하기 위해 제공될 수도 있다. 예를 들어, 액체 유량 제어기 (LFC) 는 LFC의 다운스트림에 위치된 열적 질량 유량 미터 (MFM) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 유량을 안정화시키기 위해 1 초 이상 걸릴 수도 있다. 이는 액체 반응물질을 도징하기 위한 시간을 연장할 수도 있다. 따라서, 일부 실시예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 전환될 수도 있다. 일부 실시예들에서, LFC는 LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 피드백 제어 모드로부터 직접 제어 모드로 동적으로 전환될 수도 있다.
분배 샤워헤드 (806) 는 기판 (812) 을 향하여 프로세스 가스들을 분배한다. 도 8에 도시된 실시예에서, 기판 (812) 은 분배 샤워헤드 (806) 아래에 위치되고, 페데스탈 (808) 상에 얹힌 것으로 도시된다. 분배 샤워헤드 (806) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (812) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다는 것이 이해될 것이다.
일부 실시예들에서, 마이크로볼륨 (807) 은 분배 샤워헤드 (806) 아래에 위치된다. 프로세스 스테이션의 전체 볼륨에서보다 마이크로볼륨 내에서 CFD 프로세스를 수행하는 것은 반응물질 노출 및 스윕핑 시간을 감소시킬 수도 있고, 프로세스 조건들 (예를 들어, 압력, 온도, 등) 을 변경하기 위한 시간들을 감소시킬 수도 있고, 프로세스 가스들에 대한 프로세스 스테이션 로보틱스의 노출을 제한하는 등을 할 수 있다. 예시적인 마이크로볼륨 사이즈들은 이로 제한되는 것은 아니지만, 0.1 리터 내지 2 리터의 볼륨을 포함한다.
일부 실시예들에서, 페데스탈 (808) 은 기판 (812) 을 마이크로볼륨 (807) 에 노출시키고/시키거나 마이크로볼륨 (807) 의 체적을 변화시키기 위해 상승되거나 하강될 수도 있다. 예를 들어, 기판 이송 단계에서, 페데스탈 (808) 은 기판 (812) 으로 하여금 페데스탈 (808) 상으로 로딩되게 하도록 하강될 수도 있다. 기판 상의 증착 프로세스 동안, 페데스탈 (808) 은 마이크로볼륨 (807) 내에 기판 (812) 을 포지셔닝하기 위해 상승될 수도 있다. 일부 실시예들에서, 마이크로볼륨 (807) 은 증착 프로세스 동안 높은 플로우 임피던스 영역을 생성하기 위해 페데스탈 (808) 의 일부뿐만 아니라 기판 (812) 을 완전히 둘러쌀 수도 있다.
선택적으로, 페데스탈 (808) 은 마이크로볼륨 (807) 내에서 프로세스 압력, 반응물질 농도, 등을 조절하기 위해 일부의 증착 프로세스 동안 하강되고/되거나 상승될 수도 있다. 프로세스 챔버 바디 (802) 가 프로세스 동안 기준 압력으로 유지되는 일 시나리오에서, 페데스탈 (808) 을 하강시키는 것은 마이크로볼륨 (807) 으로 하여금 배기되게 할 수도 있다. 프로세스 챔버 볼륨에 대한 마이크로볼륨의 예시적인 비들은 이로 제한되는 것은 아니지만, 1:500 내지 1:10의 체적 비를 포함한다. 일부 실시예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
다른 시나리오에서, 페데스탈 (808) 의 높이를 조정하는 것은 플라즈마 밀도로 하여금 증착 프로세스에 포함된 플라즈마 활성화 및/또는 처리 사이클들 동안 변할 수 있게 한다. 증착 프로세스 단계의 종료 시, 페데스탈 (808) 은 다른 기판 이송 단계 동안 페데스탈 (808) 로부터 기판 (812) 의 제거를 허용하기 위해 하강될 수도 있다.
본 명세서에 기술된 예시적인 마이크로볼륨 변화들이 높이-조정가능한 페데스탈을 참조하지만, 일부 실시예들에서, 분배 샤워헤드 (806) 의 위치는 마이크로볼륨 (807) 의 체적을 변화시키기 위해 페데스탈 (808) 에 대해 조정될 수도 있다는 것이 이해될 것이다. 또한, 페데스탈 (808) 및/또는 분배 샤워헤드 (806) 의 수직 위치는 본 개시의 범위 내에 있는 임의의 적합한 메커니즘에 의해 변화될 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, 페데스탈 (808) 은 기판 (812) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시예들에서, 하나 이상의 이들 예시적인 조정들은 하나 이상의 적합한 컴퓨터 제어기들에 의해 프로그램적으로 수행될 수도 있다는 것이 이해될 것이다.
도 8에 도시된 실시예로 돌아가면, 분배 샤워헤드 (806) 및 페데스탈 (808) 은 플라즈마에 전력을 공급하기 위해 RF 전력 공급부 (814) 및 매칭 네트워크 (816) 와 전기적으로 통신한다. 일부 실시예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (814) 및 매칭 네트워크 (816) 는 목표된 조성의 라디컬 종들을 갖는 플라즈마를 형성하기 위해 임의의 적합한 전력으로 동작될 수도 있다. 적합한 전력들의 예들은 상기에 포함되었다. 유사하게, RF 전력 공급부 (814) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시예들에서, RF 전력 공급부 (814) 는 서로 독립적으로 고 주파수 및 저 주파수 RF 전력 소스들을 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 50 ㎑ 내지 500 ㎑의 주파수를 포함할 수도 있다. 예시적인 고 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓의 주파수들을 포함할 수도 있다. 표면 반응들을 위한 플라즈마 에너지를 제공하도록 임의의 적합한 파라미터들이 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 이해될 것이다. 비제한적인 일 예에서, 플라즈마 전력은 연속적으로 전력이 공급되는 플라즈마들에 대해 기판 표면과의 이온 충돌을 감소시키기 위해 간헐적으로 펄싱될 수도 있다.
일부 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인 시츄 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (optical emission spectroscopy sensors) 에 의해 측정될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인 시츄 플라즈마 모니터들로부터의 측정치들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적인 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 일부 실시예들에서, 플라즈마 및 다른 프로세스 특성들을 모니터링하기 위해 다른 모니터들이 사용될 수도 있다는 것이 이해될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들을 포함할 수도 있다.
일부 실시예들에서, 플라즈마는 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제어될 수도 있다. 일 예에서, 플라즈마 활성화 단계를 위한 플라즈마 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 플라즈마 활성화 레시피에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 단계들은 프로세스 단계를 위한 모든 인스트럭션들이 이 프로세스 단계와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들을 설정하기 위한 인스트럭션들은 플라즈마 프로세스 단계에 선행하는 레시피 단계에 포함될 수도 있다. 예를 들어, 제 1 레시피 단계는 불활성 (예를 들어, 헬륨) 및/또는 반응 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 전력 설정 점으로 플라즈마 생성기를 설정하기 위한 인스트럭션들, 및 제 1 레시피 단계를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2, 후속하는 레시피 단계는 플라즈마 생성기를 인에이블하기 위한 인스트럭션들 및 제 2 레시피 단계를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 단계는 플라즈마 생성기를 디스에이블하기 위한 인스트럭션들 및 제 3 레시피 단계를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 단계들은 더 세분화될 수도 있고/있거나 본 개시의 범위 내의 임의의 적합한 방식으로 반복될 수도 있다는 것이 이해될 것이다.
특정한 경우들에서, 제어기는 세정 사이클 전 및/또는 기판들 상의 증착 후에 반응 챔버 표면들 상에 컨디셔닝 층을 증착하기 위한 인스트럭션들을 포함할 수도 있다. 제어기는 또한 제 1 레시피를 사용하여 기판들 상에 막을 증착한 후 그리고 제 2 레시피를 사용하여 기판들 상에 막을 증착하기 전에 챔버 표면들 상에 2차 사전-코팅을 증착하기 위한 인스트럭션들을 포함할 수도 있다. 컨디셔닝 층을 증착하기 위한 인스트럭션들은 본 명세서에 기술된 임의의 프로세스 단계들을 포함할 수도 있다. 예를 들어, 인스트럭션들은 액체 시약을 원자화/기화시키기 위해 액체 시약 및 헬륨을 특정한 플로우 레이트들로 가열된 주입 모듈 내로 유동시키는 것 및 기화된 스트림을 반응 챔버로 유동시키는 것을 포함할 수도 있다. 제어기는 또한 컨디셔닝 층의 증착 동안 및/또는 기판들 상의 증착 동안 퍼지 가스를 제공하기 위한 인스트럭션들을 가질 수도 있고, 퍼지 가스는 본 명세서에 기술된 바와 같이, 헬륨을 함유한다.
일부 증착 프로세스들에서, 플라즈마 점화는 지속기간 중에서 몇 초 이상 지속된다. 본 명세서에 기술된 특정한 구현예들에서, 프로세싱 사이클 동안 훨씬 짧은 플라즈마 점화가 인가될 수도 있다. 이들은 0.25 초가 구체적인 예인, 50 ㎳ 내지 1 초의 차수일 수도 있다. 이러한 짧은 RF 플라즈마 점화는 플라즈마의 고속 안정화를 필요로 한다. 이를 달성하기 위해, 플라즈마 생성기는 주파수가 플로팅하도록 허용되는 동안, 임피던스 매칭이 특정한 전압으로 프리셋되도록 구성될 수도 있다. 관습적으로, 고주파수 플라즈마들이 약 13.56 ㎒의 RF 주파수에서 생성된다. 본 명세서에 개시된 다양한 실시예들에서, 주파수는 이들 표준 값과 상이한 값으로 플로팅되게 된다. 임피던스 매칭을 미리 결정된 전압으로 고정하면서 주파수가 플로팅되게 허용함으로써, 플라즈마는 훨씬 고속으로 안정화될 수 있고, ALD/CFD 사이클들과 연관된 매우 짧은 플라즈마 점화들을 사용할 때 이 결과가 중요할 수도 있다.
일부 실시예들에서, 페데스탈 (808) 은 히터 (810) 를 통해 온도 제어될 수도 있다. 또한, 일부 실시예들에서, 프로세스 스테이션 (800) 을 위한 압력 제어가 버터플라이 밸브 (818) 에 의해 제공될 수도 있다. 도 8의 실시예에 도시된 바와 같이, 버터플라이 밸브 (818) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다. 그러나, 일부 실시예들에서, 프로세스 스테이션 (800) 의 압력 제어는 또한 프로세스 스테이션 (800) 에 도입된 하나 이상의 가스들의 플로우 레이트를 변화시킴으로써 조정될 수도 있다.
프로세스 스테이션 (800) 의 내부 표면들은, 언더코팅 및/또는 사전 증착 코팅을 포함할 수도 있는 컨디셔닝 층 (850) 으로 코팅된다. 컨디셔닝 층으로 코팅되는 표면들의 예들은 챔버 벽들 (802), 챔버 천정 및 플로어, 페데스탈 (808) 및 분배 샤워헤드 (806) 를 포함한다. 도 8은 프로세스 스테이션 (800) 내에 기판 (812) 갖는 것으로 도시되지만, 이 기판 (812) 은 하나 이상의 컨디셔닝 층들의 증착 동안 존재하지 않는다. 대신, 기판 (812) 은 컨디셔닝 층들이 증착된 후, 프로세스 스테이션 (800) 이 기판 (812) 상에 막을 증착하기 위해 사용되도록 준비될 때, 프로세스 스테이션 (800) 으로 도입된다.
상기에 기술된 바와 같이, 하나 이상의 프로세스 스테이션들은 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 9는 인바운드 로드록 (902) 및 아웃바운드 로드록 (904) 을 갖는 멀티-스테이션 프로세싱 툴 (900) 의 실시예의 개략도를 도시하고, 로드록들 중 하나 또는 양자는 원격 플라즈마 소스를 포함할 수도 있다. 대기압에서 로봇 (906) 은 포드 (908) 를 통해 로딩된 카세트로부터 대기 포트 (910) 를 통해 인바운드 로드록 (902) 내로 웨이퍼들을 이동시키도록 구성된다. 웨이퍼가 로봇 (906) 에 의해 인바운드 로드록 (902) 내의 페데스탈 (912) 상에 위치되고, 대기 포트 (910) 가 폐쇄되고, 로드록은 펌프 다운된다. 인바운드 로드록 (902) 이 원격 플라즈마 소스를 포함하는 경우, 웨이퍼는 프로세싱 챔버 (914) 내로 도입되기 전에 로드록 내에서 원격 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해, 인바운드 로드록 (902) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (914) 로의 챔버 수송 포트 (916) 가 개방되고, 다른 로봇 (미도시) 이 프로세싱을 위해 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 위치시킨다. 도 9에 도시된 실시예는 로드록들을 포함하지만, 일부 실시예들에서, 프로세스 스테이션으로 웨이퍼의 직접적인 진입이 제공될 수도 있다는 것이 이해될 것이다.
도시된 프로세싱 챔버 (914) 는 도 9에 도시된 실시예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 918로 도시됨) 및 가스 라인 유입구들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각은 상이하거나 다수의 목적들을 가질 수도 있다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALD 모드, CFD 모드, 및 CVD 프로세스 모드 사이에서 전환가능할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (914) 는 ALD/CFD/CVD 프로세스 스테이션들의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (914) 가 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 9는 또한 프로세싱 챔버 (914) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (990) 의 실시예를 도시한다. 일부 실시예들에서, 웨이퍼 핸들링 시스템 (990) 은 다양한 프로세스 스테이션들 간에서 및/또는 프로세스 스테이션과 로드록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 이해될 것이다. 비제한적인 예들은 웨이퍼 캐로절들 및 웨이퍼 핸들링 로봇들을 포함한다. 도 9는 또한 프로세스 툴 (900) 의 프로세스 조건들 및 하드웨어 상태들을 제어하기 위해 채용된 시스템 제어기 (950) 의 실시예를 도시한다. 시스템 제어기 (950) 는 하나 이상의 메모리 디바이스들 (956), 하나 이상의 대용량 저장 디바이스들 (954), 및 하나 이상의 프로세서들 (952) 을 포함할 수도 있다. 프로세서 (952) 는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (950) 는 프로세스 툴 (900) 의 모든 액티비티들을 제어한다. 시스템 제어기 (950) 는 대용량 저장 디바이스 (954) 에 저장되고, 메모리 디바이스 (956) 로 로딩되고, 프로세서 (952) 상에서 실행되는 시스템 제어 소프트웨어 (958) 를 실행한다. 시스템 제어 소프트웨어 (958) 는 타이밍, 가스상 및 액체 반응물질들의 혼합, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 목표 전력 레벨들, RF 전력 레벨들, RF 노출 시간, 기판 페데스탈, 척 및/또는 서셉터 위치, 및 프로세스 툴 (900) 에 의해 수행된 특정한 프로세스의 다른 파라미터들을 포함할 수도 있다. 이들 프로그램된 프로세스들은 이들로 제한되는 것은 아니지만, 언더코팅의 증착과 관련된 프로세스들, 기판들 상의 막의 증착과 관련된 프로세스들, 및 챔버 세정과 관련된 프로세스들을 포함하는, 다양한 타입들의 프로세스들을 포함할 수도 있다. 시스템 제어 소프트웨어 (958) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 개시된 방법들에 따라 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어 (958) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (958) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 예를 들어, 컨디셔닝 층 증착 프로세스의 단계 각각은 시스템 제어기 (950) 에 의해 실행할 하나 이상의 인스트럭션들을 포함할 수도 있다. 컨디셔닝 층 증착 프로세스 단계에 대한 프로세스 조건들을 설정하기 위한 인스트럭션들이 대응하는 컨디셔닝 층 증착 레시피 단계에 포함될 수도 있다. 일부 실시예들에서, 일 프로세스 단계를 위한 모든 인스트럭션들이 그 프로세스 단계와 동시에 실행되도록 레시피 단계들이 연속적으로 배열될 수도 있다.
시스템 제어기 (950) 와 연관된 대용량 저장 디바이스 (954) 및/또는 메모리 디바이스 (956) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (918) 상에 기판을 로딩하고 기판과 프로세스 툴 (900) 의 다른 부분들 사이의 공간을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다. 기판 포지셔닝 프로그램은 컨디셔닝 층들을 증착하고, 기판들 상에 막들을 증착하고, 챔버를 세정하기 위해 필요에 따라 반응 챔버의 내부 및 외부로 기판들을 적절하게 이동시키기 위한 인스트럭션들을 포함할 수도 있다. 이들은 컨디셔닝 층의 증착 동안 그리고 세정 프로세스 동안 반응 챔버 내에 기판이 존재하지 않는다는 것을 보장하기 위한 인스트럭션들을 포함할 수도 있다.
프로세스 가스 제어 프로그램은 프로세스 스테이션 내의 압력을 안정화시키기 위해 증착 전에 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택적으로 하나 이상의 프로세스 스테이션들로 가스를 유동시키기 위한 코드를 포함할 수도 있다. 일부 실시예들에서, 프로세스 가스 제어 프로그램은 반응 챔버 상에 컨디셔닝 층의 형성 동안 특정한 가스들을 도입하기 위한 인스트럭션, 및 반응 챔버 내의 기판 상의 막의 형성 동안 동일하거나 상이한 가스들을 도입하기 위한 인스트럭션을 포함한다. 프로세스 가스 제어 프로그램은 또한 동일한 지속기간 동안, 컨디셔닝 층의 형성 동안 그리고 기판들 상에 막의 형성 동안 이들 가스들을 동일한 레이트로 전달하기 위한 인스트럭션들을 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가열된 주입 모듈 내에 헬륨의 존재 시에 액체 반응물질을 원자화/기화하기 위한 인스트럭션들을 포함할 수도 있다.
압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션으로의 가스 플로우, 등을 조정함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 반응 챔버 상에 컨디셔닝 층의 증착 동안 그리고 기판들 상의 막의 증착 동안 동일한 압력을 유지하기 위한 인스트럭션들을 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하기 위해 사용된 히팅 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로 또는 부가적으로, 히터 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다. 히터 제어 프로그램은 컨디셔닝 층의 증착 동안 그리고 기판들 상의 막의 증착 동안 반응 챔버 및/또는 기판 홀더 내에 동일한 온도를 유지하기 위한 코드를 포함할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따라 하나 이상의 프로세스 스테이션들 내에서 RF 전력 레벨들, 주파수들, 및 노출 시간들을 설정하기 위한 코드를 포함할 수도 있다. 일부 실시예들에서, 플라즈마 제어 프로그램은 반응 챔버 상에 컨디셔닝 층의 증착 동안 그리고 기판들 상의 막의 증착 동안 동일한 RF 전력 레벨들 및/또는 주파수들 및/또는 노출 시간들을 사용하기 위한 인스트럭션들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (950) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (950) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들 및 노출 시간들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (950) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (900) 의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, (압력계들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouple), 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다.
시스템 제어기 (950) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따른 막 스택들의 인 시츄 증착을 동작시키기 위한 파라미터들을 제어할 수도 있다.
시스템 제어기는 통상적으로 하나 이상의 메모리 디바이스들 및 장치가 본 발명에 따른 방법을 수행하도록 인스트럭션들을 실행하도록 구성된 하나 이상의 프로세서들을 포함할 것이다. 본 발명에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능, 비일시적인 매체는 시스템 제어기와 커플링될 수도 있다.
상기에 기술된 다양한 하드웨어 및 방법 실시예들은 예를 들어 반도체 디바이스들, 디스플레이, LED, 광전 패널 등의 제조 또는 제작을 위한 리소그래피 패터닝 툴 또는 프로세스와 함께 사용될 수도 있다. 통상적으로, 이러한 툴들/프로세스들은 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 사용 또는 수행될 수 있다.
막의 리소그래피 패터닝은 통상적으로 각각 다수의 가능한 툴을 사용하여서 실현되는 다음의 단계들 중 몇몇 또는 모두를 포함하며, 이 단계들은 (1) 스핀 온 또는 스프레이 온 툴을 사용하여 예를 들어, 그 위에 질화 실리콘막이 형성된 기판과 같은 워크피스 상에 포토레지스트를 도포하는 단계, (2) 고온 플레이트 또는 퍼니스 또는 다른 적합한 경화 툴을 사용하여 포토레지스트를 경화하는 단계, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 포토레지스트를 가시광선 또는 자외선 또는 x 선 광에 노출시키는 단계, (4) 습식 벤치 (wet bench) 또는 스프레이 현상기와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 이를 패터닝하도록 포토레지스트를 현상하는 단계, (5) 건식 또는 플라즈마-보조 에칭 툴을 사용하여 아래에 놓인 막 또는 워크피스에 레지스트 패턴을 전사하는 단계, (6) RF 또는 마이크로웨이브 플라즈마 레지스트 스트립퍼 (stripper) 와 같은 툴을 사용하여 포토레지스트를 제거하는 단계를 포함할 수 있다. 일부 실시예들에서, (비정질 탄소층과 같은) 애싱가능한 하드 마스크층 및 (반사방지층과 같은) 다른 적합한 하드 마스크가 포토레지스트를 도포하기 전에 증착될 수도 있다.
본 명세서에 기술된 구성들 및/또는 접근 방법들은 본질적으로 예시적이고, 이들 구체적인 실시예들 또는 예들은 다양한 변형들이 가능하기 때문에 제한하는 방식으로 고려되지 않는다는 것이 이해된다. 본 명세서에 기술된 구체적인 루틴들 또는 방법들은 하나 이상의 임의의 수의 프로세싱 전략들을 나타낼 수도 있다. 이와 같이, 예시된 다양한 동작들은 예시된 순서로, 다른 순서로, 병행하여, 수행될 수도 있고, 또는 일부 경우들에서 생략될 수도 있다. 유사하게, 상기 기술된 프로세스들의 순서는 변경될 수도 있다.
본 개시의 주제는 본 명세서에 개시된 다양한 프로세스들, 시스템들 및 구성들, 및 다른 특징들, 기능들, 동작들, 및/또는 특성들의 모든 신규하고 명백하지 않은 조합들, 및 임의의 그리고 모든 이들의 등가물들을 포함한다.
실험
실험 결과들은 언더코팅의 형성 동안 그리고 증착-후 퍼지 시 헬륨과 같은 높은 전도성 가스를 포함하는 것이 기판들 상의 원치 않는 입자 형성을 실질적으로 감소시킬 수 있다는 것을 보여준다.
일 실험에서, 상이한 기판 증착 레시피들 (A 및 B) 이 3 개의 상이한 시나리오들 하에서 단일 증착 챔버 내에서 실시된다. 기준 시나리오에서, 컨디셔닝 층의 형성 동안 헬륨이 제공되지 않고, 프로세스 A 또는 프로세스 B에 대하여 증착-후 퍼지 기간 동안 헬륨이 제공되지 않았다. 제 2 시나리오 (방식 2 열) 에서, 컨디셔닝 층의 형성 동안 헬륨이 제공되지만, 프로세스 A 또는 프로세스 B에 대하여 증착-후 퍼지 기간 동안에는 제공되지 않는다. 제 3 시나리오 (방식 3 열) 에서, 컨디셔닝 층의 형성 동안 그리고 프로세스 A 또는 프로세스 B 양자에 대하여 증착-후 퍼지 동안 헬륨이 제공된다. 이들 실험에서 증착된 컨디셔닝 층은 약 1 ㎛의 두께로 증착된 TEOS-계 이산화 실리콘이다. 다른 경우들과 비교하여 퍼지 가스의 균일한 플로우 레이트를 유지하기 위해, 제 3 시나리오는 처음 두 시나리오들에서 사용된 8000 sccm의 산소 퍼지보다는, 각각 4000 sccm으로 전달된 He 및 O2의 50/50 혼합을 사용하였다.
프로세스 A는 프로세스 B보다 높은 TEOS 플로우로 수행되었다. 또한, 프로세스 A는 약 150 Å의 막 두께까지 계속되는 반면, 프로세스 B는 약 100 Å의 막 두께까지 계속된다. 프로세스들은 반응 챔버가 프로세스 A와 프로세스 B 사이에서 주기적으로 전환되도록 혼합된 레시피 모드로 실행되었다. 일부 경우들에서, 단지 하나의 웨이퍼만이 전환 전에 각각의 레시피로 프로세싱되었다. 다른 경우들에서, 상당한 수의 웨이퍼들이 전환 전에 각각의 레시피로 프로세싱되었다. 새로운 레시피가 반응기 내에서 실행되기 전에, 2차 사전 증착 코팅이 새로운 레시피에 대하여 챔버를 컨디셔닝하는 것을 돕도록 반응 챔버 표면들에 도포된다.
도 10의 행 1은 컨디셔닝 층의 증착 동안 헬륨이 사용되는지 또는 사용되지 않는지 여부와 관련된다. 행들 2 내지 6은 기판들 상의 증착 동안 사용된 조건들에 대응한다. 행 2는 퍼지 시간 및 로드록 시간에 관련된다. 퍼지 및 로드록 기간들은 도 6a 및 도 6b와 관련하여 기술된 것들과 관련된다. 행들 3 및 4는 각각 증착-후 퍼지 동안 산소 및 헬륨의 플로우와 관련된다. 증착 후 퍼지는 도 6a 및 도 6b의 퍼지 기간에 대응한다. 행 5는 로드록 시간 동안 산소의 플로우에 관련된다. 행 6은 적어도 0.04 ㎛의 사이즈를 갖는 입자들에 대해, 고 축적 레벨들 (예를 들어, 약 10 ㎛) 에서 본 중간 값의 입자 가산기들을 보고한다.
도 10에서 볼 때, 컨디셔닝 층의 증착 동안 또는 증착-후 퍼지 동안 헬륨이 사용되지 않은 기준 프로세스는 34의 중간 값에서 최대 수의 입자들을 보여준다. 컨디셔닝 층이 형성될 때 헬륨을 포함함으로써, 검출된 입자들의 중간 값은 22가 된다. 또한, 컨디셔닝 층의 증착 동안 및 기판 상에 증착될 때 증착-후 퍼지 동안 헬륨이 사용될 때, 검출된 입자들의 중간 값은 10이 된다. 이 데이터로부터, 언급된 단계들 양자에서 헬륨이 유익하다는 것이 명백하다. 또한, 방식 3 하에서의 입자 성능은 혼합된 레시피로 하여금 상당한 디펙트 문제들 없이 단일 툴 상에서 실행되게 하기에 충분히 양호하다.
도 11 내지 도 16은 2 개의 PECVD 레시피들이 기판들 상에 산화 실리콘막을 증착하기 위해 사용되는 실험에 대한 데이터를 제공한다. 2 개의 상이한 레시피들은 프로세스 A 및 프로세스 B로 참조된다. 레시피들은 단일 레시피 모드 및 혼합된 레시피 모드 양자에서 실행된다. 즉, 때때로 단일 레시피가 연달아 많은 기판들 상에 증착하기 위해 사용되지만 (단일 레시피 모드), 다른 시간들에서 레시피들이 보다 빈번하게 변경된다 (혼합된 레시피 모드). 총 약 8,500 개의 기판들 상에 막이 증착된다. 기판들 상에 막을 증착하기 위해 새로운 레시피가 사용되는 각각의 시간 전에 제 2 사전 증착 코팅이 반응 챔버 표면들 상에 증착된다. 각각의 레시피를 실행하기 위해 이용가능한 제 1 웨이퍼, 중간 웨이퍼 및 로딩되지 않은 웨이퍼들을 사용하여 데이터가 수집된다. 약 0.04 ㎛ 이상의 입자들이 기판들 상에서 검출된다. 각각의 레시피를 실행하기 전에 프로세싱된 웨이퍼들 (즉, 제 1 웨이퍼들, 또는 레시피들을 전환하기 전에 단지 하나의 기판만이 프로세싱되는 경우 단일 웨이퍼) 이 최고의 입자 형성 레벨을 보여준다. 레시피 실행시 나중의 시간들에 프로세싱된 중간 웨이퍼 및 로딩되지 않은 웨이퍼는 보다 낮고, 안정된 상태의 입자 형성 레벨들을 보여준다.
도 11의 그래프의 좌측부의 데이터는 프로세스들 A 및 B가 헬륨을 사용하지 않고 형성된 언더코팅을 갖는 챔버 내에서 실행되는 경우 및 프로세스들 A 및 B가 증착-후 퍼지 시 헬륨을 포함하지 않는 경우 기준 방법과 관련된다. 그래프의 우측부는 프로세스들 A 및 B가 헬륨을 사용하여 형성된 언더코팅을 갖는 챔버 내에서 실행되는 경우 및 프로세스들 A 및 B가 증착-후 퍼지 시 헬륨을 포함하는 경우 개선된 방법과 관련된다. 개선된 방법에서 사용된 조건들은 도 10의 방식 3에서 사용된 조건들과 동일하다. 도 11의 x-축은 프로세싱될 웨이퍼의 수와 관련되고 (프로세싱된 제 1 웨이퍼는 웨이퍼 1이 됨), y-축은 증착 후 기판 상에서 검출된 입자들의 수와 관련된다. 도 11 (그리고 도 14 및 도 15) 에서 부분적으로 상향으로 연장하는 수직 점선들은 원격 플라즈마 세정이 수행된 예를 보여준다. 원격 플라즈마 세정은 상기에 기술된 바와 같이 챔버 표면들로부터 증착된 재료를 제거한다. 각각의 원격 플라즈마 세정 후에 후레시 컨디셔닝 층이 증착된다.
특히, 헬륨을 사용하는 개선된 방법은 도 11에 도시된 바와 같이, 훨씬 적은 입자들이 기판들 상에 증착되게 한다. 개선된 방법은 고 레벨의 디펙트들을 갖는 임의의 기판들을 발생시키지 않는다. 개선된 헬륨 방법을 사용하여 프로세싱된 모든 기판들은 20 개보다 적은 입자들이 검출된다. 반대로, 기준 방법은 전체적으로 훨씬 높은 입자 형성 레벨을 나타내고, 매우 높은 입자 형성 레벨 (예를 들어, 약 70 개의 입자들) 을 나타내는 기판들이 몇 개 있다.
도 12는 도 11과 관련하여 기술된 8,500 개의 기판 실험 동안 프로세스들 A 및 B에 대하여, 0.04 ㎛ 및 0.08 ㎛의 기판들 상에서 검출된 최대 및 중간 수의 입자들과 관련된 표를 도시한다. 개선된 방식 3 프로세스는 기준 프로세스와 비교하여, 기판들 상에서 검출된 보다 낮은 최대 및 중간 수의 입자들을 유발한다. 이는 프로세스 A 및 프로세스 B, 두 레시피들 및 0.04 ㎛ 및 0.08 ㎛의 입자 크기에 대해 참이다.
도 13은 컨디셔닝 층의 증착 동안 그리고 증착-후 퍼지 동안 헬륨이 사용되는 경우, 기준 방식 하에서 프로세싱된 기판 (상단 패널) 에 대해 0.04 ㎛에서 그리고 개선된 방식 3 하에서 프로세싱된 기판 (하단 패널) 에 대해 대표적인 디펙트 맵들을 예시한다. 헬륨을 사용하여 프로세싱된 기판은 실질적으로 보다 낮은 디펙트들을 보여준다.
도 14는 도 11 내지 도 13과 관련하여 상기에 기술된 8,500 개의 기판들이 실행되는 동안 기판들 상에 증착된 막의 두께를 보여준다. 언급된 바와 같이, 프로세스 A는 약 100 Å의 목표 두께로 실행되고, 프로세스 B는 약 150 Å의 목표 두께로 실행되었다. 도 11과 유사하게, 그래프의 좌측부의 데이터는 기준 프로세스에 대응하는 반면, 그래프의 우측부의 데이터는 방식 3 조건들을 사용하는 개선된 헬륨 방법에 대응한다. 두께 성능은 기준 방법과 개선된 방식 3 방법 간에서 크게 변화되지 않았다.
도 15는 도 11 내지 도 14와 관련하여 기술된 8,500 개의 기판을 실행하는 동안 프로세싱된 기판들에 대한 1σ 웨이퍼-내 두께 불균일성을 도시한다. 두께 불균일성은 기준 프로세스와 헬륨을 사용하는 방식 3 프로세스 간에서 비슷하다.
도 16은 도 14 및 도 15에 도시된 두께와 두께 불균일성 결과들을 합산한 표이다. 두께 및 두께 불균일성 양자는 기준 프로세스와 헬륨이 사용된 개선된 방식 3 프로세스 사이에서 비슷하다.
도 17은 2 개의 방식들 하에서 프로세싱된 기판들 상에서 검출된 입자들의 수와 관련된 상자 및 위스커 플롯을 제공한다. 0.04 ㎛ 이상의 입자들만이 검출되었다. 기준 방식에서, 컨디셔닝 층들의 증착 동안 헬륨이 사용되지 않고, 증착-후 퍼지 가스의 일부로서 헬륨이 사용되지 않았다. 개선된 방식에서, 컨디셔닝 층의 형성 동안 및 증착-후 퍼지 동안 헬륨이 사용되지 않았다. 개선된 방식에 대한 프로세스 조건들은 도 10 내지 도 16의 방식 3과 관련하여 기술된 조건들과 동일하다. 프로세스 A 및 프로세스 B를 포함하는 2 개의 상이한 증착 레시피들이 사용되었다. 데이터는 혼합된 레시피 모드를 사용하여 수집되었다. 즉, 레시피들은 반응 챔버 내에서 빈번하게 전환되었고, 데이터는 프로세싱된 기판들 상에서 레시피 전환 즉시 또는 직후에 수집되었다. 레시피가 전환될 때마다, 본 명세서에 기술된 다른 실험들과 함께 발생하기 때문에, 새로운 컨디셔닝 층 (2차 사전 증착 코팅) 이 반응 챔버의 표면들 상에 증착된다. 개선된 방식은 프로세스 A 및 프로세스 B 양자에 대하여 기판 표면 상에서 실질적으로 보다 적은 입자들이 검출되는 것을 보여준다.
종합적으로, 실험 결과들은 챔버 컨디셔닝 층의 형성 동안 TEOS를 기화시키기 위해 사용된 프로세스 가스 내에 헬륨을 포함하는 것이 챔버에서 프로세싱된 기판들 상에서 검출된 입자들의 수를 감소시키는 것을 보여준다. 결과들은 또한 퍼지 가스 내에 헬륨을 포함하는 것이 챔버 내에서 프로세싱된 기판들 상에서 검출된 입자들의 수를 더 감소시킨다는 것을 보여준다. 이러한 수정들이 증착된 막들의 두께 및 두께 불균일성에 실질적으로 영향을 주지 않고 이루어질 수 있다.

Claims (20)

  1. 기판들 상에 막을 증착하기 위해 반응 챔버를 준비하는 방법으로서,
    가열된 주입 모듈 내로 액체 시약을 유동시키는 단계;
    원자화된 액체 시약 및 헬륨을 포함하는 소스 가스를 생성하기 위해 헬륨의 존재 시에 상기 가열된 주입 모듈 내에서 상기 액체 시약을 원자화시키는 단계;
    상기 가열된 주입 모듈로부터 상기 반응 챔버 내로 상기 소스 가스를 유동시키는 단계; 및
    기판이 상기 반응 챔버 내에 존재하지 않는 동안 상기 반응 챔버의 표면들 상에 상기 소스 가스로부터의 막을 증착하기 위해 상기 반응 챔버를 플라즈마에 노출시키는 단계를 포함하는, 반응 챔버를 준비하는 방법.
  2. 제 1 항에 있어서,
    상기 액체 시약은 TEOS이고, 상기 TEOS는 10 mL/min보다 낮은 레이트로 상기 가열된 주입 모듈 내로 유동되는, 반응 챔버를 준비하는 방법.
  3. 제 2 항에 있어서,
    상기 소스 가스는 산소를 포함하는, 반응 챔버를 준비하는 방법.
  4. 제 1 항에 있어서,
    상기 소스 가스는 체적으로 40 내지 80 %의 헬륨을 포함하는, 반응 챔버를 준비하는 방법.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 반응 챔버 내로 상기 소스 가스를 유동시키는 단계 및 상기 반응 챔버를 플라즈마에 노출시키는 단계는 적어도 부분적으로 동시에 수행되어, 화학적 기상 증착 가스-상 반응을 통해 상기 막을 증착하는, 반응 챔버를 준비하는 방법.
  6. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 반응 챔버 내로 상기 소스 가스를 유동시키는 단계 및 상기 반응 챔버를 플라즈마에 노출시키는 단계는 원자층 증착 표면 반응을 통해 상기 막을 증착하도록 주기적으로 수행되는, 반응 챔버를 준비하는 방법.
  7. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 반응 챔버는 언더코팅 막 (undercoat film) 을 형성하기 위해 30 내지 90 초의 지속기간 동안 플라즈마에 노출되는, 반응 챔버를 준비하는 방법.
  8. 제 7 항에 있어서,
    상기 언더코팅 막 상에 사전 증착 코팅 막을 형성하기 위해 상기 방법을 반복하는 단계를 더 포함하고,
    상기 사전 증착 코팅 막의 형성 동안, 상기 반응 챔버는 60 내지 350 초의 지속기간 동안 플라즈마에 노출되고,
    상기 반응 챔버의 표면들 상에서의 증착 레이트는 상기 사전 증착 코팅 막의 형성 동안보다 상기 언더코팅 막의 형성 동안 더 높은, 반응 챔버를 준비하는 방법.
  9. 기판 상에 막을 증착하기 위한 방법으로서,
    반응 챔버 내에 기판이 존재하지 않는 동안 상기 반응 챔버 내로 제 1 시약 및 헬륨을 포함하는 제 1 소스 가스를 유동시키고 상기 반응 챔버를 제 1 플라즈마에 노출시켜 상기 반응 챔버의 표면들 상에 제 1 막을 증착하는 단계로서, 상기 제 1 시약은 실온에서 액체이고, 상기 제1 시약은 상기 반응 챔버 내에 유동시키기 전에 상기 헬륨의 존재 시에 원자화되는, 상기 제 1 소스 가스를 유동시키고 상기 반응 챔버를 제 1 플라즈마에 노출시키는 단계;
    상기 반응 챔버에 기판을 제공하는 단계; 및
    상기 반응 챔버 내로 제 2 소스 가스를 유동시키고 상기 반응 챔버를 제 2 플라즈마에 노출시켜 상기 기판 상에 제 2 막을 증착하는 단계로서, 상기 제 2 소스 가스는 실온에서 액체인 제 2 시약을 포함하고, 상기 제 2 소스 가스는 실질적으로 헬륨이 없는 (free of helium), 상기 제 2 소스 가스를 유동시키고 상기 반응 챔버를 제 2 플라즈마에 노출시키는 단계를 포함하는, 기판 상에 막을 증착하는 방법.
  10. 제 9 항에 있어서,
    상기 제 1 시약은 TEOS를 포함하는, 기판 상에 막을 증착하는 방법.
  11. 제 10 항에 있어서,
    상기 반응 챔버 내로 유동된 상기 제 1 소스 가스의 TEOS의 플로우 레이트는, TEOS가 실온에서 액체 형태로 존재할 때 측정되는 경우에 10 mL/min보다 작은, 기판 상에 막을 증착하는 방법.
  12. 제 9 항에 있어서,
    상기 제 2 막 또는 상기 제 2 막의 일부가 상기 기판 상에 형성된 후 헬륨을 포함하는 퍼지 가스를 사용하여 적어도 3 초 동안 상기 반응 챔버를 퍼지하는 단계를 더 포함하는, 기판 상에 막을 증착하는 방법.
  13. 제 12 항에 있어서,
    상기 퍼지 가스 내의 헬륨은 10 내지 20 SLM의 레이트로 유동하는, 기판 상에 막을 증착하는 방법.
  14. 제 9 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 제 2 시약은 TEOS를 포함하는, 기판 상에 막을 증착하는 방법.
  15. 제 9 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 기판을 상기 반응 챔버에 제공하는 단계 전에, 기판이 상기 반응 챔버 내에 존재하지 않는 동안, 상기 반응 챔버 내로 제 3 시약 및 헬륨을 포함하는 제 3 소스 가스를 유동시키고 상기 반응 챔버를 제 3 플라즈마에 노출시켜 상기 반응 챔버의 표면들 상에 제 3 막을 증착하는 단계를 더 포함하고,
    상기 제 3 시약은 실온에서 액체 상태이고, 상기 제 1 플라즈마는 30 내지 90 초의 지속기간 동안 상기 반응 챔버에 노출되고, 상기 제 3 플라즈마는 60 내지 350 초의 지속기간 동안 상기 반응 챔버에 노출되고, 증착 레이트는 상기 제 3 막의 증착 동안보다 상기 제 1 막의 증착 동안 더 높은, 기판 상에 막을 증착하는 방법.
  16. 제 9 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 기판 상의 상기 제 2 막의 증착 단계 후에, 상기 반응 챔버로부터 상기 기판을 제거하는 단계;
    상기 반응 챔버 내로 제 4 소스 가스를 유동시키고 상기 반응 챔버를 제 4 플라즈마에 노출시켜 상기 반응 챔버의 표면들 상에 제 4 막을 증착하는 단계로서, 상기 제 4 소스 가스는 헬륨을 포함하는, 상기 제 4 소스 가스를 유동시키고 상기 반응 챔버를 제 4 플라즈마에 노출시키는 단계;
    상기 반응 챔버의 표면들 상에 상기 제 4 막의 증착 단계 후에, 상기 반응 챔버 내에 제 2 기판을 수용하는 단계; 및
    상기 반응 챔버 내로 제 5 소스 가스를 유동시키고 상기 반응 챔버를 제 5 플라즈마에 노출시켜 상기 제 2 기판 상에 제 5 막을 증착하는 단계를 더 포함하고,
    적어도 하나의 반응 파라미터는 상기 기판 상의 상기 제 2 막의 증착과 상기 제 2 기판 상의 상기 제 5 막의 증착 사이에서 상이하고,
    상기 반응 파라미터는, 플라즈마를 생성하는데 사용된 전력, 플라즈마를 생성하는데 사용된 주파수, 플라즈마 노출 시간, 상기 반응 챔버로 전달된 반응물질들, 상기 반응 챔버로의 반응물질들의 전달 타이밍, 상기 반응 챔버로의 반응물질들의 전달 플로우 레이트, 압력, 전극 갭 및 온도로 구성된 그룹으로부터 선택되는, 기판 상에 막을 증착하는 방법.
  17. 제 16 항에 있어서,
    상기 제 2 막이 상기 기판 상에 증착된 후 상기 제 4 막은 상기 반응 챔버의 상기 표면들의 제 1 세정 단계 없이 상기 반응 챔버의 표면들 상에 증착되는, 기판 상에 막을 증착하는 방법.
  18. 제 17 항에 있어서,
    상기 기판 상에 증착된 상기 제 2 막 및 상기 제 2 기판 상에 증착된 상기 제 5 막은 그 위에서 검출가능한 0.04 ㎛ 이상의 10 개보다 적은 입자들을 갖는, 기판 상에 막을 증착하는 방법.
  19. 제 17 항에 있어서,
    상기 제 5 막은 상기 반응 챔버 내에서 어떠한 증착 동작들의 개입 없이, 상기 제 4 막이 상기 반응 챔버의 표면들 상에 형성된 직후에 상기 제 2 기판 상에 증착되고, 상기 제 5 막은 그 위에서 검출가능한 0.04 ㎛ 이상의 20 개보다 적은 입자들을 갖는, 기판 상에 막을 증착하는 방법.
  20. 기판들 상에 막을 증착하기 위한 장치로서,
    가스 상의 반응물질들을 전달하기 위한 하나 이상의 유입구들을 갖는 반응 챔버;
    액체 상의 반응물질들을 기화시키고 그리고/또는 원자화시키기 위해 상기 유입구에 커플링된 가열된 주입 모듈;
    상기 반응 챔버로부터 재료들을 제거하기 위한 유출구;
    상기 반응 챔버를 플라즈마에 노출시키기 위한 플라즈마 생성 소스; 및
    제어기를 포함하고,
    상기 제어기는,
    상기 가열된 주입 모듈 내로 액체 시약을 유동시키게 하고,
    원자화된 액체 시약 및 헬륨을 포함하는 소스 가스를 생성하기 위해 헬륨의 존재 시에 상기 가열된 주입 모듈 내에서 상기 액체 시약을 원자화시키게 하고,
    상기 소스 가스를 상기 가열된 주입 모듈로부터 상기 반응 챔버로 유동시키게 하고, 그리고
    상기 반응 챔버 내에 기판이 존재하지 않는 동안 상기 반응 챔버의 표면들 상에 상기 소스 가스로부터의 막을 증착하기 위해 상기 반응 챔버를 플라즈마에 노출시키게 하도록 구성되는, 증착 장치.
KR1020150007827A 2014-01-17 2015-01-16 기상 증착된 막들의 결함 감소를 위한 방법 및 장치 KR102366249B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220020744A KR20220025787A (ko) 2014-01-17 2022-02-17 기상 증착된 막들의 결함 감소를 위한 방법 및 장치

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/158,536 US9328416B2 (en) 2014-01-17 2014-01-17 Method for the reduction of defectivity in vapor deposited films
US14/158,536 2014-01-17

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220020744A Division KR20220025787A (ko) 2014-01-17 2022-02-17 기상 증착된 막들의 결함 감소를 위한 방법 및 장치

Publications (2)

Publication Number Publication Date
KR20150086197A KR20150086197A (ko) 2015-07-27
KR102366249B1 true KR102366249B1 (ko) 2022-02-21

Family

ID=53544280

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020150007827A KR102366249B1 (ko) 2014-01-17 2015-01-16 기상 증착된 막들의 결함 감소를 위한 방법 및 장치
KR1020220020744A KR20220025787A (ko) 2014-01-17 2022-02-17 기상 증착된 막들의 결함 감소를 위한 방법 및 장치
KR1020230131625A KR20230145004A (ko) 2014-01-17 2023-10-04 기상 증착된 막들의 결함 감소를 위한 방법 및 장치

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020220020744A KR20220025787A (ko) 2014-01-17 2022-02-17 기상 증착된 막들의 결함 감소를 위한 방법 및 장치
KR1020230131625A KR20230145004A (ko) 2014-01-17 2023-10-04 기상 증착된 막들의 결함 감소를 위한 방법 및 장치

Country Status (3)

Country Link
US (1) US9328416B2 (ko)
KR (3) KR102366249B1 (ko)
TW (1) TWI643974B (ko)

Families Citing this family (261)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
TWI514460B (zh) * 2014-08-22 2015-12-21 Sino American Silicon Prod Inc 晶圓製作方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10163655B2 (en) * 2015-11-20 2018-12-25 Micron Technology, Inc. Through substrate via liner densification
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
WO2018112463A1 (en) * 2016-12-16 2018-06-21 Applied Materials, Inc. Method to enable high temperature processing without chamber drifting
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
GB201704763D0 (ko) 2017-01-05 2017-05-10 Illumina Inc
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
CN111448640A (zh) 2017-12-07 2020-07-24 朗姆研究公司 在室调节中的抗氧化保护层
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US20210340670A1 (en) * 2018-10-19 2021-11-04 Lam Research Corporation In situ protective coating of chamber components for semiconductor processing
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
WO2021034508A1 (en) 2019-08-16 2021-02-25 Lam Research Corporation Spatially tunable deposition to compensate within wafer differential bow
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210028093A (ko) * 2019-08-29 2021-03-11 에이에스엠 아이피 홀딩 비.브이. 유전체 층을 포함하는 구조체 및 이를 형성하는 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
TWI820472B (zh) * 2021-08-19 2023-11-01 天虹科技股份有限公司 物理氣相沉積腔體的暖機方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114235108B (zh) * 2021-12-24 2023-08-15 华中科技大学无锡研究院 基于数据分析燃气流量计异常状态检测方法和装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US20080302281A1 (en) * 2005-11-23 2008-12-11 Bernard William J Surface Treatment of Metallic Articles in an Atmospheric Furnace
US20110151142A1 (en) * 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5166101A (en) 1989-09-28 1992-11-24 Applied Materials, Inc. Method for forming a boron phosphorus silicate glass composite layer on a semiconductor wafer
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5654475A (en) * 1996-03-25 1997-08-05 Twenty-First Century Research Corporation Methods of making intermediate oxidation products by controlling oxidation rates in an atomized liquid
US5605859A (en) * 1995-07-05 1997-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making insulator structure for polysilicon resistors
US5518959A (en) 1995-08-24 1996-05-21 Taiwan Semiconductor Manufacturing Company Method for selectively depositing silicon oxide spacer layers
US5674783A (en) 1996-04-01 1997-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving the chemical-mechanical polish (CMP) uniformity of insulator layers
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6121164A (en) 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US5970383A (en) 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
US6071573A (en) 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
JP2001020995A (ja) * 1999-07-08 2001-01-23 Bridgestone Corp 防振装置及び防振装置のバルブ
US6530380B1 (en) 1999-11-19 2003-03-11 Chartered Semiconductor Manufacturing Ltd. Method for selective oxide etching in pre-metal deposition
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US7204913B1 (en) 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
US8163087B2 (en) 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7241690B2 (en) 2005-04-12 2007-07-10 Texas Instruments Incorporated Method for conditioning a microelectronics device deposition chamber
CN101253610A (zh) 2005-08-31 2008-08-27 住友化学株式会社 晶体管、有机半导体元件及它们的制造方法
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US7704894B1 (en) 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
US20090325391A1 (en) * 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8017527B1 (en) 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US20080302281A1 (en) * 2005-11-23 2008-12-11 Bernard William J Surface Treatment of Metallic Articles in an Atmospheric Furnace
US20110151142A1 (en) * 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design

Also Published As

Publication number Publication date
KR20220025787A (ko) 2022-03-03
KR20230145004A (ko) 2023-10-17
KR20150086197A (ko) 2015-07-27
TWI643974B (zh) 2018-12-11
US20150203967A1 (en) 2015-07-23
TW201602392A (zh) 2016-01-16
US9328416B2 (en) 2016-05-03

Similar Documents

Publication Publication Date Title
KR102366249B1 (ko) 기상 증착된 막들의 결함 감소를 위한 방법 및 장치
KR102548630B1 (ko) 후면 증착 장치 및 애플리케이션들
US10903071B2 (en) Selective deposition of silicon oxide
US11670503B2 (en) Method of atomic layer deposition
US9745658B2 (en) Chamber undercoat preparation method for low temperature ALD films
US10804099B2 (en) Selective inhibition in atomic layer deposition of silicon-containing films
US10763108B2 (en) Geometrically selective deposition of a dielectric film
US9214333B1 (en) Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9589790B2 (en) Method of depositing ammonia free and chlorine free conformal silicon nitride film
TW202340510A (zh) 用於針對低溫前驅物改進保形性的原子層沉積脈衝序列工程

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant