CN101506960B - 处理基板的方法 - Google Patents

处理基板的方法 Download PDF

Info

Publication number
CN101506960B
CN101506960B CN200780030401XA CN200780030401A CN101506960B CN 101506960 B CN101506960 B CN 101506960B CN 200780030401X A CN200780030401X A CN 200780030401XA CN 200780030401 A CN200780030401 A CN 200780030401A CN 101506960 B CN101506960 B CN 101506960B
Authority
CN
China
Prior art keywords
substrate
film
chamber
reactant
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN200780030401XA
Other languages
English (en)
Other versions
CN101506960A (zh
Inventor
A·莱克斯曼
V·N·恩古耶
朴贤秀
G·巴拉苏布拉马尼恩
S·赖特尔
清原敦
F·施米特
金柏涵
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101506960A publication Critical patent/CN101506960A/zh
Application granted granted Critical
Publication of CN101506960B publication Critical patent/CN101506960B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Abstract

本发明提供一种用于减少沉积在半导体基板上的薄膜上的缺陷的设备及方法。本发明的一个实施例提供一种用于在基板上沉积薄膜的方法。该方法包括:用第一等离子体处理该基板,且该第一等离子体被配置成减少在该基板上已存在的缺陷;以及通过施加由至少一种前驱物及至少一种反应物气体所产生的第二等离子体,而在该基板上沉积一种包括硅和碳的薄膜。

Description

处理基板的方法
技术领域
本发明的实施例涉及一种利用化学气相沉积法(CVD)而在半导体基板上沉积薄膜层的设备及方法,特别涉及一种用于减少沉积在半导体基板上的薄膜的缺陷的设备及方法。
背景技术
半导体的制造包括用于在半导体基板上制造多层特征结构(feature)的一系列处理。这些处理室可包括例如基板预处理室、清洗室、烘烤室、冷却室、化学气相沉积室、物理气相沉积室、蚀刻室及电化学电镀室等。成功的操作需要一连串的基板在这些腔室之间进行处理,且这些腔室对一连串基板中的各个基板进行稳态处理。
在半导体制造过程中,例如氧化物(比如掺碳的氧化物)等物质通常在处理室(例如为沉积室,且比如化学气相沉积室)中被沉积在基板上。在典型的CVD处理中,基板被暴露于一种或多种流入CVD室中的挥发性前驱物,这些前驱物在基板表面上发生反应及/或分解而产生所期望的沉积物。通常,亦会产生挥发性副产物,其可通过流经CVD室的气流来移除。在等离子体增强化学气相沉积(PECVD)中,在CVD室中产生等离子体,以增进前驱物的化学反应速率。PECVD处理允许在低温下进行沉积,而这通常对于半导体的制造是很关键的。
致命的缺陷(例如群集型缺陷;cluster type defect)会造成半导体组件的故障,而这些缺陷可能是在半导体制造期间由于已存在的缺陷的污染物及/或产物所造成的。由于特征结构的尺寸连续降低并且基板和管芯尺寸不断增大,因而半导体处理(例如PECVD处理)对缺陷变得更为敏感。因此,更需要一种用于在半导体处理中减少总缺陷的设备及方法。
发明内容
本发明一般提供一种用以减少沉积在半导体基板上的薄膜的缺陷的设备及方法。
本发明的一个实施例提供一种用于处理基板的方法。该方法包括:将基板放置于处理室中;用第一等离子体处理基板,且第一等离子体被配置成减少在基板上已存在的缺陷;以及通过施加由至少一种前驱物及至少一种反应物气体所产生的第二等离子体,在基板上沉积包括硅和碳的薄膜。
本发明的另一个实施例提供一种用于在PECVD(等离子体增强化学气相沉积)室中处理基板的方法。该方法包括:将基板放置于PECVD室中;向PECVD室提供第一反应物,同时施加处于第一电平的射频功率,其中第一反应物被配置成减少在基板上已存在的缺陷;以及向PECVD室提供第二反应物,同时施加处于第二电平的射频功率,其中第二反应物被配置成在基板上沉积薄膜。
本发明的又一个实施例提供一种用于处理基板的方法。该方法包括:将基板放置于处理室中;利用第一等离子体对基板进行预处理,以减少在基板上已存在的缺陷;利用由前驱物和反应物气体所产生的第二等离子体在基板上沉积薄膜;以及利用由反应物气体所产生的第三等离子体来净化该处理室。
附图说明
通过上方描述则可详细了解本发明的特征,而简单概述于上的针对本发明的特定说明可参照实施例,且部分亦说明于所附图示中。然而,需注意的是,所附图示仅示出了本发明的实施例,因此不可认定为限制本发明的范围,该发明需承认其它等效的实施例。
图1示出了根据本发明的一个实施例的PECVD系统的剖面视图。
图2概要示出了根据本发明的一个实施例的加载锁定室。
图3概要示出了图2中所示的加载锁定室的加热器组件的一个实施例的上视图。
图4示出了根据本发明的一个实施例的示范性沉积处理。
具体实施方式
本发明一般提供用于减少在PECVD薄膜中的总缺陷的设备及方法。本发明包括加载锁定室,其被配置成在一升高温度下加热基板,而使其具有较佳的微粒表现(particle performance)。本发明亦包括对于待沉积的基板进行等离子体处理,并对于前驱物及功率供应提供较低的上升速率。
本发明一般提供可减少PECVD处理中的总缺陷的设备及方法。本发明包括加载锁定室,其被配置成在一升高温度下加热基板,而使其具有较佳的微粒表现(particle performance)。本发明亦包括对于待沉积的基板进行等离子体处理,并对于前驱物及功率供应提供较低的上升速率。
下文关于本发明的描述参照了SE CVD系统或
Figure GSB00000275956400032
CVD系统的改良系统,两者皆购自加州圣克拉拉的应用材料公司(AppliedMaterials,Inc.)。SE CVD系统(200mm或300mm)具有二个分离的处理区域,其用以沉积掺碳氧化硅以及其它物质,并且被描述于美国专利第5,855,681号及第6,495,233号,在此将其并入以作为参考。
Figure GSB00000275956400034
CVD室被描述于美国专利第6,364,954号,其公告于2002年4月2日,并在此将其并入以作为参考。
图1示出了根据本发明的一个实施例的PECVD系统100的剖面视图。PECVD系统100通常包括腔室主体102,其用于支撑腔室盖104,而该腔室盖104通过一铰链而附接至腔室主体102。腔室主体102包括侧壁112及底壁116,以界定出处理区域120。腔室盖104可包括穿设于其中的一个或多个气体分配系统108,用以将反应物及清洗气体输送至处理区域120中。周围的抽气通道125形成于侧壁112中,并耦接至抽气系统164,该抽气通道125被配置成将气体由处理区域120排出并控制处理区域120中的压力。二个通道122、124形成于底壁116中。加热器座128的柄126用以支撑及加热待处理的基板,并通过该通道122。杆130被配置成启动该基板升举销161,并通过该通道124。
加热器座128被可移动地设置于处理区域120中,其由耦接至柄126的驱动系统103所驱动。加热器座128可包括加热组件(例如电阻组件)以将设置于其上的基板加热至期望的处理温度。可选择地,加热器座128可以被外部加热组件(例如灯组件)加热。驱动系统103可包括线性致动器或马达及减速齿轮组件,以使加热器座128在处理区域120内上升或下降。
腔室衬垫127较佳地由陶瓷制成,其被设置于处理区域120中以保护侧壁112免受腐蚀性处理环境的伤害。腔室衬垫127可由形成于侧壁112中的突出件129所支撑。多个排出口131形成于腔室衬垫127上。多个排出口131被配置成将处理区域120连接至抽气通道125。
气体分配系统108被配置成输送反应物及清洗气体,其穿设于腔室盖104而将气体传送到处理区域120中。气体分配系统108包括气体入口通道140,以将气体输送到喷器头组件142中。喷器头组件142由环状底板148构成,底板148具有设置于面板146中间的阻挡板144。耦接至喷器头组件142的RF(射频)源165向喷器头组件142提供偏置电位,以在喷器头组件142的面板146与加热器座128之间帮助产生等离子体。RF源165通常包括高频射频(HFRF)电源(例如13.56MHz RF产生器)以及低频射频(LFRF)电源(例如300kHz RF产生器)。LFRF电源提供低频产生及固定匹配组件。HFRF电源被设计成与固定匹配一同使用,并调节输送至负载的功率,因而免除关于正向与反射功率的顾虑。
冷却通道147形成于气体分配系统108的底板148中,以在操作过程中冷却底板148。冷却入口145将冷却剂流体(例如水等)输送到冷却通道147中。冷却剂流体则通过冷却剂出口149而离开冷却通道147。
腔室盖104更包括匹配通路,以将来自一个或多个气体入口166及远程等离子体源162的气体输送至位于腔室盖104顶端的气体入口歧管167。
腔室清洗处理可以是周期性地进行或在闲置期间进行,以降低PECVD系统100的微粒污染。可利用位于处理室附近的远程等离子体源(例如远程等离子体源162)所产生的远程等离子体,来进行腔室清洗处理。远程等离子体源162被配置成提供活性物质至处理区域120,以从内部表面移除所沉积的物质。远程等离子体源162通常连接至前驱物源163、载气源168及电源169。在操作过程中,前驱物气体以一预定流速而流入远程等离子体源162。电源169提供射频或微波功率,以激活远程等离子体源162中的前驱物气体而形成活性物质,该活性物质接着透过气体入口歧管167及气体分配系统108而流入处理区域120。载气(例如氩气、氮气、氦气、氢气或氧气等)可流入远程等离子体源162及处理区域120以帮助输送活性物质及/或协助清洗处理,或者是协助处理区域120中的等离子体的初始化及/或稳定。在一个实施例中,电源169提供宽广范围(例如400KHz~13.56MHz)的射频功率。反应性气体选自宽广范围的选择,包括常使用的卤素及卤素化合物。举例来说,反应性气体可以为氯、氟或其化合物,例如NF3、CF4、SF6、C2F6、CCl4、C2Cl6等,这取决于欲移除的沉积物质。远程等离子体源162通常被设置成接近处理区域120,这是因为自由基的存活时间通常较短。
一种或多种处理气体透过气体输入歧管167而被输送至处理区域120。一般来说,由待输送至处理室的处理区域的前驱物形成气体或蒸气的方法有三种,因而可在基板上形成所期望的物质的层。第一种方法是升华处理,其中利用受控处理来蒸发固态的前驱物,使得前驱物在安瓿中由固相转变为气相(或蒸气)。第二种方法是通过蒸发处理而产生前驱物气体,其中载气沸腾穿过温控的液体前驱物,并且载气会带走前驱物气体。在第三种方法中,前驱物气体是在液体输送系统中产生的,其中液体前驱物被输送至蒸馏器,液体前驱物则通过将额外能量传送至蒸馏器而从液态转变为气态。PECVD系统100通常包括一个或多个前驱物输送系统。PECVD系统100可包括一个或多个液体输送气源150以及一个或多个被配置成提供载气及/或前驱物气体的气源172。
PECVD系统100可被配置成将多种薄膜沉积在基板上,例如来自八甲基环四硅氧烷(OMCTS)的掺碳氧化硅薄膜、来自三甲基硅烷(TMS)的掺碳氧化硅薄膜、从四乙氧基硅烷(TEOS)沉积的氧化硅薄膜、来自硅烷(SiH4)的氧化硅薄膜、来自二乙氧基甲基硅烷及α-萜品烯(α-terpinene)的掺碳氧化硅薄膜以及碳化硅薄膜。
一般来说,将要在PECVD系统(PECVD系统100)中进行处理的基板可在加载锁定室中进行预热及/或冷却。在一个实施例中,加载锁定室可以被维持在与PECVD室相同的真空或压力水平下,并通过一个阀(例如狭缝阀)而与PECVD室选择性流体连通。在另一个实施例中,加载锁定室及PECVD室皆可耦接至传输室,该传输室具有一个设置于其中的传输机械手臂。通过传输机械手臂,可以使基板在传输室及加载锁定室之间进行传输。基板可在加载锁定室中被加热及冷却,因此可花费较少时间在PECVD室中,因而增加了系统产量。
图2概要示出了根据本发明的一个实施例的加载锁定室200。加载锁定室200包括腔室主体201,其定义出腔室空间202,该腔室空间202被配置成在沉积处理之前及/或之后而用以容纳基板211。狭缝阀203被设置于腔室主体201上,用以传输基板211使其进出腔室空间202。抽气系统212可以与腔室空间202选择性流体连通,以使腔室空间202维持在期望的压力之下。加热器组件204被配置成支撑及加热基板,其通常设置于腔室空间202内。在一个实施例中,加热器组件204可以是陶瓷加热器,其具有形成于其中的电阻加热组件。多个间隙器(standoff)205被设置于加热器组件204的顶表面213上,并被配置成在具有较少接触面积的前提下接触及支撑基板211。在一个实施例中,多个间隙器205由接触时不大可能会产生微粒的物质所制成。在另一个实施例中,多个间隙器205具有与基板211与顶表面213之间的空气相似的热传导性,因此,可提供均匀的加热效果。在加热器组件204中形成有至少三个穿孔206,以为设置于升举板209上的升举销208提供通道。图3概要示出了加热器组件204的一个实施例的上视图。升举板209相对于加热器组件204而垂直移动,因此可通过升举销208将基板从加热器组件204处拾起,并通过升举销208将基板211放置在加热器组件204上。在一个实施例中,加热器组件204由柱207所支撑,该柱207被设置在升举板209中所形成的中央孔洞210内。
在PECVD系统(例如PECVD系统100)中所进行的沉积处理在特结构征尺寸降低及基板与管芯尺寸增大的情况下对于缺陷更加敏感。本发明提供多种单独或结合使用的方法,以减少PECVD沉积处理中的缺陷。示范性的方法包括在一升高温度下预热基板、在等离子体中预处理基板、在陈化(seasoning)处理中利用较低的射频(RF)、利用较低的上升速率来供应前驱物以及在沉积步骤之后进行等离子体净化。本发明所提出的方法可以单独或结合使用,并将详细描述如下。
基板的预热
在现今的PECVD处理中,基板在装载至PECVD室而用于PECVD处理之前通常被设置在加载锁定室中。一般来说,基板首先被导引至真空中,并在加载锁定室内维持在小于约75℃的温度下。
观察显示,基板上已存在的缺陷(例如移动的微粒)会成为反应性前驱物物质的成核位置,并会导致在PECVD沉积中形成比已存在的缺陷大很多的缺陷。较晚形成的缺陷可能具有大于10微米的尺寸,并成为形成于基板上的组件的致命缺陷。当基板被加热至一升高温度(例如超过100℃)时,可以从表面吸掉基板上的移动微粒。在本发明的一个实施例中,基板在加载锁定室中而在一升高温度下预热一段时间,以减少在其后沉积的PECVD薄膜上所产生的总缺陷。
预热基板一段时间可用于减少在基板上沉积各种薄膜的过程中所产生的群集型缺陷,这些薄膜例如来自八甲基环四硅氧烷(OMCTS)的掺碳氧化硅薄膜、来自三甲基硅烷(TMS)的掺碳氧化硅薄膜、沉积自四乙氧基硅烷(TEOS)的氧化硅薄膜、来自硅烷(SiH4)的氧化硅薄膜、来自二乙氧基甲基硅烷及α-萜品烯的掺碳氧化硅薄膜以及碳化硅薄膜。
在一个实施例中,在沉积来自八甲基环四硅氧烷(OMCTS)的掺碳氧化硅薄膜之前,基板以约300℃的温度加热约2~3分钟,以减少掺碳氧化硅薄膜的总缺陷。结果显示,当沉积处理之前在加载锁定室中将基板加热至约100℃以上时,在CVD沉积过程中所生长的群集型缺陷(已知为葡萄状或爆米花状缺陷)的个数已大幅减少。
再者,利用一升高温度的加载锁定室亦可减少在沉积膜层上的总缺陷尺寸,而不论先前已存在基板上的缺陷的数目。沉积结果显示,在一升高温度下加热加载锁定室可减少大于0.5微米的缺陷的数目。
另外,在具有升高温度的加载锁定室中预热基板亦可减少机械性缺陷,这些缺陷是在PECVD系统中对基板进行处理时所产生的。机械性缺陷的计算可将所观察到的总缺陷减去已存在的缺陷而得。举例来说,当加载锁定室的温度设定在75℃时,则在基板上会产生200个大于0.12微米的机械性缺陷。机械性缺陷可能是因为腔室主体及连接腔室主体与加载锁定室的狭缝阀之间的摩擦所致。当加载锁定室的温度设定约为300℃时,大于0.12微米的机械性缺陷的平均数目减少至小于10。
等离子体预处理
在本发明的一个实施例中,在沉积步骤之前,在PECVD室中对基板进行等离子体预处理。等离子体预处理可利用氦等离子体而进行。其它例如氩气、氮气、氧气及氧化亚氮的气体亦可用于等离子体预处理过程。结果显示,针对待处理的基板的等离子体预处理可减少之后沉积的薄膜的缺陷的数目。由于等离子体预处理而可能使缺陷数目减少的结果可减少在基板上产生缺陷的成核位置。
在一个实施例中,等离子体预处理之后接着进行抽气步骤,以在沉积步骤之前将用于等离子体预处理的等离子体去除。在另一个实施例中,用于等离子体预处理的等离子体可在其后直接使用用于沉积步骤的等离子体。
本发明的等离子体预处理可伴随将多种薄膜沉积在基板上而使用,例如来自八甲基环四硅氧烷(OMCTS)的掺碳氧化硅薄膜、来自三甲基硅烷(TMS)的掺碳氧化硅薄膜、从四乙氧基硅烷(TEOS)沉积的氧化硅薄膜、来自硅烷(SiH4)的氧化硅薄膜、来自硅烷(SiH4)的氮化硅薄膜、来自二乙氧基甲基硅烷及α-萜品烯的掺碳氧化硅薄膜以及碳化硅薄膜。
实例I
本发明的等离子体预处理是针对PECVD沉积处理而进行的,其利用
Figure GSB00000275956400081
SE双腔室而沉积来自OMCTS的掺碳氧化硅薄膜,其中SE双腔室包括近似于图1的PECVD系统100的二个处理室。有关
Figure GSB00000275956400083
SE双腔室的详细说明被描述于美国专利第5,855,681号及第6,495,233号,在此将其并入以作为参考。
等离子体预处理是在约5托(Torr)的压力及在350℃的腔室温度下进行约10秒~约30秒。高频射频(HFRF)功率被开启至约300W以产生等离子体,低频射频(LFRF)功率则被关闭。面板与加热器座之间的间隔约为450密尔(mils)。下方示出所使用的处理气体及流速:
氧气,在各腔室的流速约为900sccm。
沉积之后的等离子体净化
在本发明的一个实施例中,在PECVD室中已对基板进行沉积步骤之后,可以进行等离子体净化步骤。在沉积步骤的过程中,一种或多种前驱物及一种或多种反应物气体一般被供应至PECVD室,且同时开启射频功率以产生用于沉积的等离子体。当沉积步骤完成时,通常会停止供应前驱物。然而,在用于液体前驱物的液体流量计及/或用于气体前驱物的质流流量计下游的气体管路中,通常存在有残留的前驱物。且对腔室进行抽气通常不足以将残留的前驱物去除。残留的前驱物可能会凝结于腔室壁上或基板上而变成微粒污染的来源。
本发明的等离子体净化包括将系统中的任何残留前驱物耗尽。在一个实施例中,等离子体净化可以这样来进行:在沉积步骤之后连续地提供射频功率,并在前驱物停止供应之后调整反应物气体的流速,藉此可使得节流阀的移动最小化。射频功率通过使反应物气体与残留前驱物进行反应而产生等离子体。在一个实施例中,在沉积步骤与等离子体净化步骤中,PECVD室的间隔、温度及压力都维持基本上相同的数值。在一个实施例中,进行等离子体净化,直到残留的前驱物不存在为止。等离子体净化步骤的时间可取决于供应前驱物的气体管线的长度。在一个实施例中,等离子体净化的持续时间约为2秒。
本发明的净化可伴随将多种PECVD薄膜及低k薄膜沉积在基板上而使用,例如来自八甲基环四硅氧烷(OMCTS)的掺碳氧化硅薄膜、来自三甲基硅烷(TMS)的掺碳氧化硅薄膜、来自四乙氧基硅烷(TEOS)的氧化硅薄膜、来自硅烷(SiH4)的氧化硅薄膜、来自硅烷(SiH4)的氮化硅薄膜、来自二乙氧基甲基硅烷及α-萜品烯的掺碳氧化硅薄膜,以及碳化硅薄膜。
实例II
本发明的净化是针对PECVD沉积处理而进行的,其利用
Figure GSB00000275956400091
SE双腔室而沉积来自OMCTS的掺碳氧化硅薄膜,其中SE双腔室包括近似于图1的PECVD系统100的二个处理室。PECVD沉积步骤的目的在于沉积掺碳氧化硅薄膜,且其厚度为5000埃,介电值为3.0。
沉积步骤是在约5托的压力及在350℃的腔室温度下进行约45秒。高频射频(HFRF)功率(约13.56MHz)被开启至约500W,低频射频(LFRF)功率(约300Hz)则被开启至约125W。面板与加热器座之间的间隔约为350密尔(mils)。下方示出所使用的处理气体及流速:
OMCTS,2700mgm;
氧气,1600sccm;以及
氦气,1000sccm。
在上述沉积步骤之后进行的等离子体净化是在约5托的压力及在350℃的腔室温度下进行约2秒。高频射频(HFRF)功率被开启至约100W以产生等离子体,低频射频(LFRF)功率则被关闭。面板与加热器座之间的间隔约为350密尔(mils)。压力、腔室温度及间隔仍然与沉积步骤中的条件相同。下方示出所使用的处理气体及流速:
氧气,375sccm;以及
氦气,1125sccm。
在等离子体净化步骤中,停止供应前驱物OMCTS,则氧气和氦气的流速需增加以维持与沉积步骤相同的总流速,藉此,才可使得节流阀的移动最小化。
等离子体净化步骤被配置成清除残留的前驱物,并增进系统的微粒表现。应注意在等离子体净化的过程中亦会发生沉积现象,此乃因为反应物与残留前驱物之间的反应所造成。在实例II中,介电值为3.5且厚度约为100埃的氧化物薄膜被沉积在上述沉积步骤中所形成的薄膜之上。介电值的改变是由于前驱物与反应物的比率改变所造成的。然而,来自等离子体净化的沉积现象通常不影响形成于基板上的组件,因为在沉积之后通常会进行研磨步骤。研磨步骤可以移除约300~400埃的基板表面层,因此等离子体净化过程中的沉积会被完全移除。
较低的上升速率
在本发明的一个实施例中,应用了较低的上升速率,以减少PECVD过程中的群集型缺陷。较低的上升速率可被应用至前驱物的流速、反应物气体的流速、射频功率的功率或其组合中的至少一种。较低的上升速率可以应用在沉积步骤的起始处及/或沉积步骤与等离子体净化步骤之间的过渡时期。
在沉积来自OMCTS的掺碳氧化硅薄膜的过程中,群集型缺陷的形成与OMCTS及氧气相关。当OMCTS/氧气的摩尔比大于约1.56,则会形成群集型缺陷。因此,减少OMCTS/氧气的比率有利于减少群集型缺陷。期望的OMCTS/氧气的摩尔比介于约0.28~约1.56。
在沉积处理的起始时,前驱物(例如OMCTS)的预设上升速率约为5000mgm/sec。在此预设上升速率下,前驱物的流速有可能会造成前驱物/反应物的比率(比如OMCTS/氧气的比率)出现过调,因而在沉积过程中形成群集型缺陷。因此,降低上升速率可以提供较具控制性的前驱物/反应物比率,因而使得群集型缺陷的形成减少了。再者,反应物气体的上升速率亦可降低,以提供对于前驱物/反应物比率的较佳控制。
另外,较佳亦可降低沉积处理中所使用的射频功率的上升速率,特别是在沉积过程终点及/或沉积步骤与等离子体沉积之间的过渡时期而停止及降低功率供应之时。当射频功率供应的上升速率降低时,可避免例如电弧、火花及/或涡流(eddie current)等不期望现象发生,因而可避免对形成于基板上的组件造成伤害并增加沉积均一性。
实例III
沉积来自OMCTS的掺碳氧化硅薄膜的PECVD沉积处理是利用
Figure GSB00000275956400111
SE双腔室来进行的,而其中
Figure GSB00000275956400112
SE双腔室包括近似于图1的PECVD系统100的二个处理室。
所进行的沉积处理的参数被设定在下列范围内:
温度:约200℃~约550℃
压力:约5托~约8托
间隔:约200密尔~约1200密尔
HFRF功率:约100W~约1000W
LFRF功率:约0W~500W
OMCTS流速:约1000mgm~约5000mgm
氦气流速:约500sccm~约5000sccm
氧气流速:约100sccm~约1000sccm
这些参数的上升速率被设定为下列数值:
HFRF功率:约100W/s~约500W/s
LFRF功率:约50W/s~约200W/s
OMCTS流速:约300mgm/s~约1500mgm/s
氦气流速:约200sccm/s~约2000sccm/s
氧气流速:约50sccm/s~约500sccm/s
以较低RF功率进行陈化(seasoning)
在PECVD处理中进行周期性的腔室清洗处理之后,通常会进行腔室的陈化。当PECVD室已清除处理气体时,且清除处理所产生的副产物已被排出腔室外时,则进行陈化步骤以在形成处理区域的腔室的组件上沉积一薄膜,以将残留的污染物密封于其中,并降低处理中的污染水平。陈化步骤通常根据后续的处理配方而包括将一陈化薄膜涂覆于腔室中用于界定处理区域的内表面上。
可利用与陈化处理之后在腔室中进行的沉积处理所使用的气体混合物完全一样的气体混合物,来将陈化薄膜沉积在腔室内表面上。在陈化处理的过程中,前驱物气体、氧化气体及载气可以流入腔室中,其中射频源提供射频能量以激发前驱物气体并促使沉积进行。有关陈化的详细说明被描述于美国专利申请序号第10/816,606号,2004年4月2日申请,2005年10月13日公开为美国专利申请公开第2005/0227499号,专利名称为「Oxide-likeseasoning for dielectric Low K Films(低K介电薄膜的似氧化物陈化)」,在此将其并入以作为参考。
在本发明的一个实施例中,具有较低的射频功率电平的陈化处理被用于减少沉积薄膜中的群集型缺陷。陈化薄膜的附着力与陈化薄膜中的碳含量有关。具有较少碳含量的陈化薄膜较具黏着力,因此可获得较佳的污染控制。陈化薄膜的傅立叶转换红外线光谱(Fourier Transform InfraredSpectroscopy;FTIR)显示,在较低RF功率电平下沉积的薄膜具有较低的碳含量及较高的黏着力。在本发明的一个实施例中,在陈化处理的过程中,高频射频及低频射频功率皆要降低。在另一个实施例中,仅有高频射频功率降低,低频射频功率则维持不变。在另一个实施例中,高频射频功率降低,低频射频功率则关闭。
在以较低RF功率进行的陈化处理中所使用的不同气体的流速可经调整,以维持与传统陈化处理相同的沉积速率。这使得可在与传统陈化处理相同的时间内形成所期望的陈化薄膜,因而可避免微粒的产生。在一个实施例中,陈化处理可进行约10秒钟,陈化速率维持在约1000埃/分钟~3000埃/分钟。
在另一个实施例中,在用于陈化处理的气体混合物中的不同气体的比率经调整,以获得由氧化产物所制成的沉积薄膜,以避免碳并入沉积薄膜中。
实例IV:传统陈化处理
陈化层被沉积在用于PECVD处理的腔室的内表面,该PECVD处理用以沉积来自OMCTS的掺碳氧化硅薄膜。腔室压力约为5托,腔室温度为350℃,陈化处理进行约10秒,间隔约为450密尔。并采用下列处理参数:
HFRF,约1000W;
LFRF,约150W;
OMCTS,1300sccm;
氧气,900sccm;
氦气,2500sccm。
实例V:以较低功率电平进行的陈化处理
陈化层被沉积在用于与实例IV的相同目的的腔室内表面上。腔室压力约为5托,腔室温度为350℃,陈化处理进行10秒,间隔约为450密尔。并采用下列处理参数:
HFRF,约500W;
LFRF,约150W;
OMCTS,900sccm;
氧气,900sccm;
氦气,1000sccm。
表1比较了陈化薄膜的特性。该实例显示出,以较低功率电平沉积的陈化薄膜具有较低的碳含量及较佳的黏着力。
表1
Figure GSB00000275956400151
图4示出了根据本发明的一个实施例的示范性沉积处理300。
在沉积处理300的步骤310中,基板在一升高温度下而在加载锁定室中加热一段预定时间。在加热过程中从基板表面吸掉基板上的移动微粒。
在沉积处理300的步骤320中,通常通过一机械手臂,将基板从PECVD室的加载锁定室中传输出来。加载锁定室与PECVD室之间设置有狭缝阀,其被配置成使基板在加载锁定室与PECVD室之间进行传输。
在沉积处理300的步骤330中,在基板上进行等离子体预处理。等离子体预处理被配置成减少基板上的成核位置。
在沉积处理300的步骤340中,沉积步骤或主要的沉积步骤是这样进行的:使所需的一种或多种前驱物及相应的反应物气体及载气流入PECVD室中,并在PECVD室中产生等离子体。在一个实施例中,在步骤340的起始及/或终点处使一个或多个处理参数具有较低的上升速率。
可选择地,在步骤330及步骤340之间可进行步骤335。在步骤335中,在主要沉积步骤进行之前,PECVD室被抽气以将用于等离子体预处理的等离子体及/或反应物气体排出。
在沉积处理300的步骤350中,进行等离子体净化。等离子体净化被配置成「烧除」残留的前驱物,并减少在PECVD室中及基板上的前驱物凝结物。在一个实施例中,在步骤340至步骤350之间的过渡时期针对一个或多个处理参数采用降低的上升速率。
应注意的是,本发明所提出的缺陷减少方法可单独或结合使用的。熟悉该技术领域的人可利用不同的减少缺陷方法的组合,而在特定的沉积处理中减少缺陷的产生。
惟本发明虽以较佳实施例说明如上,然其并非用以限定本发明,任何本领域技术人员,在不脱离本发明的精神和范围内所作的更动与润饰,仍应属本发明的技术范畴。

Claims (15)

1.一种用于处理基板的方法,包括:
将该基板放置于处理室中;
用第一等离子体处理该基板,该第一等离子体被配置成减少在该基板上已存在的缺陷;
通过施加由至少一种前驱物及至少一种反应物气体所产生的第二等离子体,在该基板上沉积包括硅和碳的薄膜;以及
用第三等离子体净化该至少一种前驱物,其中净化该至少一种前驱物的步骤包括调整该至少一种反应物气体的流速以及调整射频功率电平,并且同时停止该至少一种前驱物的供应。
2.如权利要求1所述的方法,其中该第一等离子体由选自下列的至少一种反应物气体所产生:氦气(He)、氩气(Ar)、氮气(N2)、氧气(O2)及氧化亚氮(N2O)。
3.如权利要求1所述的方法,其中该至少一种反应物气体的流速被调整,以在该至少一种前驱物停止供应的同时使该处理室的节流阀的移动最小化。
4.如权利要求1所述的方法,其中处理该基板及沉积该薄膜的步骤是连续进行的,而不将该第一等离子体从该处理室中抽离。
5.如权利要求1所述的方法,其更包括:在将该基板放置于该处理室中之前,在一升高温度下在加载锁定室中加热该基板一充足的时间,以移除在基板表面上的一个或多个移动的微粒。
6.如权利要求1所述的方法,其中该薄膜是选自下列的至少一种薄膜:从八甲基环四硅氧烷(OMCTS)沉积的掺碳氧化硅薄膜、从三甲基硅烷(TMS)沉积的掺碳氧化硅薄膜、从四乙氧基硅烷(TEOS)沉积的氧化物薄膜、从硅烷(SiH4)沉积的氧化物薄膜、从硅烷(SiH4)沉积的氮化物薄膜、从二乙氧基甲基硅烷及α-萜品烯(α-terpinene)沉积的掺碳氧化硅薄膜以及碳化硅薄膜。
7.一种用于在等离子体增强化学气相沉积(PECVD)室中处理基板的方法,包括:
将该基板放置于该PECVD室中;
向该PECVD室提供第一反应物,同时施加处于第一电平的射频功率,其中该第一反应物被配置成减少在该基板上已存在的缺陷;
以受控的上升速率将第二反应物导引至该PECVD室;
向该PECVD室提供该第二反应物,同时施加处于第二电平的射频功率,其中该第二反应物包括至少一种反应物气体及至少一种前驱物,且该第二反应物被配置成在该基板上沉积一薄膜;以及
在施加处于第三电平的射频功率的同时,使该至少一种反应物气体的流速增大,并停止该至少一种前驱物的供应。
8.如权利要求7所述的方法,其中该第一反应物包括选自下列的至少一种反应物气体:氦气(He)、氩气(Ar)、氮气(N2)、氧气(O2)及氧化亚氮(N2O)。
9.如权利要求7所述的方法,其更包括:在提供该第二反应物之前,抽空该PECVD室。
10.如权利要求7所述的方法,其中导引该第二反应物的步骤包括:控制该第二反应物的上升速率使其充分低,以减少该薄膜中的群集型缺陷。
11.如权利要求7所述的方法,其中以受控的上升速率将射频功率由第二电平调整到第三电平。
12.一种用于处理基板的方法,包括:
将该基板放置于处理室中;
利用第一等离子体对该基板进行预处理,以减少在该基板上已存在的缺陷;
利用由前驱物及反应物气体所产生的第二等离子体,在该基板上沉积一薄膜,其中沉积该薄膜的步骤包括:
在充分缓慢的第一速率下开始供应该前驱物,以减少该薄膜中的群集型缺陷;以及
以预定流速供应该前驱物及该反应物气体;以及
利用由该反应物气体所产生的第三等离子体,来净化该处理室。
13.如权利要求12所述的方法,更包括:在将该基板放置于该处理室中之前,在加载锁定室中预热该基板。
14.如权利要求12所述的方法,其中进行预处理及沉积该薄膜的步骤是连续进行的,而不抽空该处理室。
15.如权利要求12所述的方法,其中沉积该薄膜的步骤包括:
在充分缓慢的速率下调整射频功率电平,以避免电弧放电并增加该薄膜的均一性。
CN200780030401XA 2006-08-23 2007-07-12 处理基板的方法 Expired - Fee Related CN101506960B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/508,545 US20080050932A1 (en) 2006-08-23 2006-08-23 Overall defect reduction for PECVD films
US11/508,545 2006-08-23
PCT/US2007/073360 WO2008024566A2 (en) 2006-08-23 2007-07-12 Overall defect reduction for pecvd films

Publications (2)

Publication Number Publication Date
CN101506960A CN101506960A (zh) 2009-08-12
CN101506960B true CN101506960B (zh) 2011-08-03

Family

ID=39107493

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200780030401XA Expired - Fee Related CN101506960B (zh) 2006-08-23 2007-07-12 处理基板的方法

Country Status (5)

Country Link
US (1) US20080050932A1 (zh)
KR (1) KR20090049074A (zh)
CN (1) CN101506960B (zh)
TW (1) TWI391996B (zh)
WO (1) WO2008024566A2 (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
CN101999158A (zh) * 2008-04-12 2011-03-30 应用材料股份有限公司 等离子体处理设备与方法
DK2251454T3 (da) 2009-05-13 2014-10-13 Sio2 Medical Products Inc Coating og inspektion af beholder
US7985188B2 (en) 2009-05-13 2011-07-26 Cv Holdings Llc Vessel, coating, inspection and processing apparatus
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
JP5396180B2 (ja) * 2009-07-27 2014-01-22 東京エレクトロン株式会社 選択酸化処理方法、選択酸化処理装置およびコンピュータ読み取り可能な記憶媒体
KR100953736B1 (ko) 2009-07-27 2010-04-19 주식회사 아토 증착 장치 및 반도체 소자의 제조 방법
KR20120103719A (ko) * 2009-12-22 2012-09-19 어플라이드 머티어리얼스, 인코포레이티드 연속 플라즈마에 의한 pecvd 다단계 공정
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
KR101893471B1 (ko) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
KR20130012671A (ko) * 2011-07-26 2013-02-05 삼성전자주식회사 반도체 소자 제조 장비의 세정 방법
WO2013071138A1 (en) 2011-11-11 2013-05-16 Sio2 Medical Products, Inc. PASSIVATION, pH PROTECTIVE OR LUBRICITY COATING FOR PHARMACEUTICAL PACKAGE, COATING PROCESS AND APPARATUS
US9554968B2 (en) 2013-03-11 2017-01-31 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
CA2887352A1 (en) 2012-05-09 2013-11-14 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
US9664626B2 (en) 2012-11-01 2017-05-30 Sio2 Medical Products, Inc. Coating inspection method
WO2014078666A1 (en) 2012-11-16 2014-05-22 Sio2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
BR112015012470B1 (pt) 2012-11-30 2022-08-02 Sio2 Medical Products, Inc Método de produção de um tambor médico para um cartucho ou seringa médica
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
EP2961858B1 (en) 2013-03-01 2022-09-07 Si02 Medical Products, Inc. Coated syringe.
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
WO2014144926A1 (en) 2013-03-15 2014-09-18 Sio2 Medical Products, Inc. Coating method
US20160260602A1 (en) * 2013-11-04 2016-09-08 Applied Materials, Inc. Adhesion improvements for oxide-silicon stack
CN103715069B (zh) * 2013-12-02 2016-09-21 中国电子科技集团公司第五十五研究所 一种减少碳化硅外延薄膜中缺陷的方法
US9299558B2 (en) * 2014-03-21 2016-03-29 Applied Materials, Inc. Run-to-run stability of film deposition
WO2015148471A1 (en) 2014-03-28 2015-10-01 Sio2 Medical Products, Inc. Antistatic coatings for plastic vessels
CN104020613B (zh) 2014-06-30 2017-01-04 上海天马微电子有限公司 一种配向方法及液晶显示面板
JP2018523538A (ja) 2015-08-18 2018-08-23 エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド 低酸素透過速度を有する薬剤包装及び他の包装
US9899210B2 (en) * 2015-10-20 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical vapor deposition apparatus and method for manufacturing semiconductor device using the same
CN114807893A (zh) * 2021-01-19 2022-07-29 圆益Ips股份有限公司 薄膜形成方法
CN114196945A (zh) * 2021-12-16 2022-03-18 江苏鲁汶仪器有限公司 减少pecvd沉积薄膜过程中产生颗粒的方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5975912A (en) * 1994-06-03 1999-11-02 Materials Research Corporation Low temperature plasma-enhanced formation of integrated circuits
US6491978B1 (en) * 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6202656B1 (en) * 1998-03-03 2001-03-20 Applied Materials, Inc. Uniform heat trace and secondary containment for delivery lines for processing system
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6106634A (en) * 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
US6660126B2 (en) * 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6868856B2 (en) * 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
TWI223331B (en) * 2002-06-14 2004-11-01 Trikon Technologies Ltd Dielectric film
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6905940B2 (en) * 2002-09-19 2005-06-14 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US6797643B2 (en) * 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US6932092B2 (en) * 2002-11-22 2005-08-23 Applied Materials, Inc. Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
US7067432B2 (en) * 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050100682A1 (en) * 2003-11-06 2005-05-12 Tokyo Electron Limited Method for depositing materials on a substrate
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7628863B2 (en) * 2004-08-03 2009-12-08 Applied Materials, Inc. Heated gas box for PECVD applications

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5975912A (en) * 1994-06-03 1999-11-02 Materials Research Corporation Low temperature plasma-enhanced formation of integrated circuits
US6491978B1 (en) * 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors

Also Published As

Publication number Publication date
WO2008024566A3 (en) 2008-04-10
TW200814157A (en) 2008-03-16
WO2008024566B1 (en) 2008-05-15
US20080050932A1 (en) 2008-02-28
KR20090049074A (ko) 2009-05-15
CN101506960A (zh) 2009-08-12
WO2008024566A2 (en) 2008-02-28
TWI391996B (zh) 2013-04-01

Similar Documents

Publication Publication Date Title
CN101506960B (zh) 处理基板的方法
KR102470304B1 (ko) 실리콘 옥사이드의 선택적인 증착
US10825679B2 (en) Selective growth of SIO2 on dielectric surfaces in the presence of copper
CN109791870B (zh) 半导体器件制造中高品质氧化硅膜的低温形成
KR102542125B1 (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
TWI643974B (zh) 氣相沉積膜中用以減輕瑕疵狀態之方法及設備
US9997371B1 (en) Atomic layer etch methods and hardware for patterning applications
CN100577865C (zh) 为介质cvd膜实现晶片间厚度均匀性的高功率介质干燥
CN101092691B (zh) 消除pecvd膜的第一晶片效应
US7802538B2 (en) Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
CN102543800B (zh) 衬底处理装置、衬底处理方法及半导体装置的制造方法
TW201632650A (zh) 無氨無氯保形氮化矽膜的沉積方法
CN104651807A (zh) 用于低温ald膜的室底涂层制备方法
TW201546314A (zh) 用以降低金屬氧化物與金屬氮化物膜中的表面粗糙度之射頻循環清洗
US9157151B2 (en) Elimination of first wafer effect for PECVD films
TW201622031A (zh) 以自由基協助的介電薄膜處理
JPH11172418A (ja) 成膜装置
JP2723472B2 (ja) 基体上に硼燐化シリカガラスを付着する装置および方法
US11967498B2 (en) Systems and methods for depositing low-k dielectric films
JP2006196624A (ja) 膜形成材料および膜形成方法
JP2002151506A (ja) 気相堆積方法及び装置
JP2006173235A (ja) 絶縁層の形成方法、熱処理装置及び記憶媒体

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.

C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110803

Termination date: 20130712