TW416100B - Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system - Google Patents

Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system Download PDF

Info

Publication number
TW416100B
TW416100B TW087105313A TW87105313A TW416100B TW 416100 B TW416100 B TW 416100B TW 087105313 A TW087105313 A TW 087105313A TW 87105313 A TW87105313 A TW 87105313A TW 416100 B TW416100 B TW 416100B
Authority
TW
Taiwan
Prior art keywords
reaction chamber
gas
substrate
oxygen
chamber
Prior art date
Application number
TW087105313A
Other languages
English (en)
Inventor
Jianmin Qiao
Chiu Chan
Diana Chan
Cissy Leung
Turgut Sahin
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW416100B publication Critical patent/TW416100B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Description

41610C Α7 Β7 、發明説明() 0背景:__ 本發明係關於積體電路的製造β更特定地,本發明提 供了一種藉由降低在一反應室中之粒子來改善於一基材 處理反應室中被沉積之薄膜的品質並改善污染控制之包 含了方法及設備的技術β 在氧化矽與其它層沉積於一基材的表面上的期間’在 反應室内被釋放的沉積氣體造成在反應室壁上無可避免 的沉積。除非被去除掉,否則該所不需要的沉積即為/會 成為干擾後續處理步驃並對晶圓良率造成不利的影麥之 汚染物。 為了要避免此等問題,該反應室的内表面被定期地清 洗用以從該反應室壁上及該反應室之相同的區域上去除 掉該所不想要的沉積物質。此程序是以一乾清洗操作來實 施,其中一蝕刻氣體,如三氟化氮(NF3),被用來從該反應 室壁及其它的區域上去除(蝕刻)掉該被沉積的物質。該蝕 刻氣體被導入該反應室中且形成一電漿,使得蝕刻氣體與 該被沉積的物質反應並將其從室壁上去除。此等清洗程序 通常是在每一晶圓或每η個晶圓的沉積步驟之間被實施。 好肩部中决烊準局貝Η消费合作枉印聚 ---_---^----装-- (請先聞讀背面之注意事項再填寫本頁) 然而’該清洗步驟本身可以是粒子累積的一個來源。 在該電漿中之氟會被吸收及/或陷入室壁中或該反應室的 其它區域中,如包含陶瓷襯裡或其它絕緣材料的區域中》 該被吸收的氟可於後續的處理步驟中被釋放出來(如,藉 由與在一高密度電漿CVD(HDP-CVD)中之電漿成分反應) 且可被後續沉積的二氧化矽層或其它層所吸收。 關家標準(CNS) M規格(2!0χ 297公廣, 1 3?滴部中决增莩局貝工消费合作社印51 41610c A7 _______ B7_ 五、發明説明() 為了要防止此氟的吸收並提供對該反應室壁中之污 染物,如金屬氟化物,的保護,一 CVD反應室通常於乾 燥清洗之後被”調整” *此調整包括在一基材被置入該反應 室中處理之前沉積一薄的二氧化矽層於反應室壁上。該被 沉積的二氧化矽層覆蓋住反應室壁,降低了污染物會干擾 後續的處理步驟的可能性。在調整層沉積完成之後,該反 應室在被另一如前所述之清洗操作所清洗之前可供一至η 個後續沉積步騍之用,然後再被調整。 被用來調整某些沉積反應室,如由Applied Materials 所製造的 HDP-CVD反應室及被描述於由 Nowak, Dairbairn及Redeker等人於1994年四月26日所提申,名 稱為”High Density Plasma CVD Reactor with Combined Inductive and Capacitive Coupling” 的美國專利申請第 08/234,746號中,的一特別的方法包括了從一矽甲烷 (SiH4),氧氣(02)及氬氣(Ar)的一處理氣體所形成一電漿。 使用於此方法中之02對SiH4之較佳的流率為1.375 : 1。 (〇2 是在 ll〇sccm,SiH4 是在 80sccm 及 Ar 是在 20sccm 下 被引入)此調整處理被用來適當地保護後續的處理步驟免 於在該HDP-CVD反應室及其它反應室中之污染物的污 染。 半導體裝置的外形在大小上已急遽的降低,因為該等 裝置是於數十年前被推出的。從當時開始,積體電路大致 上依循每兩年/大小變一半的規則(通常被稱為” Moore’s Law”),其表示可放在一晶片中的裝置數目每兩年即可變 本ϋ尺度適用中國國家標孪{ CNS ) A4*見格(210X297公楚) ~ (請先閱讀背面之注意事項再填寫本页) 裝_ 、1Τ 經濟部中央標涞扃貝工消费合作社印製
4i6l〇C A7 ----B7 五、發明説明() 成兩倍。現今的晶圓製造廠係常規地製造具有〇.5且甚至 是〇,35微米特徵尺寸之積體電路,且未來的工廠很快地將 會製造更小的裝置。 當裝置的尺寸變得更小且集積的密度提高時,先前沒 有被業界認為是很重要的因素,將會需要加以考量。而 且’控制的準則,如粒子產生及污染控制是必需的,用以 確保被沉積的層能符合嚴格的製造者的規格。為了要符合 此小的外形尺吋所產生的需求,基材處理設備之新的技術 被持續地發展。在某些新的技術中,該沉積反應室内部表 面積的一很大的比例是由陶瓷製成或塗覆了陶瓷材料。例 如,在由Applied Materials公司所製造之較新的Ultima HDP-CVD反應室中幾乎50%的反應室内表面是由陶瓷而 不是由鋁或其它導電材料所製成* 在某些情形中’用於前技基材處理設備上之程序及技 術對於新的反應器技術,如陶瓷反應室,而言不再能夠提 供最佳的結果。因此,持續地在找尋操作此新的技術之新 的技巧。 發明目的及概述: 本發明提供一種降低於一基材處理反應室中沉積薄 膜期間所產生之粒子及其它污染物的水平的方法。本發明 在降低基材處理設備中之粒子數及污染物程度上特別有 用,該處理設備包括一至少部分内襯有陶瓷或類似材料之 内表面。 _____TgfTT_____ 本紙ίΑ尺度適用中國國家標準((:邮)六4規格(21〇><297公釐) '" (請先閲讀背面之注意事項再填寫本頁}
轉消部中央標卑苟貝工消费合作社印^ 41610c A7 A7 ___ B7 ' - 一· . _ _ _ _ _ 五、發明説明() 依據本發明* 一調整層藉由從一被引入該反應室中之 包含〇2及SiH4的氣流中形成一電漿而被沉積於該反應室 的内表面的一部分上。〇2與SiH4的流率被小心的控制於 〇2比SiH4為1 ·4 : 1與2.4 : 1之間。在這些比例中,被沉 積的調整層是極度穩定且如下文中更詳細說明的其既不 富含矽也不富含氧。在一較佳的實施例中,氬氣(Ar)與〇2 及Sih -起被引入該反應室中且〇2對SiH4的流率為介於 1.6:1 至 2·2:1 之間。 本發明之進一步的目的及優點可藉由參照配合附圖 之詳細說明而被瞭解。 圖式簡單說明: 第1Α圖為依據本發明之一簡化的高密度化學氣相沉積設 備的實施例的垂直剖面圖; 第1Β圖為可與第1Α圖中之CVD處理反應室一起使用之 氣體環的一簡化的剖面囷; 第1C圖為可與第1Α闽中之CVD處理反應室一起使用之 —監視器及光筆之簡化圖; 第1D圖為被用來控制第1Α圖中之CVD處理反應室之處 理控制電腦程式產品的流程圖; 弟2圖為一流程圖’其展示依據本發明的方法的一實施例 之調整層的形成的處理步驟: 第3圖為一圉表,其展示oysiH4流率對在基材處理期間 所產生之粒子數目的影窨;及 本纸張尺度適用中國國家摞準(CNS ) A4規格(2丨0x297公楚) (請先閱讀背面之注項再填寫本頁) 裝- 經漭部中史標革局資工消资合作社印來 ^1βι〇(] A7 B7 五、發明説明() 第4 A-4C圖為圖表,其展示沉積有及沒有本發明之調整層 的好處之FTIR分析。 圈號對照說明: 10 HDP-CVD 系統 70 真空系統 13 反應室 80B 偏壓電漿系統 80A 源極電漿系統 50 遠端電漿清潔系統 14 圓頂 16 電漿處理區 17 基材 23 加熱板 24 冷卻板 22 本體件 21 基部 18 基材支撐件 56 上裝載部 57 下處理部 19 基材承接部 20 靜電夾頭 25 節流本體 26 節流閥 27 閘閥 28 泵 29 上線圈 30 側線圈 3 1 A 源極RF產生器 3 1B 偏壓RF產生器 32A,3 1B 配接網路 33 氣體輸送系統 37 氣環 45 上喷嘴 34A 第一氣體源 34B 第二氣體源 35A, 第一氣體流控制器 35B, 第二氣體流控制 39 源氣體喷嘴 41 充氣本體 36 充氣環 35C 第三氣體流控制 35D’ 第四氣體流控制器 43B 三通閥 ^^1 I ^^^1 ^^^1 I I <ul Hu I - , .¾.-'5 (讀先閱讀背面之注意事項再填窍本頁) 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X 297公釐) Α7 Β7 五、發明説明() 38A 通氣輸送管 46 上通風孔 65,65a CRT監視器 66 光筆 51 遠端微波產生器 34E 清洗氣體源 53 反應器穴室 54 清洗氣體進給孔 55 施加管 60 系統控制器 62 記憶體 61 處理器 370 電漿控制次程式 300 電腦程式 3 10 處理選擇器次程式 320 處理排序次程式 330A -C 反應室管理次程式 340 基材置放次程 350 處理氣體控制次程式 360 壓力控制次程式 發明詳細說明: I.導論 本發明提供了一種用來在一基材處理反應室,如一 CVD反應室,的内表面上沉積一穩定的調整層的方法。該 被沉積的調整層改善了黏附特性其讓該調整層能在像是 陶瓷的材料上能黏附的更好,陶瓷材料在某些處理反應室 中是較佳的。本發明之該黏附性經過改善之調整層讓品質 獲得敢善之二氧化矽,氟矽酸鹽及其它薄膜能在該基材處 理反應室中被沉積β本發明可被用來降低在傳統設計的 CVD反應室中之絕緣層及被沉積的層中及應用於其它基 材處理反應室中之處理步驟中之污染物。 II.基材處理系統的例子 _第 91______ 本紙張尺度適用中國囤家標準(CNS ) Α4規格(210X 297公釐) ---in j III ί· - - I I - 去^·- - - -- -- - _ I V J - · ΟΫ. 、-" (請先閱讀背面之注意事項再填寫本頁) 4l6l〇c A7 ---- '_ B7 五、發明説明() 第1A圖展示一高密度電漿(HDP_cvD)系統ι〇的實施 例’依據本發明的介電層可於其内被沉積。HDP-CVD系 統10包括一反應室1 3,一真空系統70,一源極電漿系統 80A ’ 一偏壓電漿系統8〇b,一氣體輸送系統33,及一遠 端電漿清潔系統5 0。 該反應室13的上部包括一圓頂14,其是由一介電材 料所製成’如鏊土或氮化鋁β圓頂14界定一電漿處理區 16的上邊界。電漿處理區16的底部是由基材17的上表面 及基材支撐件18所界定》 一加熱板23及一冷卻板24表面安裝於圓頂14上並 與其成熱叙合。加熱板23及冷卻板24讓園頂溫度的控制 可在100°C至200°C的±〗0ΐ之内。這讓圓頂溫度可針對 不同的處理而調整至最佳的溫度》例如,其可能需要將該 圓頂維持在一比沉積處理還高的溫度,以供清潔及蝕刻處 理之用。圓頂溫度之精確的控制亦可降低在反應室中之屑 片及粒子數量並改善被沉基層與基材之間的黏附。 好漪部中央榡準局另工消资合作杜印奴 nfl 4m J {諳先閑讀背面之注意事項再填寫本頁) 反應示13的下部包括一本體件22,其將反應室與該 真空系統相結。何該基材支撐件18的一基部21被安裝於 該本體件22上並於其形成一連績的内表面《基材是藉由 機械葉片(未示出)經由在該反應室13的侧邊上之置入/取 出開口(未示出)而被送入反應室13及從反應室13取出。 舉升銷(未示出)在一馬達(亦未示出)的控制下被升起並被 放下,用以將基材從該機械葉片的一上裝載位置57移至 一下接收位置56,該基材在該接收位置被置於該基材支撐 __ ____第 107Γ___ 本紙張尺度適用中ίϊ)家標準(CNS ) Α4現格(2丨〇^<297公嫠) 41610c A7 B7 五、發明説明() 件18的一基材接收部19上。基材接收部19包括一靜電 夾頭20其在基材處理期間將基材固定於基材支撐件18 上。 真空系統70包括節流本體25,其容納雙葉片節流閥 26並連接至閘閥27及渦輪分子泵28。應被瞭解的是,節 流本體25對氣流提供最小的障礙,並允許對稱抽泵,如 與本案共同繫屬,共同讓渡之1995年十二月12曰提申的 美國專利申請案第08/574,839號中所述者,其藉由參照而 被併於本文中。閘閥27可將泵28與節流本體25隔開來, 且亦可藉由在節流閥26被完全打開時限制排放流量來控 制反應室壓力。節流閥,閘閥,及渦輪分子泵的架構能夠 精確及穩定地將反應室歷力控制在1 millitorr與2torr之 間。 該源極電漿系統80 A包括一上線圏29及側線圈3〇, 其安裝在圓頂14上。一對稱的接地遮罩(未示出)降低了線 圈之電耦合。上線圈29是由上源極RF(SRF)產生器31A 來供給能量’而線圈30則是由SRF產生器31B來供給能 量*此雙線圈系統能夠控制在反應室13中之射頻離予密 度’藉此改善電漿的均勻度。側線圈30及上線圏29典型 地被感應驅動,其不需要一互補電極《在一特定的實施例 中’上源極RF產生器31A提供高達25 00瓦2MHz的RF 能量及侧源極RF產生器31B提供高達5000瓦之2MHz的 RF能量。上及側RF產生器可偏離標稱操作頻率(如分別 為1.7-1.9MHZ及1.9-2·1ΜΗζ)以改善電漿產生效率。 ________第”貫____ 本紙張尺度適用中國國家標準{ CNS ) A4規格(210X297公釐) - (請先閲讀背面之注意事項再填寫本頁) 訂 -__.r ^消部中决"革局员工消资合作社印^ A7 .___ B7 __ _____________ 五、發明説明() 一偏壓電漿系統80B包括一偏壓RF(BRF)產生器31C 及一偏壓配接網路32C。該偏壓電漿系統80B電容式地將 基材部17與本體件22相耦合,它們係如互補電極般作 用。該偏壓電漿系統80B是用來強化由源極電漿系統80A 所產生之電漿種類(即,離子)之傳送之該基材的表面。在 —特定的實施例中,偏壓RF產生器提供高達5000瓦之 13.56MHz 的 RF 能量。 RF產生器31A及31B包括數位控制的合成器並於1.8 至2.1MHz的頻率範園内操作。每一產生器包括一 RF控 制電路(未示出)其測量從該反應室及線圏被反射回該產生 器之能量’並調整操作的頻率以獲得最低之反射的能量, 這是熟悉此技藝者所習知的。RF產生器是被設計來操作 進入於一具有50 〇hms的特性阻抗的負載中。rf能量可 從負載被反射,該等負載具有不同於該產生器之特性阻 抗。這可降低能量被傳遞至該負載内。此外,從負載被反 射回該產生器之能量會過載並危及該產生器。因為一電漿 的阻抗的範圍可從少於5 ohms到超過900 ohms,其係隨 電漿離子密度而定,及因為被反射的能量可以是頻率的函 數,根據被反射的能量調整該產生器頻率,提升從該RF 產生器被傳遞至該電漿的能量並保護該產生器。降低被反 射的能量及改善效率的另一種方法是用配接網路。 配接網路3 2A及3 2B將產生器31A及31B分別與各 自的線圈29及30配接起來。RF控制電路可藉由改變在 配接網路内之電容器的數值用以在負載改變時讓產生器 ___第 12貫_______ 本紙張尺度適用中國围家標準(〔〜5)六4規格(210/ 297公釐) | | 裝 訂 \ (請先閲讀背面之注意事項再填寫本頁) 41610(] 社濟部中决榡卑局負-消费合作社印51 A7 ^--__________ 五、發明説明() 配合該負載來調諧兩個配接網路。該RF控制電路可在從 該負載被反射回到該產生器之該能量超過一特定的限制 時調諧一配接網路*提供一恆定的配接,及有效地讓RF 控制電路失效不能調諧該配接網路的一個方法是將被反 射回來的能量限制設定在被反射的能量的任何預期值之 上。這某些情況下可藉由將該配接網路在其最近的狀況下 維持恆定來幫助穩定一電漿。 其它的方法亦可對於穩定一電漿有所幫助。例如,該 RF控制電路可被用來決定被送至該負載(電漿)的能量且 可增加或降低該撤生器輸出能量,用以在一層的沉積期間 保持該被輸出的能量大致一定。 一氣體輸送系統33經由氣體輸送管路38(只有其中的 某些被示出)提供來自於數個氣趙源的氣體至該反應室以 處理該基材。氣體經由一氣環37及一上噴嘴45被引入該 反應室中。第1B囷為反應室13的一簡化的剖面圖習顯示 氣環3 7之詳細。 在一實施例中,第一及第二氣體源,34A及34B,及 第一及第二氣體流控制器,35 A’及35B’,經由氣體輪送管 路38(只有其中的某些被示出)提供氣體給在該氣環37内 之充氣環36。氣環37具有多個源氣體噴嘴3 9(只有其中 的某些被示出)其提供一均勻的氣體流與該基材上a嗜嘴 長度及噴嘴角度可被改變以允許在一個別的反應室中之 特定處理的一致外形及氣體應用效率的調適。在一較佳的 實施例中,氣環37具有12個源氣體噴嘴。 __ 第13耳 本紙浪X·度適财@财縣_ ( CNS ) A4iyM 210X297公着—) ' ----- —Ml ~r I ^私 - II 訂 t I — 球 (請先閱讀背面之注意事項再填寫本頁) ^16100 A7 ' ___B7 五、發明説明() ' ---- 氣環37亦具有多個氧化劑氣體喷嘴40(只有其中的某 些被不出),其在一較佳的實施例中是於源氣體喷嘴39 共平面並比它們短,及在一實施例中其從充氣本體41接 受氣體。在某些實施例中,在將氣體注入反應室13中之 前並不希望將將源氣體與氧化劑氣體混合。在其它的實施 例中’氧化劑氣體及源氣體可藉由在充氣體41與充氣環 36之間提供孔口(未示出)讓兩者於被注入反應室13之前 混合"在一實施例中,第三及第四氣體源,34(:及34D, 及第二及第四氣體流控制器,35c,及351)’,經由氣體輸送 管線38提供氣體給充氣本體。額外的閥,如43B(其它的 閥沒有示出)可截斷從該流體控制器到該反應室的氣體。 每",部中吹樣卑局t:x-T-消贽合作祍印來 m ..... : ! ---- - 士民-- -- I— HI n 丁 - . 爿"Γ Ί {諳先閲讀背面之注意事項再填寫本頁) 在某些實施例中’易燃的,有毒,或腐蝕性的氣體, 如桂炫或四氟化矽(SiF4),可被使用。在這些情形中,在 沉積之後將留在氣體輸送管路中之氣體去除是必需作 的•這可藉由使用一三通閥,如閥43Β,來將反應室13 與輸送管路38Α及通氣輸送管38Α隔絕用以將氟44抽 空。如第IΑ圖所示,其它相類似的閥,如43 Α及43C, 可裝配於其它氣體的輸送管路上,如35A及35C。此三通 閥可儘可能地靠近該反應室被置放,用以降低未被通器之 氣體輸送管路的體積(介於該三通閥與該反應室之間)。此 外,雙向(開-關)閥(未示出)可被置於一 MFC與該反應室之 間或一氣體源與一 MFC之間。 再次參照第1A囷,反應室13亦具有上喷嘴45及上 通氣孔46。上噴嘴45及上通氣孔46允許上及侧氣體流之
第UTT 本紙張尺度適用中國國家標卑(CNS ) A4規格(210X297公釐) 416100 五、發明説明() 獨立的控制,其敢善了薄膜的均勻度並允許薄膜沉積與挽 雜參數之微調。上通氣孔46為一環繞上噴嘴45周圍之環 形開口。在一實施例中,第一氣禮源34Α為一毯坡源,其 供應源氣體喷嘴39及上喷嘴45*源氣體噴嘴質量流控制 器(MFC)3 5A’控制送至上氣體喷嘴45的硅烷量。相同地, 兩個MFCs3 5B及3 5B’可被用來控制從一單一的氧氣源, 如源34B,送至上通風孔46及氧化劑氣體噴嘴4〇之氧氣 流。供應至上喷嘴45及上通方孔46之氣體可在將氣雅流 入該反應室13之前被保持分離,或該等氣體可在它們流 入反應室13之前於上充氣室48中被混合》相同氣體之分 開來的氣源可被用來供應該反應室之不同的部分。 經濟部中央愫革局爲^消費合作社印聚 ^^1 ^^^1 1^1 —^^—i 1^1 t^n 1 ,.0/ 1 Jn - - - - - - τ4 - - 令-¾ {請先閱讀背面之注意事項再填寫本頁) 一遠端微波產生的電漿清潔系統50被提供,用以週 期性地從反應室組件上清除沉積的殘餘物。該清潔系統包 括一遠端微波產生器51其從一反應器穴室53中之一清洗 氣體源34E,如氟分子’三氟化氮,其它的氟碳化物或類 似者’產生一電漿。由此電漿所獲得之反應物種經由清洗 氣體進給孔54透過施加管55而被送至該反應室13。被用 來容納該清洗電漿之材料(及穴室53及施用管55)必需抵 擋得住電漿的侵襲。介於反應器穴室53與進給孔54之間 的距離必需儘可能地短,因為所需要之電漿的濃度會隨著 離開該反應器穴室53的距離而減少。在一遠端的穴室中 產生該清洗電漿使得一有效率之吾波產生器的使用成為 可能且不會讓反應室组件遭受到會在該電漿本身發生之 炙熱的溫度,幅射,或轟擊的影窨。因此,相對敏感的组
__第 1ST 本紙張尺度適用中國國家標準(CNS ) A4規為(2iOX297公爱) 經漭部中央禕"局員Μ消费合作社印繁 — 41610G g 五、發明説明() " 件’如電極夹頭20,不需用一無用的晶圓來覆蓋。 系統控制器6 0控制系統1 0的操作。在一較佳的實施 例中’控制器60包括一記憶體62,如一硬碟機,一軟碟 機(未示出),及一卡架(未示出該卡架包括一單板電腦 (SBC)(未示出)’類比及數位輸入/輸出板(未示出),界面 板(未示出)’及步進馬達控制器板(未示出)。該系統控制 器符合Versa Modular European(VME)標準,其界定板, 卡槽,及連接器的尺吋及種類。該VME亦定義具有16-位元資料匯流排及24-位元位址匯流排。系統控制器60是 在一儲存在該硬碟機中之電腦程式或其它的電腦程式,如 儲存在一軟碟上者,的控制下操作《該電腦程式主控時 機’氣體之引入速率及混合,及一特定處理之其它參數》 介於一使用者與該系統控制器之間的界面是透過一 CRT 監視器65及一光筆66,如第1C圖中所示》 第1C圖為與第1A圈之CVD處理反應室一起使用之 使用者界面的系統的一部分。系統控制器60包括一與一 記憶體62相連接之處理器61。最好是,記憶體62為一硬 碟機,但是記憶體62當然可以是其它種類的記憶體,如 ROM,PROM,及類此者》 系統控制器60是在一電腦程式的控制下操作《該電 腦程式主掌一特定處理之時機,因度,氣體流,RF能量 水平及其它參數。介於一使用者與該系統控制器間的界面 是透過一 CRT監視器65及一光筆66,如第1C圖所示》 系統控制器60是在一儲存在該硬碟機中之電腦程式或其 ___ 第 16ΊΓ 本紙悵尺度適用中國國家標準(CNS ) A4規格(2]0X297公釐> ' (諳先閱讀背面之注意事項再填寫本頁) % A7
41610C _______B7 ___ 五、發明説明() 它的電腦程式’如儲存在一軟碟上者,的控制下操作。該 電腦程式主控時機,氣體之引入速率及混合,及一特定處 • 理之其它參數。介於一使用者與該系統控制器之間的界面 是透過一 CRT監視器65及一光筆66,如第1C圖中所示β 在一較佳的實施例中,兩個監视器65及65Α被使用,一 個安裝在清潔室壁(65)上供操作者使用及另一個(65 Α)裝 在壁後供維修技師之用。兩個監視器65a圖時顯示相同的 資訊’但只有一枝光筆(即66)可作用。操作者點觸該顯示 螢幕的一所需要的區域並按下在光筆66上的一按鈕(未示 出)來選取一特定的螢幕或功能。該被選取的區域改變其 反白的顏色,或一新的選單或螢幕被顯示,確定光筆與顯 示螢幕之間的溝通。 電腦程式碼可用任何電腦可讀取的程式語言,如 68000组合語言,C,C + +,或Pascal來寫。適當的程式碼 使用傳統的編輯器輸入到一單一的檔案中,或多個檔案 中,並儲存或編入至電腦可讀取的媒體中,如該電腦的記 憶體系統中。如果被輸入的碼為一高績語言的話,其即被 編譯,所獲的的編譯碼然後與一預先編譯的視窗程式庫目 標碼鏈結。該系統的使用者呼叫該目標碼以執行該經鍵疾 的編譯過的目標碼,造成電腦將該目標碼載入濟憶體中, CPU從記憶體讀取並執行該目標碼以實施在該程式中所 指定的工作。 第1D圖顯示一電腦程式300之邏輯控制結構的方塊 围。一使用者藉由該光筆界面輸入一處理组號碼及處理反 ________3gl7T___ 本紙張尺度適用中家標牟(CNS ) A4C格(210X297公釐) '~~ (請先閱讀背面之注意事項再填寫本頁) .裝· 丁 -- 經濟部中决漂洋局負-X消资合作社印紫 A7
41610C _______B7____ 五、發明説明() H ^^1 1—.i m - - 、 - - -I 1^1 m In ^T . r Jt° (讀先閲讀背面之注意事項再填寫本頁} 應室號碼至一處理選擇器次程式310中一作為顯示在該 CRT監視器上之一選單或螢幕的回應。處理組是執行特定 的處理所需要之預定的處理參數,且是用預定的組號來定 義·該處理選擇器次程式310指定⑴在一多反應室系統中 之所需要的反應室,及(ii)操作該反應室所需要之處理參 數组以實施所需要的處理。贲施一特定處理之處理參數與 處理條件有關’如處理氣體的成份及流率,溫度,壓力, 電漿條件’如RF能量等級,及反應室圓頂溫度,且其係 以菜單的方式提供給使用者•該菜單所選定的參數使用光 筆/CRT監視器界面而被輸入u 監視該處理之訊號是由該系統控制器之類比輸入及 數位輸入來提供及控制該處理之訊號在該系統控制器6〇 的類比輸出及數位輪出板上被輸出。 經"部中央標準局貝工消资合作社印^ 一製程排序器次程式320包括用來從該製程選擇器次 程式73接受被指定之反應室及處理參數组,及用來控制 不同的反應室的粗作之程式碼。多個使用者可輸入處理組 號瑪及反應室號碼’或一使用者可輸入多個處理组號碼及 反應室號碼,該製程排序器次程式75可將被選取的處理 以所需要的順序排好。較佳地,製程排序器次程式75包 括一用來實施(i)監視該等反應室之操作以決定反應室是 否被使用,(ii)決定那些處理是要在那些反應室中進行, 根據一有空的反應室及將被實施之處理的種類來執行所 需要的處理,的程式碼。監視反應室之傳統的方法可被使 用,如詢訊(polling)。當在排那一個處理要被執行時,排 _____第18肓 _ 本紙張尺度適用中國國家標準(CNS ) Λ4規格(2lOX297公釐)'~~~~ 經滴部中央榡洋局β工消资合作社印^
41610C A7 —______B7___ 五、發明説明() 序器次程式3 20可被設計成將被使用之反應室之目前的條 件與一被選取之處理所需要的條件比較,或每一特定的使 用者所輸入的要求的’’年齡,,,或一系統程式員想要包括進 去以決定優先順序之任何其它相關因子,考慮進去。 在排序器次程式320決定那一個反應室及處理组的組 合將於下一個被執行之後,該排序器次程式320藉由將該 特定的處理组參數送至一反應室管理次程式330A-C來執 行該處理組’其中反應室管理次程式330A-C根據由該排 序器次程式320所決定的處理組來控制在反應室π内之 多製程工作。例如,反應室管理次程式330A包括用來控 制在該反應室13内之濺射及CVD處理操作的程式碼。反 應室管理次程式330A亦控制不同反應室組件次程式的執 行’其中组件次程式控制執行該被選取的處理組所必需之 反應室组件的操作。 反應室組件次程式的例子為基材置放次程式34〇,處 理氣體控制次程式3 50,壓力控制次程式360,及電漿控 制次程式370«熟悉此技藝者可瞭解的是其它的反應室控 制次程式可根據那種製程將要於該真空室13内被實施而 被加入。在操作時,反應室管理次程式3 30A根據今被執 行之特定的處理組來選擇性地排定或呼叫處理组件次程 式。反應室管理次程式330A之排序使用一種與排序器次 程式3 20在排定那一個反應室13及處理組將被執行時所 用之方式相似的方式來實施。典型地*反應室管理次程式 77a包括了監視不同的反應室組件,根據今被執行之處理 第19頁 本紙張尺度適用中國國家標準(CNS > Μ現格(210X 297公釐) ----- ^^^^1 (請先閲讀背面之注意事項再填寫本頁) 416100 A7 B7 五 發明説明( 的處理參數決定那些組件必需被操作,及執行一反應室组 件次程式以作為磕視及決定步驟之回應,等步驟。 特定的反應室组件次程式的操作將參照第1D囷被說 明。基材置放次程式340包括用來控制反應室組件的程式 碼,其中該反應室組件是被用來將一基材置於該基材支撐 件1 8上。該基材置放次程式340亦控制一基材從該室系 統中之一 PECVD反應器或其它反應器轉送至反應室13 中。 處理氣體控制次程式3 50具有用來控制處理氣體成份 及流率的程式碼。次程式350控制安全截流閥的開/關位制 置,及升/降質量流控制器以獲得所需要的氣體流率。所有 的反應室組件次程式,包括處理氣體次程式350,都是由 反應室管理次程式330A所呼叫。次程式350接受來自於 該反應室管理次程式330A與所需要的氣體流率相關之處 理參數。 典型地’處理氣體控制次程式350藉由打開氣體供應 管路,並重復(i)讀取必需的質量流控制器,(ii)將讀取值 與從反應室管理次程式330A接收來之所需要的流率比 較,(iii)需要時調整氣體供應管路的流率,來操作的。甚 者,處理氣體控制次程式350包括監視不安全之氣體流 率,及在狀況被偵測到時啟動該安全截斷閥《 在某些處理中,一鈍氣’如氬氣,被流入到反應室13 中’用以在反應處理氣體被引入反應室内之前穩定在反應 室中之壓力。對於這些處理而言,處理氣體控制次程式350 _____第20頁 本紙張尺度適用中家標準(CNS ) Λ4規格(2i〇x297公釐)' 一 - ^^^^1 :| - #^^^1 J ttl· J— ^^^^1 ^^^^1 一OJ (請先M讀背面之注意事項存填寫本頁) 經濟部中央標嗥局貝工消费合作杜印製
41610C 五、 發明説明( A7 B7 被程式成包括讓鈍氣流入反應室13中一段時間以穩定反 應室内的壓力的步驟《然後上述的步驟被實施。 ---^---Μ----裝-- (讀先閱讀背面之注意事項再填寫本頁} 此外,當一處理氣體是需要從一液態先驅物如四乙 氧基矽烷(TEOS)氣化而成時,處理氣體控制次程式35〇包 括了讓一運送氣體,如氦氣’通過在—氣泡器總成内的液 態先驅物或將該氦氣引入—液態注入閥的步驟。對於此種 類的處理而言,處理氣體控制次程式35〇調整運送氣體 流’在該軋泡器内的壓力,及氣泡器的溫度以獲得所想要 的處理氣體流率。如上所述’所想要的處理氣體流率被傳 遞至處理氣體控制次程式350作為處理參數。 甚者,處理氣體控制次程式350包括藉由存取一包含 一已知處理氣體流率之必需的數值之被儲存的表來獲得 該所需要之處理氣體流率之必需的運送氣體流率,氣泡器 壓力’及氣泡器溫度的步秘。一但必需的數值被獲得,則 運送氣體流率,氣泡器壓力,及氣泡器溫度即可被監視, 可與必需的數值比較並據此調整。 .^肩部中央ti.t-i?-局M.T.消资合作社印货 該處理氣體控制次程式350亦用一獨立的氦氣控制 (IHC)次程式(未示出)來控制流經在晶囷夹.頭中之内及外 通道之熱傳遞氣體,如氦氣,的流動。該氣體流熱學地將 該基材與該夾頭耦合在一起。在一典型的處理中該基材被 該電漿,形成該層之化學反應所加熱,及氦氣經由該夾頭 冷卻該基材’該央頭可以是水冷式的。运將基材保持於一 會損及原本在該基材上之特徵的溫度之下。 壓力控制次程式360包括用來藉由調整在該反應室的 第21頁 本紙張尺度適用中國國家標準(CNS ) A4規格(2丨0X297公釐)
41610C A7 ——一__—____ B7 _______ 五、發明説明() 排出部分中之節流閥的開口的大小來控制在反應室13内 的壓力的程式碼。有至少兩種基本方法來用節流閥控制該 反應室。第一種方法是關於將反應室壓力特徵化為其與組 處理氣體流,處理反應室的大小,及柚泵容量相關。第一 種方法將節流閥26設定在一固定的位置。將節流閥26設 定在一固定的位置最終可獲致一穩態壓力。 或者,反應室壓力可用一壓力計來測量,且節流閥26 位置可根據壓力控制次程式360而被調整,假設控制點是 在由氣體流及排放容量所界定的界線之内。前者的方法可 獲致較快速的反應室壓力改變,因為與後者的方法相關之 測量,比較,及計算並沒有發生。前者的方法在不要求精 確的反應室壓力控制的地方是較好的,而後者的方法在一 需要精確,可重復,及穩定壓力的地方,如一層的沉積期 間,是較佳的。 經肩部中央標率局員工消费合作社印褽 (諳先閲讀背面之注意事項再填寫本頁} 當壓力控制次程式360被呼叫,該所需要的或目標壓 力即如一參數被從反應室管理次程式330A處接收到β壓 力控制次程式360操作以藉由讀取一或多個與反應室相連 接之傳統的壓力計來測量反應室内的壓力,將測量值與目 標壓力比較*從一對應於該目標壓力之被儲存的表中獲得 比例,積分,及微分(PID)值,並根據由該壓力表中所獲得 的PID值調整節流閥26。或者,壓力控制次程式360可被 窝成打開或關閉節流閥2 6至一特定的開口大小來調整反 應室13並獲得一所想要的壓力或壓力範圍。 電漿控制次程式370包括了 RF產生器31A及31B之 第22貰 本紙張尺度適用中國國家if(CNS ) A4規格(210X297公楚) A7
41610C B7___ 五、發明说明() (#先閲讀背面之注意事項再填寫本頁) 頻率及能量輸出設定及調諧配接網路3 2A及3 2B的程式 碼。該電漿控制次程式370與前述之反應室组件次程式相 同地是由反應室管理次程式330A所呼叫的。 包含了上述次程式的一部分或全部之一系统的例子 為由 Applied Materials 公司所製造之 一 Ultima System » 此一系統之細節係被描述於由 Fred C. Redeker,Farhad Moghadam,Hirogi Hanawa,Tetsuya Ishikawa,Dan Maydan > Shijian Li,Brian Lue,Robert Steger 1 Yaxin Wang,Manus Wong and Ashok Sinha 等人於 1996 年七月 15日所提申之美國專利申請案第〇8/679,927號,名稱 為 ” Symmetric Tunable Inductive-Coupled HDP-CVD Reactor”的申請案中’其内容藉由參照而被併於本文中。 ΠΙ.沉積穩定的調整層 經濟部中史榡隼局βχ消费合作社印繁 —調整層在本發明之前被應用於上述反應室(反應室 13)中*該反應室從一包含siH4,〇2及Ar,其以發明背景 中所述之比例(即,〇2對Sil的流率比為1.375 : 1)構成, 的氣禮形成一電漿。試驗顯示,在反應示n以此一調整 層加以調整之後,一相當高數量之具有直徑為〇5微米或 更大的粒子在薄膜,如氟硅酸鹽玻璃,二氧化矽,鱗酸鹽 玻璃及氮化矽,被沉積於該於該反應室中被沉積之基材之 上之後存在於該反應室之中^ 有許多的努力專注於改善被用來沉積這些薄膜之步 驟及參數上,但粒子數量卻沒有明顯的減少。本案發明人 _______第23貫 本紙張尺度·中關家料(CNS ) Λ4·_ ( 2|Qx2^^~ .....----- 經濟部中决蚜;?-均舄工消費合作社印12 416_ A7 A7 B7 五、發明説明() 發現該等粒子的主要來源並不是用來將該等膜沉積於晶 圓上的CVD沉積處理。反而是,這些粒子的來源被發現 是該氧化矽調整層本身。本案發明人發現以前在一調整處 理的沉積中所形成之調整層並沒有適當地黏附於反應示 壁上。因此,該調整層會在後續用來在一基材上沉積一薄 膜上的晶圓處理步驟中剝落。一般咸認以前的調整處理的 黏附問題主要是該反應室之陶瓷部分的關係。因此,吾人 認為麗子的問題為反應室13中大量使用陶瓷材料的直接 後果(如先前所提的,此調整處理以前所被實施的該反應 室的内部主要是石英或矽)β 本案發明人在瞭解此一現像之後,發展出一調整薄膜 其可良好地黏附於像是鋁的材料上,且亦可良好地黏附於 像是陶瓷的材料上。因此,本發明可被用來降低於基材處 理期間由一基材處理反應室壁内部的室壁或絕緣區中所 產生之粒子或其它污染物的數量,即使是在這些室壁或絕 緣區的絕大部分是由陶瓷或類似的材料所構成時亦然。與 以前的調整層比較起來,依據本發明所形成之調整層對於 基材處理反應室之陶瓷部分具有改善的黏附性且表較不 會在後績的基材處理期間有剝落的現像發生。 第2囷顯示依據本發明之—較佳的處理,其標號係參 住第1Α圖中之設備。此處理是使用儲存於cvd系統10 的記憶體62中之電腦程式來實施及控制的。在此較佳的 實施例中,該調整層是在一標準的反應室清洗操作(步驟 2〇〇)完成之後被沉積的,在清洗步驟中NFi的蝕刻氣體被 n n I i I— f - n i n -- ----- n n------------J--^ (請先閱讀背面之注意事項再填寫本頁) A7 41610c ----—_____ B7 五、發明説明() 引入該反應室中用以將在先前的沉積步驟(在步驟220中 疋CVD沉積)中沉積於壁上之物質去除掉。此一清洗步驟 會留下某些殘餘物(即,被吸收於反應室壁中之氟)於該反 應室中’該反應室被該調整層所覆蓋使得殘餘物不會在後 續的處理步鄉(即,沉積步驟220)中被釋放出來》在調整 層被沉積之後,在整個程序(步驟230)被重覆之前,1到η 個基材可在該反應室13中被處理。 該調整層是藉由從一包含SiH4,〇2及氬氣之氣體形 成一電梁而被沉積(步驟210)。該電漿是藉由從SRF產生 器3 2A及3 2B施加RF能量而被形成的。較佳地,該電漿 並沒有朝向基材偏移’因此BRF產生器32C沒有被打開》 為了要確保被沉積的薄膜良好地黏附於反應室13内 部的壁上’控制包括:溫度,壓力,RF能量水準,氬氣 對氧氣的比例及氧氣對SiH4的比例等,在内的沉積參數 是很重要的。本案發明人發現這些參數中最重要的一個參 數為〇2對Sih的比例。在該處理氣體中引入過多的SiH4 或太少的〇2會獲得一包含太多的Si-H鍵結之氧化矽薄 膜°在另一方面’在該處理氣體中引入太少的SiH4或過 多的〇2會獲得一包含太多的Si-OH鍵結之氧化矽薄膜β 如下文中詳細描述的,本案發明人發現一介於i .4 : 1 至2.4: 1之間的〇2對SiH4流的比例所獲得之調整薄膜既 不富含矽也不富含氧’因此對於不容易黏附的表面,如陶 瓷’表現出一改善的黏附性。本案發明人發現一介於1.6: 1至2.2 : 1之間的〇2對SiH4流的比例是更佳的。 __ 第25貰 本紙張尺度適用中囷國家標準(CNS ) A4規格(210X297公釐) '' {請先閱讀背面之注^再填寫本頁〕 .裝
*1T 經濟部中央"阜局員工消资合作社印裝 Μ濟部中央.^卑局員工消f合作社印裝
奠26T 41610(] A7 B7 五、發明説明() 流入反應室中之氬氣量亦對被沉積的調整層的黏附 性有影響。一般而S ’氬氣流量增加會提高電漿的密度, 藉以提供一更有k的調整層。然而,如果過多的氬氣流入 到反應室中的話’則在反應室内的壓力將會升高,這將會 降低龟漿的密度,而非增加電漿密度β 一大約與SiH4流 率相等之氮氣流率是較佳的。 在一較佳的實施例中*氧氣是在ii5sccm的速率下從 侧喷嘴及在25sccm速率下從上通風孔46被引入反應室; SiH4疋在70sccm的速率下從側喷嘴及在5sccm速率下從 上喷嘴被引乂反應室;及氬氣是在78sccm的速率下從侧 喷嘴及在15Sccm下從上噴嘴被引入反應室。此外,在反 應室内的壓力被設定在6mtorr,及側RF源是在2500W被 供給能量,且上RF源是在1〇〇〇W下被供給能量。 第3圖顯示於後需基材處理期間被產生之粒子(直徑 大於0.5微米之粒子)的數目對在步驟210中被沉積之調整 層中之〇2對SiH4的流率比的圖表。由圖表很明顯的看 出,小於1份SiH4對1.4份〇2或大於1份SiH4對2 4份 〇2的流率比會導致一大的粒子數量。一般咸認在這些流率 比下會產生較大數量的粒子數是因為被沉積的調整層沒 能適當地黏附於該反應室壁的陶瓷部分上之故《因此,# 被沉積的調整層易於在後續的基材處理期間剝落成小的 部分。一般咸認不良的黏附是導因於結合在氧化砂調整# 中之數目相當高之Si-H鍵結(比例小於1 ·4: I)或數目 大的Si-ΟΗ鍵結(比例大於2,4 : 1)。 本紙涞尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) (請先閲讀背面之注意事項再填寫本頁) 袈 訂 經滴部中央標龙"負工消费合作社印製 41610C A7 _B7_ _ 五、發明説明() 相反的,在〇2對SiH4流的比例介於1,4-2.4: 1之間, 氧化矽調整層良好地黏附於包括了陶瓷部分的室壁上*因 為其良好的黏附性,粒予不會在後績使用在反應室13中 之基材處理步驟,如CVD沉積步駔220,中刺離成屑片。 粒子數量的降低顯示於第3圖的區域310。 IV.實驗結果 為了要展示本發明的操作及可實施性,對於根據一先 前處理所沉積之調整薄膜,其具有一約1.3:1之02對SiH4 的比例,與一具有較高之02對SiH4的流率比的薄膜的特 性加以比較的實驗被實施•在每一實驗中,富利葉轉換紅 外線光譜分析(FTIR)被實施用以偵測在每一調整層中之 Si-OH及Si-H键結的出現。對於具有一約1.3 : 1之〇2對 SiEU的比例之先技的調整薄膜之FTIR分析的結果係示於 第4A圖中。如在第4A圖所示,有Si-H鍵結存在被偵測 到’這表示該薄膜係富含矽。另一對具有約2· 6: 1之〇2 對SiH<的比例之調整薄膜之FTIR分析的結果係示於第4B 囷中。如第4B圖中所示,有Si-OH鍵結存在被偵測到, 這表示該薄膜係富含氧。第4C圓顯示出一既不富含珍也 不富含氧的調整薄膜’而該調整薄膜係依據本發明之方法 從一 〇2對SiH4的比例約為1.8 : 1之處理氣體被沉積。 如第4C圖所示’存在於該被沉積的薄膜中之及 Si-OH鍵結數目在與第4A及4B圖中被分析之薄膜比較起 來是被顯著地降低。
--- 第27T 本紙張尺度適用中國國家標準(CNS ) Λ4洗格(210X297公楚) ----- m^l —I— - -1--1— l r.2/r - 0 - - -. II t V J. - , ΔΛ *-* {請先閱讀背面之注意事項再填寫本頁)
41610C A7 B7 五、 而 發明説明( 上述之氣體引入速率及實驗是根據在一由 Applied Materials公司所製造之Ultima HDP-CVD反應室中形成一 調整層所得之結果。熟悉此技藝者將會瞭解的是氣體被引 入其它實施例中之實際速率將會改變,如果其它的反應室 使用不同的設計及/或體積《而且,列在上述處理中之參數 不應是申請專利範圍之限制。熟悉此技藝者可使用除了這 些被描述的反應室參數及條件以外的反應室參數及條 件。因此,上述的說明只是說明性而非限制性。僅作為舉 例之用’本發明是以參照一使用氬氣作為鈍氣之調整薄膜 處理來顯示,該鈍氣有助於穩定沉積條件及沉積一更為穩 定的調整薄膜。使用其它的鈍氣,如氦氣亦可達到相似的 結果。此外’雖然目前不是較佳的,在其它的實施例中加 入领場或其它類似的攙雜物於該氧化矽調整層中是可能 的。因此’本發明的範圍並不是由上述的說明來界定, 是由下面的申請專利範圍及其等效物來界定的。 (請先聞讀背面之注意事項再填寫本頁) 訂 衩螭部中央標羋局货Η消費合作社印聚 第28肓

Claims (1)

  1. 41610(] A8
    申請專利範圍 種 操作一基材處理反應室的方法,該反應室具有一内 表面,該内表面具有含有污染物之組件,該方法包括 的 步驟為 在基材處理操作之前’於—氧氣對矽甲烷的流率比 例大於或等於1.4: 1之下導引一包含砂甲院及氧氣之 調整氣體至該反應室中,用以在該反應室内表面的至 少 一部分上沉積一氧化矽薄膜 2.如申請專利範圍第丨項所述之方法,其更包括了在該氧 化矽薄膜沉積之後,在該反應室中處理—基材的步辑。 3-如申請專利範圍第2項所述之方法,其中該氧化珍薄 係藉由施加能量於該調整氣體用以從該調整氣敢^ 一電浆而被沉積的。 膜成 4.如申請專利範圍第3項所述之方法,其中該内表 少一部分包含一陶瓷材料。 面之至 I ----I----裳-------訂-----線 r (請先E讀背面之注意事項存填寫本黃> 經濟部中央標準局員工消費合作社印製 5.如申請專利範圍第1項所述之方法,其中該氧氣重士 烷的流率比例係介於1 _6 : 1至2.2 : 1之間β 矽甲 6.如申請專利範圍第1項所述之方法,其更包括了 '*ip 烟替氣捕漆入兹S麻舍 > 益,益rb並_ *ι> ' w 又巴?Ϊ5Γ f 調整氣體導入該反應室之前,藉由將一蚀刻氣體幻 哎Ή入 反應室中,讓該蝕刻氣體與一被沉積於一内表面的 該 少 第29頁 本紙張尺度適用中國困家揉率(CNS ) A4规格(210X297公釐) 43610c —、申請專利範圍 A8 B8 C8 D8 經濟部中央橾準局具工消费合作社印策 —部分上之物質’藉此對該物質加以清洗。 7. 一種製造一個或更多個積體電路的方法,該方法包括的 步螺為: U)提供一具有一内表面之基材處理反應室; (b)藉由將一蝕刻氣體^引入該反應室中來清洗該反應 室之至少一内表面: (e)之後,將一包含氧氣(〇2)及矽甲烷(SiH4)之調整氣 禮引入該反應室中,、該調整氣體是在對SiH4的流率 比例介於1 ·4 : 1至2.4 : 1的比例下被引入的; (d) 從該調整氣體激發一電漿,用以在該反應室的一 内表面的至少一部分上沉積一氧化矽薄膜; (e) 之後,將一其上形成有一或多個積體電路之基材 送入該反應室中;及 (f) 之後,將一沉積氣體引入該反應室中,用以在該 基材上沉積一薄膜層, 8. 如申請專利範圍第7項所述之方法,其中該内表面之至 少一部分包含一陶瓷材料。 9. 如申請專利範圍第8項所述之方法,其中該氧氣蔚發甲 烷的流率比例係介於1.6 : 1至2.2 : 1之間。 10. —種由申請專利範圍第7項所述之方法製成的積禮電 第30頁 本紙張尺度適用中困國家揉準(CNS ) A4规格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) ¥ t- -£* Γ i A8 B8 C8 D8 41610C 六、申請專利範圍 路〇 11,一種基材處理系統,其包括: —殼體,用來形成一真空室,該真空室具有一内表 面; 一基材固持件,其位在該殼體内用來固持一基材; 一氣體輸送系統,用來將一調整氣體引入該真空室 中; —控制器,用來控制該氣體輸送系統;及 一連接至該控制器之記憶體,其包含一電腦可讀取 的媒體’該媒體具有一電腦可讀取的程式用來指導該化 學氣相沉積反應器系統的操作,該電腦可讀取的程式包 括: 一第一組指令,其在一基材處理操作之前,控制該 氣體輸送系統,用以在一氧氣對矽甲燒的流率比例大於 或等於1.4: 1之下導引一包含矽甲烷及氧氣之調整氣 體至該反應室中,用以在該反應室内表面的至少一部分 上沉積一氧化矽薄膜。 12.—種基材處理系統,其包括: 一殼體,用來形成一真空室,該真空室具有一内表 面; 一基材固持件,其位在該殼體内用來固持一基材; 一基材運送系統,用來將一基材送入該真空反應室 _第 311_____ 本紙殊尺度逍用中國國家標準(CNS ) A4規格(210X 297公釐) I n 111 n n -— I 訂— 線 (請先閲讀背面之注意Ϋ項再填寫本頁) 經濟部中夬標隼局員工消費合作社印裝 A8 B8 C8 D8 41610C '申請專利範圍 中並將該基材置於該基材固持器上; 一氣體輸送系統,用來將一處理氣體引入該真空室 中; 一電漿產生系統,,用來從被引入到該真空反應室 中之氣體產生一電I; 一控制器,用來控制該基材運送系统,該氣體輪送 系統及該電漿產生系統;及 一連接至該控制器之記憶體,其包含一電腦可讀取 的的媒體,該媒體具有一電腦可讀取的程式用來指導該 化學氣相沉積反應器系統的操作,該電腦可讀取的程式 包括: 一第一組指令,用來控制該氣體輸送系統用以將一 蝕刻氣體引入該真空反應室中來清洗該真空反應室的 内表面; 一第二组指令,其在該第一纽指令之後被執行,用 來控制該氣趙輸送系統,用以在一氧氣對碎甲燒的流車 比例介於1‘4 : 1至2,4 : 1的範圍下導引一包含矽〒坑 及氧氣之調整氣體至該反應室中; 一第三组指令’用來控制該電漿產生系統,用以從 該調整氣體形成一電漿以將一氧化矽層沉積於該真空 反應室之内表面的至少一部分上; 一第四組指令,其是在第二组及第三組指令之後被 執行’用來控制該基材運送系統用來將一其上形成有一 或多個積趙電路之基材送入該真空反應室中並將該基 第32頁 本纸張尺度速用中國國家揉準(CNS ) A4規格(210X297公釐) I I : I ^^1 I I --. n n n I - I- - ^^1 , I 丁 I - . ^^1 I. n ----*0^1 、 i - * {請先閲讀背面之注意事項再填寫本頁} 經濟部t央標率局貝工消費合作社印製 A8 41610C c8 D8 々、申請專利範圍 材置於該基材固持器上;及 一第五組指令,用來控制該氣體輸送系統用以將一 沉積氣體引入該真空反應室中以在該基材上沉積一薄 膜層。 - - n I t- 1 I _ - In m------- m τ I m I ----ϋ 1. 1〆 言 穿 -I (請先閲讀背面之注意i項再填寫本頁) 經濟部中央梂準局員工消費合作社印装 第33T 本紙張尺度逍用中國國家標準(CNS ) A4規格(210X297公釐)
TW087105313A 1997-07-02 1998-04-08 Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system TW416100B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US88723997A 1997-07-02 1997-07-02

Publications (1)

Publication Number Publication Date
TW416100B true TW416100B (en) 2000-12-21

Family

ID=25390739

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087105313A TW416100B (en) 1997-07-02 1998-04-08 Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system

Country Status (5)

Country Link
EP (1) EP0892083B1 (zh)
JP (1) JPH1167746A (zh)
KR (1) KR100611610B1 (zh)
DE (1) DE69812239T2 (zh)
TW (1) TW416100B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104099582A (zh) * 2013-04-15 2014-10-15 中芯国际集成电路制造(上海)有限公司 一种减少炉管中颗粒的方法
CN113889403A (zh) * 2021-12-08 2022-01-04 陕西亚成微电子股份有限公司 一种栅氧化层生长方法

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3159187B2 (ja) * 1998-11-04 2001-04-23 日本電気株式会社 薄膜成膜方法
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6596123B1 (en) * 2000-01-28 2003-07-22 Applied Materials, Inc. Method and apparatus for cleaning a semiconductor wafer processing system
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6506254B1 (en) * 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6559052B2 (en) * 2000-07-07 2003-05-06 Applied Materials, Inc. Deposition of amorphous silicon films by high density plasma HDP-CVD at low temperatures
JP2002043224A (ja) * 2000-07-18 2002-02-08 Applied Materials Inc アダプター、チャンバ及びプラズマ処理装置
US6706336B2 (en) 2001-02-02 2004-03-16 Canon Kabushiki Kaisha Silicon-based film, formation method therefor and photovoltaic element
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
KR100825130B1 (ko) 2001-07-06 2008-04-24 어플라이드 머티어리얼스, 인코포레이티드 금속 에칭 공정 동안 플라즈마 에칭 챔버내에서 파티클을감소시키는 방법
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
KR100415441B1 (ko) * 2002-04-24 2004-01-24 주식회사 하이닉스반도체 반도체 소자의 절연막 증착 방법
CN101106070B (zh) * 2003-05-02 2012-01-11 东京毅力科创株式会社 处理气体导入机构和等离子体处理装置
US20070066038A1 (en) * 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
JP4720266B2 (ja) * 2005-04-08 2011-07-13 東京エレクトロン株式会社 成膜方法、成膜装置及びコンピュータプログラム
TWI327761B (en) 2005-10-07 2010-07-21 Rohm & Haas Elect Mat Method for making semiconductor wafer and wafer holding article
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
KR100881493B1 (ko) * 2007-06-26 2009-02-05 주식회사 동부하이텍 반도체 소자의 층간 절연막 형성 방법
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7659184B2 (en) * 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
JP2012506620A (ja) * 2008-10-21 2012-03-15 アプライド マテリアルズ インコーポレイテッド チャンバをクリーニングするプラズマ発生源とプロセス
CN102405511B (zh) * 2009-04-20 2014-06-11 应用材料公司 使用处理腔室壁上的硅涂层增强清除残余的氟自由基的方法
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101528832B1 (ko) 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
WO2011084752A2 (en) 2010-01-07 2011-07-14 Applied Materials, Inc. In-situ ozone cure for radical-component cvd
US8563445B2 (en) 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
KR101325611B1 (ko) * 2013-04-10 2013-11-06 대광기업 주식회사 와이어 로프의 합성수지 성형장치 및 그 성형방법
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
KR20180080901A (ko) * 2017-01-05 2018-07-13 주성엔지니어링(주) 투습 방지막과 그 제조 방법
WO2019245727A1 (en) * 2018-06-22 2019-12-26 Applied Materials, Inc. Methods of minimizing wafer backside damage in semiconductor wafer processing
US20210340668A1 (en) * 2018-09-21 2021-11-04 Lam Research Corporation Method for conditioning a plasma processing chamber
CN110942974B (zh) * 2018-09-25 2023-06-09 长鑫存储技术有限公司 半导体结构的形成方法及在晶圆上形成氧化硅膜的方法
KR101975170B1 (ko) 2018-12-22 2019-08-23 이강일 철판 절곡 장치
KR101964465B1 (ko) 2018-12-23 2019-07-31 주식회사 경동엔지니어링 프레스 장치
KR20220092575A (ko) * 2019-11-01 2022-07-01 어플라이드 머티어리얼스, 인코포레이티드 감소된 결함의 증착 프로세스들
KR102518514B1 (ko) 2022-06-20 2023-04-04 신승배 마그네틱 가이드 지그

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2708533B2 (ja) * 1989-03-14 1998-02-04 富士通株式会社 Cvd装置の残留ガス除去方法
US5221414A (en) * 1991-07-16 1993-06-22 Micron Technology, Inc. Process and system for stabilizing layer deposition and etch rates while simultaneously maintaining cleanliness in a water processing reaction chamber
JPH0533138A (ja) * 1991-07-30 1993-02-09 Fuji Electric Co Ltd 酸化膜の製造方法
JPH06280028A (ja) * 1993-03-29 1994-10-04 Anelva Corp プラズマ処理方法及び装置
US5424095A (en) * 1994-03-07 1995-06-13 Eniricerche S.P.A. Ceramic vapor deposited coating using a steam-containing carrier gas and non-alkoxy silane precursors
US5736423A (en) * 1995-11-16 1998-04-07 Advanced Micro Devices, Inc. Method for depositing very thin PECVD SiO2 in 0.5 micron and 0.35 micron technologies
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
TW343356B (en) * 1996-05-13 1998-10-21 Applied Materials Inc Deposition chamber and method for depositing low dielectric films

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104099582A (zh) * 2013-04-15 2014-10-15 中芯国际集成电路制造(上海)有限公司 一种减少炉管中颗粒的方法
CN104099582B (zh) * 2013-04-15 2016-10-19 中芯国际集成电路制造(上海)有限公司 一种减少炉管中颗粒的方法
CN113889403A (zh) * 2021-12-08 2022-01-04 陕西亚成微电子股份有限公司 一种栅氧化层生长方法

Also Published As

Publication number Publication date
KR19990013438A (ko) 1999-02-25
EP0892083A1 (en) 1999-01-20
EP0892083B1 (en) 2003-03-19
JPH1167746A (ja) 1999-03-09
DE69812239T2 (de) 2003-12-04
KR100611610B1 (ko) 2006-10-24
DE69812239D1 (de) 2003-04-24

Similar Documents

Publication Publication Date Title
TW416100B (en) Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
TW406358B (en) Sequencing of the recipe steps for the optimal low-dielectric constant HDP-CVD processing
US6704913B2 (en) In situ wafer heat for reduced backside contamination
US6329297B1 (en) Dilute remote plasma clean
TW567239B (en) Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
TW518693B (en) In situ deposition and integration of silicon nitride in a high density plasma reactor
KR100518156B1 (ko) 테트라에틸오르토실란및오존실리콘산화물의표면감도감소방법및장치
US6527910B2 (en) Staggered in-situ deposition and etching of a dielectric layer for HDP-CVD
US6589868B2 (en) Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6020035A (en) Film to tie up loose fluorine in the chamber after a clean process
US6413871B2 (en) Nitrogen treatment of polished halogen-doped silicon glass
US7799704B2 (en) Gas baffle and distributor for semiconductor processing chamber
US6294466B1 (en) HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
TWI455238B (zh) Hdp-cvd應用之高輪廓極小接觸的處理套組
KR20080048430A (ko) 반도체 처리 챔버용 가스 배플 및 분배기
JPH1171680A (ja) 基板処理装置と共に用いるための改良型遠隔マイクロ波プラズマソース用装置
WO2007021520A2 (en) Substrate support for increasing substrate temperature in plasma reactors
KR100990086B1 (ko) 고밀도 플라즈마 화학 기상 증착을 이용하는 실리콘 리치산화물 증착 제어 방법
US6436303B1 (en) Film removal employing a remote plasma source
WO2010038885A1 (ja) 窒化珪素膜およびその形成方法、コンピュータ読み取り可能な記憶媒体並びにプラズマcvd装置
KR101171127B1 (ko) 반도체 처리에서의 미세오염물을 감소시키는 방법
JP2002057157A (ja) 銅ダマシン集積回路用hdp−fsg処理

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees