KR101171127B1 - 반도체 처리에서의 미세오염물을 감소시키는 방법 - Google Patents

반도체 처리에서의 미세오염물을 감소시키는 방법 Download PDF

Info

Publication number
KR101171127B1
KR101171127B1 KR1020067025894A KR20067025894A KR101171127B1 KR 101171127 B1 KR101171127 B1 KR 101171127B1 KR 1020067025894 A KR1020067025894 A KR 1020067025894A KR 20067025894 A KR20067025894 A KR 20067025894A KR 101171127 B1 KR101171127 B1 KR 101171127B1
Authority
KR
South Korea
Prior art keywords
gas
flow
flow rate
plasma
helium
Prior art date
Application number
KR1020067025894A
Other languages
English (en)
Other versions
KR20070011587A (ko
Inventor
헤만트 문게칼
비크램 카풀
쥬앙 리
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070011587A publication Critical patent/KR20070011587A/ko
Application granted granted Critical
Publication of KR101171127B1 publication Critical patent/KR101171127B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane

Abstract

막은 프로세스 챔버에 프로세스 가스를 흘려보내고 상기 프로세스 챔버에 유동성 가스를 흘려보냄으로써 기판 상에 증착된다. 프로세스 가스는 실리콘-함유 가스 및 산소-함유 가스를 포함한다. 유동성 가스는 헬륨의 흐름 및 분자형 수소의 흐름을 포함하며, 분자형 수소의 흐름은 헬륨의 유량의 20% 미만의 유량으로 제공된다. 플라즈마는 프로로세스 챔버에서 1011 ions/㎤ 이상의 밀도를 갖게 형성된다. 막은 플라즈마를 이용하여 기판 상에 증착된다.

Description

반도체 처리에서의 미세오염물을 감소시키는 방법{MICROCONTAMINATION ABATEMENT IN SEMICONDUCTOR PROCESSING}
본 발명은 반도체 처리에서 발생하는 미세오염물(microcontamination)을 감소시키는 방법에 관한 것이다.
반도체 처리 산업에서 기판상에 막들을 증착하는데 보편적으로 사용되는 기술 분야 중 하나로는 화학적 기상 증착("CVD") 기술이 있다. 종래의 열 CVD 프로세스들은 원하는 막을 생성하기 위해 열-유도 화학 반응이 일어나는 기판 표면에 반응 가스들을 공급한다. 플라즈마-강화 CVD("PECVD") 기술은 기판 표면 부근의 반응 영역에 무선-주파수("RF") 에너지를 인가하여, 플라즈마를 생성함으로써 반응 가스들의 여기 및/또는 해리를 조장한다. 플라스마 내의 종들(species)의 높은 반응성은 화학 반응을 발생시키는데 요구되는 에너지를 감소시켜, 종래의 열 CVD 프로세스와 비교할 때 이러한 CVD 프로세스들에서 요구되는 온도를 낮춘다. 이러한 장점들은, 플라즈마 종들이 보다 반응성이 되도록 조밀한(dense) 플라즈마가 낮은 진공 압력에서 형성되는 고밀도 플라즈마("HDP") CVD 기술들에 의해 보다 개선될 수 있다. 이러한 각각의 기술들은 포괄적인 "CVD" 기술들로, 이들 각각은 소장의 특정 분야에 대해 보다 적합하거나 또는 보다 덜 적합하게 하는 특성이 있다.
이를 테면, HDP-CVD는 증착된 막은 얕은-트렌치-절연("STI"), 전금속(premetal)-유전체("PMD"), 또는 금속간-유전체("IMD") 분야에서 야기될 수 있는 인접한 상승 구조물들 사이에 형성된 갭을 충진시키는 갭충진(gapfill) 프로세스에 바람직하다. 이러한 갭충진 프로세스가 갖는 문제점은 보이드를 형성하지 않고 재료를 갭에 증착해야 한다는 것이다. 이러한 문제점이 도 1A 및 도 1B에 도시된 단면도에 개략적으로 도시되었다. 도 1A는 기판(110)의 수직 단면도를 나타내며, 이를테면 피쳐(120) 층을 가지는 반도체 웨이퍼가 제공될 수 있다. 인접한 피쳐(120)는 유전체 물질로 충진된 갭(114)을 한정하며, 갭의 측벽들(116)은 피쳐(120)의 표면에 의해 한정된다. 증착이 진행됨에 따라, 유전체 물질(118)이 피쳐(120)의 표면 및 기판(110) 상에 축적되어 피쳐(120)의 코너(124)에 오버행들(overhangs)(122)이 형성된다. 유전체 물질(118)의 증착이 지속됨에 따라, 통상적으로 오버행들(122)은 특징적인 빵덩어리(breadloafing) 형태로 갭(114) 보다 빠르게 성장한다. 결국, 오버행들(122)은 도 1B에 도시된 유전체막(126)을 형성하도록 함께 성장되어, 내부 보이드(128) 속으로의 증착을 방해한다.
HDP-CVD를 이용한 갭충진은 유용하며, 이는 HDP-CVD 프로세스 동안 플라즈마 내의 고밀도 이온 종들이 막이 증착되는 동안에도 막의 스퍼터링을 야기시키기 때문이다. 증착 프로세스 동안 재료의 동시적 스퍼터링 및 증착은 증착 동안 갭 개구를 유지하게 한다. 이러한 효과는 최근 회로 소자들의 밀도를 증가시키기 위해 갭 폭을 감소시키고 이들의 종횡비를 증가시키는 경향이 있긴 하지만 문제점들을 갖는 것으로 밝혀졌다. 보다 적극적인(aggressive) 갭충진 분야와 관련하여, 유용 한 것으로 밝혀진 효과 중 하나는 기판으로 반응 가스를 운반하기 위해 유동성 가스로서 헬륨의 흐름을 이용한다는 것이다. 특히 헬륨의 사용은 일정한 크기, 특히 약 90-150nm 범위의 갭을 가지는 분야에서 갭충진을 강화시키는데 적합하다.
그러나 본 발명자들은 유동성 가스로서 헬륨의 사용은 입자-오염 레벨을 상당히 증가시킨다는 것을 발견하였으며, 대부분의 입자 오염물은 약 2㎛ 이하의 크기를 갖는다. 이러한 오염물은 헬륨-기반 증착 및 갭충진 프로세스를 이용하여 형성된 소자의 동작에 악영향을 미칠 수 있다. 따라서 헬륨-기반 HDP-CVD 갭충진 프로세스를 이용할 경우 오염물을 완화시킬 수 있는 방법이 요구된다.
본 발명자들은 유동성 헬륨 흐름의 사용을 기반으로 하는 HDP-CVD 증착 프로세스에 소량의 수소 흐름을 부가시킴으로써 미세오염물의 레벨을 감소시킨다는 것을 발견했다. 본 발명자들은 이러한 수소 흐름의 부가가 고밀도 플라즈마의 성장 코어(growth core)의 제공을 제한하는 역해리반응(backwards dissociation reaction)을 위한 구동력을 증가시킨다고 가정했다.
일부 실시예에서, 막은 프로세스 챔버로 프로세스 가스를 흘려보내고 프로세스 챔버로 유동성 가스를 흘려보냄으로써 기판 위에 증착된다. 프로세스 가스는 SiH4와 같은 실리콘-함유 가스 및 O2와 같은 산소-함유 가스를 포함한다. 유동성 가스는 헬륨의 흐름 및 분자형 수소의 흐름을 포함하며, 분자형 수소의 흐름은 헬륨 유량의 20% 미만의 유량으로 제공된다. 프로세스 챔버에 형성된 플라즈마는 1011 ions/cm3 보다 큰 밀도를 갖는다. 막은 플라즈마를 이용하여 기판 위에 증착된다.
일부 실시예에서, 분자형 수소의 흐름은, 일 실시예에서 헬륨 유량의 10% 미만으로 제공되며 또 다른 실시예에서는 헬륨 유량의 5% 미만으로 제공되는, 헬륨 흐름 보다 더 낮은 유량으로 제공될 수 있다. 헬륨의 유량은 일 실시예에서 100 내지 1000sccm일 수 있다. 몇몇 예들에서, HDP-CVD 증착 동안 스퍼터링 특성을 변조시키기 위해, 추가적인 불활성 가스의 흐름이 헬륨 유량의 10% 미만의 유량으로 제공될 수 있다. 또한, 이러한 특성은 기판에 네거티브 바이어스를 인가하는 것과 같은 다른 방식으로도 변조될 수 있다. 프로세스 챔버의 내부 압력은 10mtorr 미만으로 유지될 수 있다.
본 발명의 특징 및 장점을 보다 명확히 이해하기 위해 하기 설명 및 도면을 참조할 수 있다.
도 1A 및 1B는 갭충진 프로세스 동안 보이드의 형성을 나타내는 개략적 단면도.
도 2A는 프로세스 챔버내의 노즐 팁에서 가스 팽창 형성을 나타내는 개략도로, 전구체 가스들의 열분해는 쇼크 프론트(shock front)에 의해 개시될 수 있다.
도 2B는 HDP-CVD 프로세스 챔버내에서의 흐름들의 개략도로, 높은 잔류 시간이 입자형성을 조장할 수 있는 재순환 영역을 나타낸다.
도 2C는 오염물 성장에 기여할 수 있는 플라즈마 입자에 대한 힘의 개략도.
도 3A는 HDP-CVD 증착 프로세스에서 유동성 He 가스에 H2 흐름의 부가에 따른 효과를 평가하기 위해 200mm 웨이퍼를 이용한 테스트의 실험 결과를 나타내는 도면.
도 3B는 HDP-CVD 증착 프로세스에서 유동성 He 가스에 H2 흐름의 부가에 따른 효과를 평가하기 위해 300mm 웨이퍼를 이용한 테스트의 실험 결과를 나타내는 도면.
도 4는 HDP-CVD 증착 프로세스에서 유동성 He 가스에 H2 흐름이 부가되는 본 발명의 실시예들의 요약한 흐름도.
도 5A는 본 발명에 따른 HDP-CVD 시스템의 일 실시예를 나타내는 도면.
도 5B는 도 5A의 예시적인 HDP-CVD 프로세스 챔버와 결합하여 사용될 수 있는 가스 링의 간략화된 단면도.
유동성 가스로서 He를 사용하는 HDP-CVD 증착 프로세스에서 증가된 미세오염물이 발견될 때, 본 발명자들은 특히 Ar과 같은 다른 유동성 가스의 사용에 기반을 둔 유사한 프로세스들과 비교하여 오염물들의 소스가 될 수 있는 잠재적인 메커니즘들을 식별하기 시작했다. 초기 고려사항은 막 형성을 위한 전구체 가스로서 SiH4 및 O2의 흐름을 이용하여 증착된 비도핑 실리케이트 글래스("USG")의 증착에 집중되었다. 이러한 프로세스에서, SiH4 및 O2의 흐름은 유동성 가스의 흐름에 의해 달성될 수 있으며, 본 발명자들은 Ar 흐름 보다는 He 흐름과 관련하여 보다 높은 레벨의 미세오염물을 관찰했다.
본 발명자들은 오염물을 제공할 수 있는 다수의 잠재적인 메커니즘들을 고려했다. 예를 들어, 고려되는 메커니즘들 중 하나는 증착이 이루어지는 프로세스 챔버의 가열은 부품들의 열팽창을 야기시킨다는 사실과 관련된다. 프로세스 챔버로부터 알루미늄 입자의 박편화(flaking)는 상기와 같은 가열 및 실리콘 산화물과 알루미늄 산화물에 대한 열팽창 계수들 간에 비정합(mismatch)이 발생한다는 사실에 의해 야기될 수 있다. 이러한 비정합의 작용은 Ar 흐름 보다 He 흐름으로 더 커질 수 있으며, 이는 챔버의 온도가 일반적으로 Ar 흐름 보다는 He 흐름으로 약간 더 높기 때문이다. 그러나 프로세스들 간의 온도 차는 크지 않고 본 발명자들은 상기 차가 프로세스의 화학작용(chemistry)에 따른 작용인 것을 식별할 수 없었기 때문에, 이러한 작용의 기여도는 작은 것으로 여겨진다.
본 발명자들이 오염물을 보다 야기시킬 수 있는 것으로 추론한 다른 메커니즘은 실란(SiH4)의 분해, 특히 실란 열분해, 가스-상 핵형성 및 미세오염물 성장, 및 정전기 트랩에서 미세오염물의 표면 성장과 관련된다. 실란 분해 SiH4→ Si + SiHx + Hy는 He 유동성을 강화시키는 것으로 여겨지며, 이는 역반응을 위한 구동력이 He의 존재를 방해하기 때문이다.
도 2A-2C는 실란 분해 메커니즘이 어떻게 상당한 레벨의 미세오염물을 형성하는지에 대한 개략도이다. 도 2A는 프로세스 챔버에 가스의 흐름을 제공하는 노즐(204) 팁에서의 가스 팽창의 개략도를 제공한다. 모델링 결과들은 처리 동안, 노즐(204) 팁이 200-mm 웨이퍼에 대해 챔버에서 사용되는 2.25" 노즐에 대해 약 800℃의 온도에 도달할 수 있다는 것을 나타낸다. 이러한 높은 온도는 쇼크 프론트(224)를 따른 결과 종들의 전파 및 유입되는 실란의 신속한 열 분해를 야기시키는 깨짐(cracking) 현상을 조장한다. 분해된 Si 및 SiHx 종은 챔버내에 실리콘-기반 입자들 또는 다른 실리콘의 성장을 위한 코어(core)로서 작용할 수 있다.
도 2B는 프로세스 챔버(200) 내부의 종들에 대해, 특히 챔버(200)에 측면 흐름을 제공하도록 위치된 노즐(204)에 대해, 야기될 수 있는 흐름 패턴을 나타낸다. 단지 챔버(200)의 대략 직사각형 단면이 도시되며, 챔버들은 복잡한 방식으로 형성되는 흐름 패턴에 영향을 미치는 복잡한 내부 형상을 가질 수도 있지만, 일반적으로는 대부분의 챔버들은 본 명세서에서 개시된 형태를 갖는다. 측면 노즐(204)로부터 해리된 종들의 흐름은 다중 성분의 흐름들로 나뉠 수 있다. 하나의 흐름(212)은 재순환 패턴의 상류 흐름일 수 있고, 재순환 소용돌이(204)를 생성하도록 부가적으로 나뉠 수 있다. 또 다른 흐름(208)은 챔버(200)내의 웨이퍼 페데스탈(202)을 향하는 흐름일 수 있으며 소용돌이 작용과 함께 페데스탈 아래에 재순환 영역(216)을 생성할 수 있다. 재순환 영역(212, 214, 216)에서 입자들의 잔류 시간은 중요하며, 이는 실란 분해 시간에 의해 생성된 코어들이 챔버(200)내의 다른 입자들과의 상호작용을 통해 성장하게 허용한다. 이러한 재순환 영역의 존재로 인해 야기되는 성장은 He-기반 프로세스로 강화될 수 있으며, 이는 비교가능한 막들 이 증착될 때 일반적으로 Ar-기반 프로세스들 보다 오랜 시간 동안 작동하기 때문이다.
오염물-입자 성장을 조장하는 재순환 영역 내에서의 가스-상 핵형성 이외에, 분해 종들이 하전된다는 사실은 정전기 트랩 내의 종들의 트랩핑을 야기시킬 수 있어, 성장 센터를 제공할 수 있다. 도 2C에는 웨이퍼(228) 위에 하전된 입자(232) 상에 작용하는 힘들을 개략적으로 나타낸다. 중력 가속도(g)가 제공될 경우 질량(m)의 결과로서 입자(232)상에 작용하는 하향 중력(mg)은 전계(E)에서 전하(q)의 결과로서 대향 전기력(qE)에 의해 소정 영역에서 대략적으로 균형을 이룰 수 있다. 이러한 정전기 트랩의 존재 및 위치는 챔버 전체의 전계(E)의 방향 및 세기를 따르지만, 도 2C는 웨이퍼 위에 다수의 트랩들이 존재하여, 미세오염물의 표면 성장이 야기된다는 것을 나타낸다.
실란 분해로부터 야기되는 이러한 잠재적인 오염 메커니즘을 고려할 때, 본 발명자들은 역반응을 위한 구동력은 He 유동성-가스 흐름과 함께 비교적 작은 H2 흐름을 부가함으로써 복원될 수 있다고 가정했다. 구동력을 복원함으로써, 역반응은 미세오염물의 성장을 방해하는 작용을 한다. 상기 가정을 검사하기 위한 다수의 실험을 수행하였으며, 결과는 세로좌표 방향의 변수들이 축소되도록 반대수(semilogarithmic) 플롯인 도 3A 및 도 3B에 나타냈다. 도 3A의 결과들은 200-mm 웨이퍼를 이용한 실험을 수행함으로써 생성된 것이고, 도 3B의 결과는 300-mm 웨이퍼를 이용한 실험을 수행함으로써 생성된 것이다.
초기 검사에서, SiH4 및 O2의 흐름을 제공하는 것 이외에, He 흐름이 400sccm의 유량으로 프로세스 챔버에 제공되며 주기적으로 H2의 흐름이 20sccm의 유량으로 제공된다. 입자 레벨은 유동성 흐름이 완전히 He인 상태 동안 그리고 유동성 흐름이 추가의 5% H2 흐름을 포함하는 상태 동안 측정된다. 도 3A의 히스토그램에 도시된 바와 같이, 추가의 5% H2 흐름을 이용한 프로세스 챔버의 입자 레벨은 순수 He 유동성 가스 흐름을 이용한 입자 레벨보다 약 100배 미만(two orders of magnitude less than)이다.
유동성 가스와 함께 추가의 수소 흐름의 첨가에 따른 부작용 중 하나는 미세오염물 형성시 감소(reduction)에 기여할 수 있는 챔버내 압력 증가를 야기시킨다는 것이다. 따라서, 300-mm 웨이퍼에 상에서의 순차적 검사들은 유동성 흐름에 수소 첨가로부터 마이크로오염 감소가 재현될 수 있는지를 입증하고 수소의 존재에 화학적으로 기여할 수 있는 감소가 어느 정도인지를 검출하도록 이루어졌다. 검사를 위한 베이스라인은 굵은 다이아몬드형태로 도시되며 수소 흐름 없이 약 1000sccm의 헬륨 흐름을 이용한다. 50sccm의 H2 흐름의 부가적인 제공으로 인한 결과는 굵은 정사각형태로 도시되며 입자 레벨의 상당한 감소를 나타낸다. 진한 삼각형들은 100 sccm으로의 H2 유량의 추가 증가로 인해 야기되는 특정 레벨에서의 추가적 감소를 나타내며, 진한 원들은 200 sccm의 H2 유량으로부터 야기되는 특정 레벨에서의 추가적 감소를 나타낸다. 이러한 결과들의 성향은, 도 3A의 200-mm 웨이퍼에 대한 결과의 결론이 수소 흐름의 첨가가 특정 레벨에서의 감소를 야기시킨다는 것을 입증한다.
100sccm의 H2 흐름을 갖는 챔버 압력은 6.2 mtorr로 측정되었다. H2 흐름으로 야기되는 압력 증가로부터의 기여도를 평가하기 위해, 입자 레벨은 토글링된 챔버 압력을 이용하여 순수 He 유동성 흐름에 대해 6.3 mtorr로, 즉 100-sccm H2 흐름을 이용한 압력보다 약간 높게 측정되었다. 이러한 결과들은 개방된(open) 삼각형으로 도시되며, 베이스라인 순수-He 결과치와 100-sccm H2 결과치 간의 중간치에 해당한다. 이로 인해 유동성 흐름에 H2 첨가로 인해 야기되는 입자 감소가 압력 증가 및 화학 작용 모두에 대한 기여도를 갖는다는 것이 확인된다. 이러한 화학 작용으로 인한 감소는 도 3B에서 상기 작용을 나타내는 데이터 포인트들 부근에 그려진 타원(304, 308)으로 강조되었다. 입자 레벨의 전체적인 감소 이외에, 도 3B의 결과치들은 부가적으로 H2의 존재가 적정 시기에서의 입자화(particulation) 개시를 지연시킨다는 것을 나타낸다.
따라서, He-유동성-기반 HDP-CVD 프로세스를 이용하여 기판상에 막을 증착하는데 이용될 수 있는 방법들의 요약이 도 4의 흐름도에 제공된다. 블록(401)에서, 막을 증착을 위한 준비중에 웨이퍼가 HDP 챔버에 배치된다. 블록(408)에서, 프로세스 가스의 흐름이 실리콘 및 산소 소스들의 흐름을 포함하는 프로세스 챔버에 제공된다. 일부 실시예에서, 실리콘 소스는 SiH4와 같은 실란을 포함하며 산소 소스는 O2와 같은 분자형 산소를 포함하나, 다른 실시예에서는 실리콘-함유 가스 및 산소-함유 가스가 이용될 수도 있다. 블록(412)에서 유동성 가스의 흐름이 프로세스 챔버에 제공되며, 유동성 가스는 He 및 H2의 흐름을 포함하며, H2의 유량은 He 유량의 20% 미만이다. 일부 실시예에서, H2 대 He의 상대 유량은 10% 미만이거나 또는 5% 미만일 수 있다. 유동성 흐름은 소정의 경우 He 및 H2 흐름으로 이루어질 수 있으나, 다른 경우 특정 분야에 대한 증착 프로세스의 스퍼터링 특성을 조절하기 위해 Ne 또는 Ar과 같은 다른 불활성 가스의 소량의 추가적 흐름을 포함할 수도 있다. 스퍼터링 특성을 조절하는 다른 기술로는 플라즈마내에서 하전된 이온 종들을 공격하도록 웨이퍼에 네거티브 바이어스를 인가하는 것이 포함될 수 있다. 블록(416)에서 고밀도 플라즈마가 프로세스 챔버에 형성되어, 블록(420)에서 실리콘 산화물막이 기판상에 증착될 수 있다. 본 명세서에서 사용되는 "고밀도(high-density)" 플라즈마는 1011 ions/cm3를 초과하는 밀도를 갖는다.
도 4에 도시된 순서의 블록들은 제한되는 것이 아니며 일부 실시예들에서 변경될 수 있다. 이를 테면, 유동성 흐름은 전구체-가스 흐름과 동시에 또는 전구체-가스 흐름 보다 먼저 제공될 수 있다. 블록(416)에서의 고밀도 플라즈마 형성은, 플라즈마가 형성된 이후 공급되는 전구체 가스와 함께 유동성 가스로부터 형성되는 것과 같은 블록 순서에 따라 도시된 것보다 프로세스에서 먼저 이루어질 수 있다. 또한, 도 4에 도시된 블록들은 완벽한 것은 아니며, 본 발명의 원리들은 다양한 분야에서 프로세스의 일부로서 추가적으로 또는 선택적으로 수행될 수 있기 때문이 다.
예시적인 기판 처리 시스템
상기 개시된 방법은 HDP-CVD 시스템의 변형을 구현한 것으로, 일부는 도 5A-5B와 관련하여 상세히 설명된다. 도 5A는 일 실시예에서의 HDP-CVD 시스템(510)의 구조물을 개략적으로 나타낸다. 시스템(510)은 챔버(513), 진공 시스템(570), 소스 플라즈마 시스템(580A), 바이어스 플라즈마 시스템(580B), 가스 전달 시스템(533), 및 원격 플라즈마 세정 시스템(550)을 포함한다.
챔버(513) 상부 부분은 알루미늄 산화물 또는 알루미늄 질화물과 같이 세라믹 유전체 물질로 구성된 돔(514)을 포함한다. 돔(514)은 플라즈마 처리 영역(516)의 상부 경계를 규정한다. 플라즈마 처리 영역(516)은 기판(517)의 상부 표면 및 기판 지지 부재(518)에 의해 하부 상에서 경계가 설정된다.
히터 플레이트(523) 및 냉각 플레이트(524)는 돔(514) 위에 놓여있고 돔(514)과 열적으로 결합된다. 히터 플레이트(523) 및 냉각 플레이트(524)는 약 100℃ 내지 약 200℃ 범위에 걸쳐 약 ±10℃ 이내로 돔 온도를 제어한다. 이는 다양한 프로세스에 대한 돔 온도를 최적화시킨다. 예를 들어, 증착 프로세스에 대한 것보다 세정 또는 에칭 프로세스에 대해 보다 높은 온도에서 돔을 유지하는 것이 바람직하다. 또한, 돔 온도의 정확한 제어는 챔버내에서 박편 또는 입자 수를 감소시켜 증착된 층과 기판 사이의 접착력을 개선시킨다.
챔버(513)의 하부 부분은 진공 시스템과 챔버를 결합시키는 바디 부재(522)를 포함한다. 기판 지지 부재(518)의 베이스 부분(521)은 바디 부재(522) 상에 장착되어 연속적인 내부 표면을 형성한다. 기판은 챔버(513)의 측면에 있는 삽입/제거 개구부(미도시)를 통해 로봇 블레이드(미도시)에 의해 챔버(513) 안팎으로 전달된다. 리프트 핀들(미도시)이 모터(미도시)의 제어하에 상승되고 하강되어 기판이 상부 로딩 위치(557)에서의 로봇 블레이드로부터 기판 지지 부재(518)의 기판 수용 부분(519) 상에 위치한 하부 처리 위치(556)로 이동될 수 있다. 기판 수용 부분(519)은 기판 처리 동안 기판 지지 부재(518)에 기판을 보유하는 정전기 척(520)을 포함한다. 바람직한 실시예에서, 기판 지지 부재(518)는 알루미늄 산화물 또는 알루미늄 세라믹 물질로 형성된다.
진공 시스템(570)은 트윈-블레이드 트로틀 밸브(526)를 수용하고 게이트 밸브(527) 및 터보-분자형 펌프(528)에 부착된 트로틀 바디(525)를 포함한다. 트로틀 바디(525)가 가스 흐름에 대한 방해를 최소화시켜, 대칭 펌핑이 허용한다는 것을 주목해야 한다. 게이트 밸브(527)는 트로틀 바디(525)로부터 펌프(528)를 절연시킬 수 있고 또한 트로틀 밸브(526)가 완전히 개방되는 경우 배기 흐름 용량성을 제한함으로써 챔버 압력을 제어할 수 있다. 트로틀 밸브, 게이트 밸브, 및 터보-분자형 펌프의 장치는 약 1millitorr 내지 약 2 torr 사이에서 챔버 압력을 정확히 안정하게 제어할 수 있다.
소스 플라즈마 시스템(580A)은 돔(514) 상에 장착된 상부 코일(529) 및 측면 코일(530)을 포함한다. 대칭적 접지 실드(미도시)는 코일들 사이의 전기적 결합을 감소시킨다. 상부 코일(529)은 소스 RF(SRF) 발생기(531A)에 의해 전력이 공급되 는 반면, 측면 코일(530)은 측면 SRF 발생기(531B)에 의해 전력이 공급되어, 각각의 코일에 대한 동작 주파수 및 독립적인 전력 레벨이 허용된다. 이러한 이중 코일 시스템은 챔버(513)내 방사상 이온 밀도의 제어를 허용하여 플라즈마 균일성을 개선시킨다. 측면 코일(530) 및 상부 코일(529)은 전형적으로 보조 전극을 요구하지 않고 유도적으로 구동된다. 특정 실시예에서, 상부 소스 RF 발생기(531A)는 공칭적으로 2MHz에서 2500와트의 RF 전력을 제공하며 측면 소스 RF 발생기(531B)는 공칭적으로 2MHz에서 5000와트의 RF 전력을 제공한다. 상부 및 측면 RF 발생기의 동작 주파수는 플라즈마-발생 효율을 개선시키기 위해 공칭 동작 주파수(예를 들어, 각각 1.7-1.9 MHz 및 1.9-2.1 MHz)로부터 상쇄된다.
바이어스 플라즈마 시스템(580B)은 바이어스 RF("BRF") 발생기(531C) 및 바이어스 매칭 네트워크(532C)를 포함한다. 바이어스 플라즈마 시스템(580B)은 기판 부분(517)을 보조 전극으로서의 역할을 하는 바디 부재(522)에 용량성 결합시킨다. 바이어스 시스템(580B)은 기판의 표면에 소스 플라즈마 시스템(580A)에 의해 발생된 플라즈마 종들(예를 들어 이온들)의 전달을 강화시킨다. 특정 실시예에서, 바이어스 RF 발생기는 13.56 MHz에서 5000 와트의 RF 전력을 제공한다.
RF 발생기(531A, 531B)는 디지털방식으로 제어되는 합성기를 포함하며 약 1.8 내지 약 2.1 MHz 사이의 주파수 범위에서 작동한다. 각각의 발생기는 챔버 및 코일로부터 발생기로 다시 반사되는 전력을 측정하는 RF 제어 회로(미도시)를 포함하며 당업자에게 공지된 바와 같이 최저의 반사 전력을 얻기 위해 동작 주파수를 조절한다. 통상적으로 RF 발생기는 50 옴의 특성 임피던스를 갖는 부하(load)에서 동작하도록 설계된다. RF 전력은 발생기 보다 상이한 특성 임피던스를 가지는 부하로부터 반사될 수 있다. 이는 부하에 전달되는 전력을 감소시킬 수 있다. 또한, 부하로부터 발생기로 다시 반사되는 전력은 발생기에 과부화되어 발생기를 손상시킬 수 있다. 여러 요인들 중 플라즈마 이온 밀도에 따라 플라즈마 임피던스는 5 옴 미만 내지 900 옴 이상의 범위이고, 반사된 전력은 주파수의 함수이기 때문에, 반사된 전력에 따른 발생기 주파수 조절은 RF 발생기로부터 플라즈마에 전달되는 전력을 증가시키고 발생기를 보호한다. 반사된 전력을 감소시키고 효율을 강화시키는 또 다른 방법은 매칭 네트워크를 사용하는 것이다.
매칭 네트워크(532A, 532B)는 각각 코일(529, 530)을 갖는 발생기(531A, 531B)의 출력 임피던스와 매칭된다. RF 제어 회로는 부화 변화에 따라 부하와 발생기를 매칭시키기 위해 매칭 네트워크 내의 캐패시터 값을 변화시킴으로써 양쪽 매칭 네트워크를 동조시킬 수 있다. RF 제어 회로는 로드로부터 발생기로 다시 반사되는 전력이 일정한 범위를 초과하는 경우 매칭 네트워크를 동조시킬 수 있다. 일정한 매칭을 제공하고 매칭 네트워크 동조로부터 RF 제어 회로를 효과적으로 디스에이블시키기 위한 방법 중 하나는 반사된 전력의 임의의 예상된 값 이상으로 반사된 전력 범위를 설정하는 것이다. 이는 가장 최근의 조건에서 매칭 네트워크 상수를 유지함으로써 소정의 조건하에서 플라즈마를 안정화시키는 것을 돕는다.
플라즈마 안정화를 돕는 다른 방법이 있을 수 있다. 예를 들어, RF 제어 회로는 부하(플라즈마)에 전달되는 전력을 검출하고 층을 증착하는 동안 실질적으로 일정하게 전달된 전력을 유지하도록 발생기 출력 전력을 증가 또는 감소시킬 수 있 다.
가스 전달 시스템(533)은 몇 개의 소스(534A-534B)로부터 가스 전달 라인(단지 일부만이 도시됨)을 통해 기판을 처리하는 챔버에 가스를 공급한다. 당업자가 알고 있는 바와 같이, 소스(534A-534E)를 위해 사용되는 실제 소스 및 챔버(513)와 전달 라인(538)의 실제 접속은 챔버(513)내에서 수행되는 증착 및 세정 프로세스에 따라 변한다. 가스는 가스 링(537) 및/또는 상부 노즐(545)을 통해 챔버(513)에 주입된다. 도 5B는 가스 링(537)의 부가 사항을 나타내는 챔버(513)의 간략화된 부분 단면도이다.
일 실시예에서, 제 1 및 제 2 가스 소스(534A, 534B) 및 제 1 및 제 2 가스 흐름 제어기(535A', 535B')는 가스 전달 라인(단지 몇 개만이 도시됨)을 통해 가스 링(537)의 링 플레넘(536)에 제공된다. 가스 링(537)은 기판 위에 균일한 가스 흐름을 제공하는 다수의 소스 가스 노즐(539)(설명을 위해 단지 한 개만이 도시됨)을 포함한다. 노즐 길이 및 노즐 각도는 각각의 챔버내에서 특정 프로세스에 대해 균일한 프로파일 및 가스 활용 효율성의 조절을 허용하도록 변할 수 있다. 바람직한 실시예에서, 가스 링(537)은 알루미늄 산화물 세라믹으로 구성된 12개의 소스 가스 노즐을 갖는다.
또한, 가스 링(537)은 다수의 산화제 가스 노즐(540)(단지 하나만이 도시됨)을 포함하며, 바람직한 실시예에서 소스 가스 노즐(539)과 공면이며 상기 소스 가스 노즐(539)보다 짧고 일 실시예에서 바디 플레넘(541)으로부터 가스를 수용한다. 소정 실시예에서, 챔버(513)에 가스를 주입하기 이전에 소스 가스와 산화제 가스를 혼합하지 않는 것이 바람직하다. 다른 실시예에서, 산화제 가스 및 소스 가스는 바디 플레넘(541)과 가스 링 플레넘(536) 사이에 개구부(미도시)를 제공함으로써 챔버(513)에 가스를 주입하기 이전에 혼합될 수 있다. 일 실시예에서, 제 3, 제 4 및 제 5 가스 소스(534C, 534D 및 534D') 및 제 3 및 제 4 가스 흐름 제어기(535C, 535D')는 가스 전달 라인(538)을 통해 바디 플레넘에 가스를 제공한다. 543B(다른 밸브는 도시되지 않음)와 같은 추가 밸브들은 흐름 제어기로부터 챔버로 가스를 차단할 수 있다. 본 발명의 소정의 실시예들의 실시예에 있어, 소스(534A)는 실란(SiH4) 소스를 포함하고, 소스(534B)는 분자형 산소(O2) 소스를 포함하고, 소스(543C)는 실란(SiH4) 소스를 포함하고, 소스(534D)는 헬륨(He) 소스를 포함하고, 소스(543D')는 분자형 수소(H2) 소스를 포함한다.
가연성, 독성, 또는 부식성 가스가 사용되는 실시예에서, 증착 이후에 가스 전달 라인에 남아있는 가스를 소거하는 것이 바람직하다. 이는 전달 라인(538A)으로부터 챔버(513)를 절연시키고 예를 들어 전달 라인(538A)을 진공 포어라인으로 배기시키기 위해 밸브(543B)와 같은 3-웨이(way) 밸브를 사용하여 달성될 수 있다. 도 5A에 도시된 것처럼, 534A 및 543C와 같이 다른 유사한 밸브들이 다른 가스 전달 라인상에 통합될 수 있다. 이러한 3-웨이 밸브는 챔버 챔버(513)에 근접하게 위치되어 배기되지 않은 가스 전달 라인(3-웨이 밸브와 챔버 사이)의 체적을 최소화시킨다. 또한, 2-웨이(온-오프) 밸브(미도시)는 질량 흐름 제어기("MFC")와 챔버 사이 또는 가스 소스와 MFC 사이에 위치될 수 있다.
다시 도 5A를 참조로, 챔버(513)는 상부 노즐(545) 및 상부 배기구(546)를 포함한다. 상부 노즐(545)과 상부 배기구(546)는 막 균일성을 개선시키는 가스의 상부 및 측면 흐름의 독립적인 제어 및 막의 증착 및 도핑 파라미터의 미세한 조절을 허용한다. 상부 배기구(546)는 상부 노즐(545) 부근의 환형 개구부이다. 일 실시예에서, 제 1 가스 소스(534A)는 소스 가스 노즐(539)와 상부 노즐(545)을 공급한다. 소스 노즐 MFC(535A')은 소스 가스 노즐(539)로 전달되는 가스 양을 제어하며 상부 노즐 MFC(535A)는 상부 가스 노즐(545)로 전달되는 가스양을 제어한다. 유사하게, 두 개의 MFC의 535B ALC 535B'는 소스(534B)와 같은 단일 산소 소스로부터 상부 배기구(546) 및 산화제 가스 노즐들(540)로의 산소 흐름을 제어하는데 이용될 수 있다. 상부 노즐(545) 및 상부 배기구(546)에 제공된 가스들은 가스들을 챔버(513)로 흐르도록 하기 전에 분리 유지될 수 있거나, 가스들은 챔버(513)로 흐르기 전에 상부 플래넘(548)에서 혼합될 수 있다. 동일한 가스의 별개의 소스들은 챔버의 다양한 부분들을 공급하도록 이용될 수 있다.
원격 마이크로파-생성 플라즈마 세정 시스템(550)은 챔버 부품들로부터 증착 잔유물들을 주기적으로 세정하도록 제공된다. 세정 시스템은 반응기 캐비티(553) 내의 세정 가스 소스(534E)(예를 들어, 분자 플루오르, 질소 삼플루오르, 다른 플루오르카본 또는 등가물)로부터 플라즈마를 생성하는 원격 마이크로파 생성기(535)를 포함한다. 이러한 플라즈마로부터의 반응성 종들은 어플리케이터 튜브(555)를 통해 세정 가스 공급 포트(554)에 의해 챔버(513)로 전달된다. 세정 플라즈마(예를 들어, 캐비티(553) 및 어플리케이터 튜브(555))를 포함시키는데 이용되는 물질들은 플라즈마에 의한 충격을 견뎌내야만 한다. 반응기 캐비티(553)와 공급 포트(554) 사이의 간격은 실시 가능한 한 짧게 유지되어야 하는데, 그 이유는 요구되는 플라즈마 종들의 농도가 반응기 캐비티(553)에서 멀어질수록 감소할 수 있기 때문이다. 원격 캐비티에서 세정 가스를 생성하는 것은 효율적인 마이크로파 생성기의 사용을 허용하고, 챔버 부품들이 온도, 방사, 또는 인-시튜로 형성되는 플라즈마에 존재할 수 있는 글로 방전에 영향을 받지 않도록 하여준다. 결과적으로, 정전기 척(520)과 같은 상대적인 민감성 부품들은 더미 인-시튜 플라즈마 세정 프로세스에서 요구될 수 있는 바와 같이 보호되어야 하는 것처럼 더미 웨이퍼로 커버될 필요가 없다.
소정의 또는 전체 서브시스템 및 상기 개시된 루틴들을 포함할 수 있는 시스템의 예로는 본 발명을 수행하도록 구성된, 캘리포니아 산타클라라의 어플라이드 머티어리얼스사에 의해 제조된 ULTIMATM 시스템이 있다. 이러한 시스템의 보다 상세한 설명은 공동 발명자로 프레드 씨. 레데커, 파하드 모하담, 히로지 하나와, 테트수야 이스카와, 댄 메이댄, 시지안 리, 브리안 루에, 로버트 스테거, 야신 왕, 마누스 왕 및 애쇼크 신하가 기재되어 있고, 본 명세서에서 참조되고 있는, "대칭적으로 동조가능한 유도결합된 HDP-CVD 반응기"란 명칭으로 1996년 7월 15일자로 출원된 공동 양도된 미국 특허 번호 6,170,428호에 개시되어 있다. 개시된 시스템은 단지 예시를 위한 것이다. 당업자들은 본 발명을 수행하기 위해 적절한 종래의 기판 처리 시스템 및 컴퓨터 제어 시스템을 선택할 수 있다.
당업자들은 상이한 프로세스 챔버 및 상이한 처리 조건에 대해 처리 파라미터들을 변경할 수 있고, 상이한 전구체들이 본 발명의 범주를 이탈하지 않고 사용될 수 있다는 것을 이해할 것이다. 다른 변형들에 대해 당업자들은 이해할 것이다. 이러한 등가물 및 대안은 본 발명의 범주내에 포함되어야 한다. 따라서, 본 발명의 범주는 상세한 설명부로 제한되는 것이 아니라, 하기의 청구범위에 의해 제한된다.

Claims (15)

  1. 기판 위에 막을 증착하기 위한 방법으로서,
    프로세스 챔버에 프로세스 가스를 유동(flow)하는 단계 ? 상기 프로세스 가스는 실리콘-함유 가스 및 산소-함유 가스를 포함함 ?;
    상기 프로세스 챔버에 유동성(fluent) 가스를 유동하는 단계 ? 상기 유동성 가스는 헬륨의 유동 및 분자형 수소의 유동을 포함하고, 상기 분자형 수소의 유동은 상기 헬륨의 유량(flow rate)의 20% 미만의 유량으로 제공됨 ?;
    상기 프로세스 가스 및 유동성 가스로부터 상기 프로세스 챔버에 플라즈마를 형성하는 단계 ? 상기 플라즈마는 1011 ions/cm3 보다 큰 밀도를 가짐 ?; 및
    상기 플라즈마로 상기 기판 위에 상기 막을 증착하는 단계
    를 포함하는 기판 위에 막을 증착하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 분자형 수소의 유동은 상기 헬륨의 유량의 10% 미만의 유량으로 제공되는, 기판 위에 막을 증착하기 위한 방법.
  3. 제 1 항에 있어서,
    상기 분자형 수소의 유동은 상기 헬륨의 유량의 5% 미만의 유량으로 제공되는, 기판 위에 막을 증착하기 위한 방법.
  4. 제 1 항에 있어서,
    상기 유동성 가스는 상기 헬륨의 유량의 10% 미만의 유량의 불활성 가스의 유동을 더 포함하는, 기판 위에 막을 증착하기 위한 방법.
  5. 제 1 항에 있어서,
    상기 헬륨의 유량은 100sccm 내지 1000sccm인, 기판 위에 막을 증착하기 위한 방법.
  6. 제 1 항에 있어서,
    상기 기판에 네거티브 바이어스를 인가하는 단계를 더 포함하는, 기판 위에 막을 증착하기 위한 방법.
  7. 제 1 항에 있어서,
    상기 프로세스 챔버의 내부 압력은 10mtorr 미만으로 유지되는, 기판 위에 막을 증착하기 위한 방법.
  8. 제 1 항에 있어서,
    상기 실리콘-함유 가스는 SiH4를 포함하는, 기판 위에 막을 증착하기 위한 방법.
  9. 제 1 항에 있어서,
    상기 산소-함유 가스는 O2를 포함하는, 기판 위에 막을 증착하기 위한 방법.
  10. 인접한 융기된 피쳐들 사이에 있으며 90nm 내지 150nm의 폭을 갖는 갭을 충진(fill)하기 위해, 상기 인접한 융기된 피쳐들을 갖는 기판 위에 막을 증착하기 위한 방법으로서,
    프로세스 챔버에 프로세스 가스를 유동하는 단계 ? 상기 프로세스 가스는 실리콘-함유 가스 및 산소-함유 가스를 포함함 ?;
    상기 프로세스 챔버에 유동성 가스를 유동하는 단계 ? 상기 유동성 가스는 본질적으로 헬륨의 유동 및 분자형 수소의 유동으로 구성되고, 상기 분자형 수소의 유동은 상기 헬륨의 유량의 20% 미만의 유량으로 제공됨 ?;
    상기 프로세스 가스 및 유동성 가스로부터 상기 프로세스 챔버에 플라즈마를 형성하는 단계 ? 상기 플라즈마는 1011 ions/cm3 보다 큰 밀도를 가짐 ?;
    상기 프로세스 챔버의 내부 압력을 10mtorr 미만으로 유지하는 단계; 및
    상기 플라즈마로 상기 갭에 상기 막을 증착하는 단계
    를 포함하는 기판 위에 막을 증착하기 위한 방법.
  11. 제 10 항에 있어서,
    상기 분자형 수소의 유동은 상기 헬륨의 유량의 10% 미만의 유량으로 제공되는, 기판 위에 막을 증착하기 위한 방법.
  12. 제 10 항에 있어서,
    상기 헬륨의 유량은 100sccm 내지 1000sccm인, 기판 위에 막을 증착하기 위한 방법.
  13. 제 10 항에 있어서,
    상기 헬륨의 유량은 300sccm 내지 500sccm인, 기판 위에 막을 증착하기 위한 방법.
  14. 제 10 항에 있어서,
    상기 실리콘-함유 가스는 SiH4를 포함하고, 상기 산소-함유 가스는 O2를 포함하는, 기판 위에 막을 증착하기 위한 방법.
  15. 인접한 융기된 피쳐들 사이의 갭을 충진하기 위해, 상기 인접한 융기된 피쳐들을 갖는 기판 위에 비도핑 실리케이트 글래스 막을 증착하기 위한 방법으로서,
    프로세스 챔버에 SiH4, O2, He 및 H2를 유동하는 단계 ? 상기 He는 100sccm 내지 1000sccm의 유량으로 제공되고, 상기 H2는 상기 He의 유량의 20% 미만의 유량으로 제공됨 ?;
    상기 프로세스 챔버 내에 유동되는 가스로부터 플라즈마를 형성하는 단계 ? 상기 플라즈마는 1011 ions/cm3 보다 큰 밀도를 가짐 ?;
    상기 프로세스 챔버의 내부 압력을 10mtorr 미만으로 유지하는 단계; 및
    상기 플라즈마로 상기 갭에 상기 비도핑 실리케이트 글래스 막을 증착하는 단계
    를 포함하는 기판 위에 비도핑 실리케이트 글래스 막을 증착하기 위한 방법.
KR1020067025894A 2004-05-18 2005-04-27 반도체 처리에서의 미세오염물을 감소시키는 방법 KR101171127B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/847,922 US20050260356A1 (en) 2004-05-18 2004-05-18 Microcontamination abatement in semiconductor processing
US10/847,922 2004-05-18
PCT/US2005/014506 WO2005117088A1 (en) 2004-05-18 2005-04-27 Microcontamination abatement in semiconductor processing

Publications (2)

Publication Number Publication Date
KR20070011587A KR20070011587A (ko) 2007-01-24
KR101171127B1 true KR101171127B1 (ko) 2012-08-03

Family

ID=35134841

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067025894A KR101171127B1 (ko) 2004-05-18 2005-04-27 반도체 처리에서의 미세오염물을 감소시키는 방법

Country Status (6)

Country Link
US (1) US20050260356A1 (ko)
JP (1) JP4808716B2 (ko)
KR (1) KR101171127B1 (ko)
CN (1) CN100501940C (ko)
TW (1) TWI278531B (ko)
WO (1) WO2005117088A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5792438B2 (ja) * 2010-08-12 2015-10-14 東京エレクトロン株式会社 成膜装置及び成膜方法
CN106435470A (zh) * 2016-11-09 2017-02-22 上海华力微电子有限公司 一种实现自动清洗的烘烤腔结构及其自动清洗方法
CN108062069A (zh) * 2018-01-25 2018-05-22 无锡盈芯半导体科技有限公司 用于二硫化钼cvd设备的控制系统

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10144683A (ja) * 1996-10-25 1998-05-29 Applied Materials Inc Fsg膜のギャップ充填能及び膜安定性向上のための装置及び方法

Family Cites Families (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4737379A (en) * 1982-09-24 1988-04-12 Energy Conversion Devices, Inc. Plasma deposited coatings, and low temperature plasma method of making same
DE3429899A1 (de) * 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo Verfahren zur bildung eines abscheidungsfilms
US4572841A (en) * 1984-12-28 1986-02-25 Rca Corporation Low temperature method of deposition silicon dioxide
US6230650B1 (en) * 1985-10-14 2001-05-15 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US6673722B1 (en) * 1985-10-14 2004-01-06 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US4690746A (en) * 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
KR900005118B1 (ko) * 1986-07-14 1990-07-19 미쓰비시전기주식회사 박막 형성장치
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
EP0283311B1 (en) * 1987-03-18 2001-08-01 Kabushiki Kaisha Toshiba Thin film forming method
US5874350A (en) * 1987-03-20 1999-02-23 Canon Kabushiki Kaisha Process for preparing a functional thin film by way of the chemical reaction among active species
JP2960466B2 (ja) * 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5089442A (en) * 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
JP2640174B2 (ja) * 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
DE69130947T2 (de) * 1991-01-08 1999-07-08 Fujitsu Ltd Verfahren zur bildung eines siliciumoxid-filmes
US5525550A (en) * 1991-05-21 1996-06-11 Fujitsu Limited Process for forming thin films by plasma CVD for use in the production of semiconductor devices
US5279865A (en) * 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
US5507881A (en) * 1991-09-30 1996-04-16 Fuji Electric Co., Ltd. Thin-film solar cell and method of manufacturing same
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5624582A (en) * 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5302233A (en) * 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
US5416048A (en) * 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
KR100241817B1 (ko) * 1993-12-27 2000-02-01 니시무로 타이죠 박막형성법
US5494854A (en) * 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
JPH08167605A (ja) * 1994-12-15 1996-06-25 Mitsubishi Electric Corp シリコン窒化膜の製造方法
US6039851A (en) * 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5571577A (en) * 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
FR2734402B1 (fr) * 1995-05-15 1997-07-18 Brouquet Pierre Procede pour l'isolement electrique en micro-electronique, applicable aux cavites etroites, par depot d'oxyde a l'etat visqueux et dispositif correspondant
US6228751B1 (en) * 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) * 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5599740A (en) * 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
EP0870327B1 (en) * 1995-12-27 2002-09-11 Lam Research Corporation Method for filling trenches in a semiconductor wafer
US5679606A (en) * 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
KR100267418B1 (ko) * 1995-12-28 2000-10-16 엔도 마코토 플라스마처리방법및플라스마처리장치
US6191026B1 (en) * 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5872052A (en) * 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US6200412B1 (en) * 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US6042901A (en) * 1996-02-20 2000-03-28 Lam Research Corporation Method for depositing fluorine doped silicon dioxide films
US6106678A (en) * 1996-03-29 2000-08-22 Lam Research Corporation Method of high density plasma CVD gap-filling
US5858876A (en) * 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) * 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5807785A (en) * 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
US5804259A (en) * 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5953635A (en) * 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5913140A (en) * 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US6013584A (en) * 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6190233B1 (en) * 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) * 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US6030666A (en) * 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US5968610A (en) * 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
KR100226751B1 (ko) * 1997-04-10 1999-10-15 구본준 반도체 소자의 금속 배선 형성방법
US6077786A (en) * 1997-05-08 2000-06-20 International Business Machines Corporation Methods and apparatus for filling high aspect ratio structures with silicate glass
US6189483B1 (en) * 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US5872058A (en) * 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5869149A (en) * 1997-06-30 1999-02-09 Lam Research Corporation Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
US6531193B2 (en) * 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6013191A (en) * 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
US5903106A (en) * 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
JP3141827B2 (ja) * 1997-11-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6071573A (en) * 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
KR100652909B1 (ko) * 1998-03-06 2006-12-01 에이에스엠 아메리카, 인코포레이티드 하이 스텝 커버리지를 갖는 실리콘 증착 방법
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6030881A (en) * 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6037018A (en) * 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6203863B1 (en) * 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6197705B1 (en) * 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6174808B1 (en) * 1999-08-04 2001-01-16 Taiwan Semiconductor Manufacturing Company Intermetal dielectric using HDP-CVD oxide and SACVD O3-TEOS
US6503843B1 (en) * 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
KR100343286B1 (ko) * 1999-11-05 2002-07-15 윤종용 웨이퍼 가장자리의 결함 요인 처리 방법
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US6468927B1 (en) * 2000-05-19 2002-10-22 Applied Materials, Inc. Method of depositing a nitrogen-doped FSG layer
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6626188B2 (en) * 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
KR20030058015A (ko) * 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 고밀도 플라즈마 산화막 형성방법
US6589611B1 (en) * 2002-08-22 2003-07-08 Micron Technology, Inc. Deposition and chamber treatment methods
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US6989337B2 (en) * 2003-10-02 2006-01-24 United Microelectric Corp. Silicon oxide gap-filling process

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10144683A (ja) * 1996-10-25 1998-05-29 Applied Materials Inc Fsg膜のギャップ充填能及び膜安定性向上のための装置及び方法

Also Published As

Publication number Publication date
CN1954415A (zh) 2007-04-25
WO2005117088A1 (en) 2005-12-08
JP4808716B2 (ja) 2011-11-02
US20050260356A1 (en) 2005-11-24
JP2007538405A (ja) 2007-12-27
TW200538578A (en) 2005-12-01
TWI278531B (en) 2007-04-11
KR20070011587A (ko) 2007-01-24
CN100501940C (zh) 2009-06-17

Similar Documents

Publication Publication Date Title
KR101289795B1 (ko) 개선된 갭필 애플리케이션들을 위한 고-수율 hdp-cvd 프로세스들
US7087536B2 (en) Silicon oxide gapfill deposition using liquid precursors
US7329586B2 (en) Gapfill using deposition-etch sequence
US7972968B2 (en) High density plasma gapfill deposition-etch-deposition process etchant
US20080142483A1 (en) Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US20140187045A1 (en) Silicon nitride gapfill implementing high density plasma
KR101035775B1 (ko) Hdp 프로세스에서 에칭률 변화를 감소시키는 방법
KR20130130035A (ko) Hdp-cvd에 의한 폴리실리콘 필름
WO2006074489A1 (en) Low-frequency bias power in hdp-cvd processes
US20070029046A1 (en) Methods and systems for increasing substrate temperature in plasma reactors
US7745350B2 (en) Impurity control in HDP-CVD DEP/ETCH/DEP processes
US7064077B2 (en) Method for high aspect ratio HDP CVD gapfill
US7229931B2 (en) Oxygen plasma treatment for enhanced HDP-CVD gapfill
KR101171127B1 (ko) 반도체 처리에서의 미세오염물을 감소시키는 방법
WO2012177789A2 (en) Integrated process modulation for psg gapfill
US20080299775A1 (en) Gapfill extension of hdp-cvd integrated process modulation sio2 process

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee